TWI684218B - Etching method (3) - Google Patents

Etching method (3) Download PDF

Info

Publication number
TWI684218B
TWI684218B TW105101026A TW105101026A TWI684218B TW I684218 B TWI684218 B TW I684218B TW 105101026 A TW105101026 A TW 105101026A TW 105101026 A TW105101026 A TW 105101026A TW I684218 B TWI684218 B TW I684218B
Authority
TW
Taiwan
Prior art keywords
gas
region
processing
plasma
fluorocarbon
Prior art date
Application number
TW105101026A
Other languages
Chinese (zh)
Other versions
TW201635371A (en
Inventor
渡邊光
辻晃弘
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201635371A publication Critical patent/TW201635371A/en
Application granted granted Critical
Publication of TWI684218B publication Critical patent/TWI684218B/en

Links

Images

Classifications

    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05CBOLTS OR FASTENING DEVICES FOR WINGS, SPECIALLY FOR DOORS OR WINDOWS
    • E05C9/00Arrangements of simultaneously actuated bolts or other securing devices at well-separated positions on the same wing
    • E05C9/18Details of fastening means or of fixed retaining means for the ends of bars
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05BLOCKS; ACCESSORIES THEREFOR; HANDCUFFS
    • E05B15/00Other details of locks; Parts for engagement by bolts of fastening devices
    • E05B15/02Striking-plates; Keepers; Bolt staples; Escutcheons
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05CBOLTS OR FASTENING DEVICES FOR WINGS, SPECIALLY FOR DOORS OR WINDOWS
    • E05C19/00Other devices specially designed for securing wings, e.g. with suction cups
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05YINDEXING SCHEME RELATING TO HINGES OR OTHER SUSPENSION DEVICES FOR DOORS, WINDOWS OR WINGS AND DEVICES FOR MOVING WINGS INTO OPEN OR CLOSED POSITION, CHECKS FOR WINGS AND WING FITTINGS NOT OTHERWISE PROVIDED FOR, CONCERNED WITH THE FUNCTIONING OF THE WING
    • E05Y2800/00Details, accessories and auxiliary operations not otherwise provided for
    • E05Y2800/10Additional functions
    • E05Y2800/12Sealing

Abstract

能防止開口閉塞,並相對於氮化矽所構成之第2區域而蝕刻氧化矽所構成之第1區域。 The opening can be prevented from being blocked, and the first region composed of silicon oxide is etched relative to the second region composed of silicon nitride.

一實施形態之方法,係包含有:第1工序,係在收納被處理體之處理容器內產生含氟碳氣體之處理氣體的電漿,會在被處理體上形成含氟碳之沉積物;第2工序,係在收納被處理體之處理容器內,產生含有含氧氣體及非活性氣體之處理氣體的電漿;以及,第3工序,係藉由沉積物所含有的氟碳之自由基來蝕刻第1區域。此方法中,係反覆實行含第1工序、第2工序及第3工序之機制。 The method of an embodiment includes the first step of generating a fluorocarbon-containing process gas plasma in a processing container accommodating a processed body, and forming a fluorocarbon-containing deposit on the processed body; The second step is to generate a plasma containing a processing gas containing an oxygen-containing gas and an inert gas in a processing container that houses the object to be processed; and, the third step is to generate fluorocarbon radicals contained in the sediment To etch the first area. In this method, a mechanism including the first step, the second step, and the third step is repeatedly executed.

Description

蝕刻方法(三) Etching method (3)

本發明之實施形態係關於一種蝕刻方法,尤其係關於一種藉由對被處理體之電漿處理,而相對於氮化矽所構成之第2區域來選擇性地蝕刻氧化矽所構成之第1區域的蝕刻方法。 An embodiment of the present invention relates to an etching method, and in particular to a first structure composed of selectively etching silicon oxide with respect to a second area composed of silicon nitride by plasma treatment of a body to be processed The etching method of the area.

電子元件的製造中,會相對於氧化矽(SiO2)所構成之區域來進行形成孔洞或溝渠之開口的處理。此般處理中,如美國專利第7708859號說明書所記載般,一般而言,會讓被處理體暴露在氟碳氣體之電漿來蝕刻該區域。 In the manufacture of electronic components, the process of forming openings of holes or trenches is performed relative to the area composed of silicon oxide (SiO 2 ). In this process, as described in US Patent No. 7,708,859, in general, the treated body is exposed to a plasma of fluorocarbon gas to etch the region.

又,已知有一種相對於氮化矽所構成之第2區域來選擇性地蝕刻氧化矽所構成之第1區域的技術。此般技術之一範例,已知有SAC(Self-Aligned Contact)技術。關於SAC技術,則記載於日本特開2000-307001號公報。 In addition, there is known a technique for selectively etching the first region composed of silicon oxide with respect to the second region composed of silicon nitride. An example of such a technology is known as SAC (Self-Aligned Contact) technology. The SAC technology is described in Japanese Patent Laid-Open No. 2000-307001.

為SAC技術處理對象之被處理體係具有氧化矽製之第1區域、氮化矽製之第2區域以及遮罩。第2區域係設來區劃出凹部,第1區域則是設來填埋該凹部且覆蓋第2區域,遮罩係設於第1區域上而提供開口於凹部上。以往的SAC技術中,如日本特開2000-307001號公報所記載,為了第1區域的蝕刻,會使用含氟碳氣體、氧氣及稀有氣體之處理氣體的電漿。藉由將被處理體暴露於此處理氣體的電漿,從遮罩開口所露出之部分的第1區域便會被蝕刻而形成上部開口。再者,藉由將被處理體暴露於處理氣體的電漿,則第2區域所包圍的部分,即凹部內的第1區域便會自我整合地被加以蝕刻。藉此,便會自我整合地形成連續於上部開口之下部開口。 The system to be processed by SAC technology has a first region made of silicon oxide, a second region made of silicon nitride, and a mask. The second area is provided to define the concave portion, the first area is provided to fill the concave portion and cover the second area, and the mask is provided on the first area to provide an opening in the concave portion. In the conventional SAC technology, as described in Japanese Patent Laid-Open No. 2000-307001, for the etching of the first region, a plasma of a processing gas containing fluorocarbon gas, oxygen gas, and rare gas is used. By exposing the object to be processed to the plasma of the processing gas, the first region of the portion exposed from the opening of the mask is etched to form an upper opening. Furthermore, by exposing the body to be processed to the plasma of the processing gas, the part surrounded by the second region, that is, the first region in the recess, is etched in a self-integrating manner. In this way, a self-integrated continuous opening below the upper opening is formed.

【先前技術文獻】 【Prior Technical Literature】

【專利文獻】 【Patent Literature】

專利文獻1:美國專利第7708859號說明書 Patent Document 1: Specification of US Patent No. 7708859

專利文獻2:日本特開2000-307001號公報 Patent Document 2: Japanese Patent Laid-Open No. 2000-307001

上述以往技術中,當進行第1區域之蝕刻時,會因來自氟碳之沉積物使得遮罩開口及/或第1區域之蝕刻所形成之開口變窄,依情況,該等開口可能會被閉塞。此結果,會使得第1區域之蝕刻率降低,依情況,會使得第1區域之蝕刻停止。 In the above-mentioned prior art, when etching the first area, the openings formed by the mask opening and/or the etching of the first area are narrowed due to the deposits from the fluorocarbon. Depending on the situation, these openings may be Occlusion. As a result, the etching rate of the first region is reduced, and depending on circumstances, the etching of the first region is stopped.

從而,便尋求要能防止開口閉塞,並相對於氮化矽所構成之第2區域而蝕刻氧化矽所構成之第1區域。 Therefore, it is sought to prevent the opening from being blocked, and to etch the first region composed of silicon oxide with respect to the second region composed of silicon nitride.

一樣態中,係提供一種藉由對被處理體之電漿處理,而相對於氮化矽所構成之第2區域來選擇性地蝕刻氧化矽所構成之第1區域的蝕刻方法。被處理體係具有區劃出凹部之第2區域、填埋該凹部且以覆蓋第2區域之方式來加以設置之第1區域、以及設於第1區域上之遮罩。此方法係包含有:(a)第1工序,係在收納被處理體之處理容器內產生含氟碳氣體之處理氣體的電漿,會在被處理體上形成含氟碳之沉積物;(b)第2工序,係在收納被處理體之處理容器內,產生含有含氧氣體及非活性氣體之處理氣體的電漿;以及,(c)第3工序,係藉由沉積物所含有的氟碳之自由基來蝕刻第1區域。此方法中,係反覆實行含第1工序、第2工序及第3工序之機制。 In the same manner, it provides an etching method for selectively etching the first region composed of silicon oxide with respect to the second region composed of silicon nitride by plasma treatment of the object to be processed. The system to be processed has a second area that defines a recess, a first area that fills the recess and is provided so as to cover the second area, and a mask provided on the first area. This method includes: (a) The first step is to generate a fluorocarbon-containing process gas plasma in the processing container that houses the object to be processed, and a fluorocarbon-containing deposit will be formed on the object to be processed; ( b) The second step is to generate the plasma of the processing gas containing oxygen-containing gas and inert gas in the processing container that houses the object to be processed; and, (c) The third step is to contain the Fluorocarbon radicals etch the first area. In this method, a mechanism including the first step, the second step, and the third step is repeatedly executed.

上述一樣態相關之方法會在第1工序中於被處理體表面上形成含氟碳之沉積物,在第3工序中藉由該沉積物中之氟碳的自由基來蝕刻第1區域,並會反覆實行含此般第1工序及第3工序之機制。然後,此方法會藉由實行第2工序,使用氧的活性基來適當地減少沉積物的量。從而,可防止遮罩開口及第1區域之蝕刻所形成之開口的閉塞。又,此方法中,由於處理氣體中含氧氣體會被非活性氣體所稀釋,故可抑制沉積物被過度地去除。 The above-mentioned method related to the same state will form a fluorocarbon-containing deposit on the surface of the object to be processed in the first step, and etch the first region by the fluorocarbon radicals in the deposit in the third step, and The mechanism including the first step and the third step will be repeatedly implemented. Then, this method will appropriately reduce the amount of deposits by performing the second step, using oxygen-based active groups. Therefore, it is possible to prevent the opening of the mask opening and the first region from being blocked. Moreover, in this method, since the oxygen-containing gas in the processing gas is diluted by the inert gas, it is possible to suppress excessive removal of the deposit.

一實施形態中,遮罩係由有機材料所構成;遮罩上係設有含矽反射防止膜。此實施形態之方法係進一步含有:(d)第4工序,係在處理容器內,產生含氟碳之處理氣體的電漿,會在該第2區域露出前蝕刻該第1區域;以及,(e)第5工序,係在處理容器內產生含有含氧氣體之處理氣體的電漿。該機制係在該第4工序及該第5工序之實行後,被加以實行。此實施形態中,第4工序會在第1區域之蝕刻同時去除含矽反射防止膜。然後,藉由 第5工序中所產生之氧的活性基來讓遮罩的開口寬度變廣。藉此,即便區劃開口的遮罩面附著有沉積物,仍可降低開口寬度的縮小量。 In one embodiment, the mask is composed of an organic material; the mask is provided with a silicon-containing antireflection film. The method of this embodiment further includes: (d) a fourth step in which a plasma generating a fluorocarbon-containing processing gas is etched in the processing container before the second area is exposed; and, ( e) The fifth step is to generate the plasma of the processing gas containing oxygen-containing gas in the processing container. This mechanism is implemented after the execution of the fourth step and the fifth step. In this embodiment, the fourth step removes the silicon-containing anti-reflection film at the same time as the etching of the first region. Then, by The active radical of the oxygen generated in the fifth step widens the opening width of the mask. In this way, even if deposits are attached to the mask surface that defines the opening, the reduction in the width of the opening can still be reduced.

一實施形態中,第2工序一次會實行2秒以上,且第2工序中會以1nm/秒以下的速率來蝕刻沉積物。為了使用電漿處理裝置來實行上述機制,在第1~第3工序之各工序間轉移則需要時間來切換氣體。從而,第2工序需要實行2秒以上,但當如此般時間長度而使得第2工序之蝕刻率過高時,用以保護第2區域之沉積物便可能會被過度去除。藉由第2工序中以1nm/秒以下的速率來蝕刻沉積物,便可適當地調整被處理體上所形成之沉積物的量。 In one embodiment, the second step is performed for 2 seconds or more at a time, and in the second step, the deposit is etched at a rate of 1 nm/second or less. In order to use the plasma processing device to implement the above mechanism, it takes time to switch the gas between the first to third steps. Therefore, the second step needs to be performed for more than 2 seconds, but when the etching rate of the second step is too high for such a length of time, the deposits used to protect the second area may be excessively removed. By etching the deposit at a rate of 1 nm/sec or less in the second step, the amount of deposit formed on the body to be processed can be adjusted appropriately.

一實施形態之機制中,會在第1工序及第3工序之間實行第2工序;該機制進一步含有其他工序,係在收納被處理體之處理容器內,產生含有含氧氣體及非活性氣體之處理氣體的電漿。第3工序之施行時,會釋放出構成被處理體上所附著之沉積物的物質,該物質會再度附著於被處理體,而形成讓遮罩開口及第1區域之蝕刻所形成之開口寬度變窄的沉積物,依情況,該沉積物亦會閉塞該等開口。依此實施形態,會在第3工序實行後讓被處理體暴露於氧的活性基,故可減少讓開口寬度變窄的沉積物,可更確實地防止開口的閉塞。 In the mechanism of an embodiment, the second step is executed between the first step and the third step; the mechanism further includes other steps, which are generated in the processing container that houses the object to be processed, containing oxygen-containing gas and inert gas The plasma of the processing gas. During the execution of the third step, a substance that constitutes a deposit adhering to the object to be processed will be released, and the substance will adhere to the object to be processed again to form an opening width formed by etching of the mask opening and the first region Narrowed deposits, depending on the situation, will also block these openings. According to this embodiment, the object to be treated is exposed to active radicals of oxygen after the third step is performed, so that deposits that narrow the width of the opening can be reduced, and blocking of the opening can be prevented more reliably.

如以上所說明,便可防止開口閉塞,並相對於氮化矽所構成之第2區域而蝕刻氧化矽所構成之第1區域。 As described above, the opening can be prevented from being blocked, and the first region composed of silicon oxide can be etched with respect to the second region composed of silicon nitride.

10‧‧‧電漿處理裝置 10‧‧‧Plasma processing device

12‧‧‧處理容器 12‧‧‧Handling container

30‧‧‧上部電極 30‧‧‧Upper electrode

PD‧‧‧載置台 PD‧‧‧Placing table

LE‧‧‧下部電極 LE‧‧‧Lower electrode

ESC‧‧‧靜電夾具 ESC‧‧‧Static fixture

40‧‧‧氣體源群 40‧‧‧Gas source group

42‧‧‧閥群 42‧‧‧ valve group

44‧‧‧流量控制器群 44‧‧‧Flow controller group

50‧‧‧排氣裝置 50‧‧‧Exhaust

62‧‧‧第1高頻電源 62‧‧‧The first high frequency power supply

64‧‧‧第2高頻電源 64‧‧‧The second high frequency power supply

Cnt‧‧‧控制部 Cnt‧‧‧Control Department

W‧‧‧晶圓 W‧‧‧ Wafer

R1‧‧‧第1區域 R1‧‧‧ Region 1

R2‧‧‧第2區域 R2‧‧‧ Region 2

OL‧‧‧有機膜 OL‧‧‧Organic film

AL‧‧‧含矽反射防止膜 AL‧‧‧Silicone anti-reflective film

MK‧‧‧遮罩 MK‧‧‧Mask

DP‧‧‧沉積物 DP‧‧‧Sediment

圖1係顯示一實施形態相關之蝕刻方法的流程圖。 FIG. 1 is a flowchart showing an etching method related to an embodiment.

圖2係例示一實施形態相關之蝕刻方法適用對象的被處理體的剖視圖。 2 is a cross-sectional view illustrating an object to be processed to which an etching method according to an embodiment is applied.

圖3係概略顯示可用於圖1所示方法之實施的電漿處理裝置一範例之圖式。 FIG. 3 is a schematic diagram showing an example of a plasma processing apparatus that can be used to implement the method shown in FIG. 1.

圖4係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 4 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖5係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 5 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖6係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 6 is a cross-sectional view of the object to be processed during the implementation of the method shown in FIG. 1.

圖7係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 7 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖8係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 8 is a cross-sectional view of the object to be processed during the implementation of the method shown in FIG. 1.

圖9係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 9 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖10係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 10 is a cross-sectional view of the object to be processed during the implementation of the method shown in FIG. 1.

圖11係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 11 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖12係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 12 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖13係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 13 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖14係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 FIG. 14 is a cross-sectional view of the object to be processed during the implementation of the method shown in FIG. 1.

圖15係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 15 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖16係顯示圖1所示方法於實施途中階段的被處理體之剖視圖。 16 is a cross-sectional view of the object to be processed in the middle of the implementation of the method shown in FIG. 1.

圖17係顯示其他實施形態相關之蝕刻方法的流程圖。 FIG. 17 is a flowchart showing an etching method related to other embodiments.

圖18係顯示圖17所示方法的工序ST14實行後之被處理體的剖視圖。 FIG. 18 is a cross-sectional view of the object to be processed after step ST14 of the method shown in FIG. 17 is performed.

圖19係顯示圖17所示方法的工序ST14實行後之被處理體的剖視圖。 FIG. 19 is a cross-sectional view showing the object to be processed after step ST14 of the method shown in FIG. 17 is performed.

以下便參照圖式,就各種實施形態來詳細說明。另外,各圖式中係對相同或相當之部分賦予相同符號。 Hereinafter, various embodiments will be described in detail with reference to the drawings. In addition, in each drawing, the same or corresponding parts are given the same symbols.

圖1係顯示一實施形態相關之蝕刻方法的流程圖。圖1所示方法MT係藉由對被處理體之電漿處理,而相對於氮化矽所構成之第2區域來選擇性地蝕刻氧化矽所構成之第1區域的蝕刻方法。 FIG. 1 is a flowchart showing an etching method related to an embodiment. The method MT shown in FIG. 1 is an etching method for selectively etching the first region composed of silicon oxide with respect to the second region composed of silicon nitride by plasma treatment of the object to be processed.

圖2係例示一實施形態相關之蝕刻方法適用對象的被處理體的剖視圖。如圖2所示,被處理體,即晶圓W係具有基板SB、第1區域R1、第2區域R2及之後構成遮罩的有機膜OL。一範例中,晶圓W可為製造片型場效電晶體途中所獲得者,進一步地,具有隆起區域RA、含矽反射防止膜AL以及阻劑遮罩RM。 2 is a cross-sectional view illustrating an object to be processed to which an etching method according to an embodiment is applied. As shown in FIG. 2, the object to be processed, that is, the wafer W has a substrate SB, a first region R1, a second region R2, and an organic film OL that constitutes a mask thereafter. In one example, the wafer W may be obtained in the process of manufacturing a chip field effect transistor. Further, it has a raised area RA, a silicon-containing antireflection film AL, and a resist mask RM.

隆起區域RA係設置為從基板SB隆起。此隆起區域RA可構成例如閘極區域。第2區域R2係由氮化矽(Si3N4)所構成,而設置於隆起區域RA表面以及基板SB表面上。此第2區域R2如圖2所示,係以區劃出凹部的方式來加以延伸。一範例中,凹部的深度約為150nm,凹部的寬度約為20nm。 The raised area RA is provided to be raised from the substrate SB. This raised area RA may constitute, for example, a gate area. The second region R2 is made of silicon nitride (Si 3 N 4 ) and is provided on the surface of the raised region RA and the surface of the substrate SB. As shown in FIG. 2, this second region R2 extends so as to define a concave portion. In one example, the depth of the recess is about 150 nm, and the width of the recess is about 20 nm.

第1區域R1係由氧化矽(SiO2)所構成,並設於第2區域R2上。具體而言,第1區域R1會填埋第2區域R2所區劃之凹部,並以覆蓋該第2區域 之方式來加以設置。 The first region R1 is composed of silicon oxide (SiO 2 ) and is provided on the second region R2. Specifically, the first region R1 fills the concave portion defined by the second region R2 and is provided so as to cover the second region.

有機膜OL係設在第1區域R1上。有機膜OL可由有機材料,例如非晶碳所構成。反射防止膜AL係設在有機膜OL上。阻劑遮罩RM係設在反射防止膜AL上。阻劑遮罩RM係在第2區域R2所區劃之凹部上提供具有較該凹部寬度要廣寬度之開口。阻劑遮罩RM之開口寬度例如為60nm。此般阻劑遮罩RM之圖案係藉由光微影技術來加以形成。 The organic film OL is provided on the first region R1. The organic film OL may be composed of an organic material, such as amorphous carbon. The anti-reflection film AL is provided on the organic film OL. The resist mask RM is provided on the anti-reflection film AL. The resist mask RM provides an opening having a wider width than the width of the recess in the recess defined by the second region R2. The opening width of the resist mask RM is, for example, 60 nm. The pattern of the resist mask RM is formed by photolithography technology.

方法MT中,如圖2所示晶圓W般之被處理體會在電漿處理裝置內被加以處理。圖3係概略顯示可用於圖1所示方法之實施的電漿處理裝置一範例之圖式。圖3所示之電漿處理裝置10係電容耦合型電漿蝕刻裝置,具備略圓筒狀之處理容器12。處理容器12內壁面係由例如經陽極氧化處理之鋁所構成。此處理容器12係保全接地。 In the method MT, the processed body like the wafer W shown in FIG. 2 is processed in the plasma processing apparatus. FIG. 3 is a schematic diagram showing an example of a plasma processing apparatus that can be used to implement the method shown in FIG. 1. The plasma processing apparatus 10 shown in FIG. 3 is a capacitive coupling type plasma etching apparatus, and includes a substantially cylindrical processing container 12. The inner wall surface of the processing container 12 is composed of, for example, anodized aluminum. The processing container 12 is securely grounded.

處理容器12底部上係設有略圓筒狀之支撐部14。支撐部14係由例如絕緣材料所構成。支撐部14係在處理容器12內從處理容器12底部而延伸於鉛直方向。又,處理容器12內係設有載置台PD。載置台PD係藉由支撐部14來加以支撐。 A support portion 14 having a substantially cylindrical shape is provided on the bottom of the processing container 12. The support portion 14 is made of, for example, an insulating material. The support portion 14 extends in the vertical direction from the bottom of the processing container 12 in the processing container 12. In addition, a mounting table PD is provided in the processing container 12. The mounting table PD is supported by the support portion 14.

載置台PD會於其上面保持晶圓W。載置台PD係具有下部電極LE及靜電夾具ESC。下部電極LE係含有第1板體18a及第2板體18b。第1板體18a及第2板體18b係由例如鋁之金屬所構成而形成為略圓盤形狀。第2板體18b係設在第1板體18a上,並電性連接於第1板體18a。 The mounting table PD will hold the wafer W thereon. The mounting table PD has a lower electrode LE and an electrostatic jig ESC. The lower electrode LE includes a first plate 18a and a second plate 18b. The first plate body 18a and the second plate body 18b are made of metal such as aluminum and formed into a substantially disc shape. The second plate body 18b is provided on the first plate body 18a and electrically connected to the first plate body 18a.

第2板體18b上設有靜電夾具ESC。靜電夾具ESC係具有將導電膜之電極配置於一對絕緣膜或絕緣片之間的構造。靜電夾具ESC之電極係透過開關23而電性連接有直流電源22。此靜電夾具ESC會藉由來自直流電源22之直流電壓所產生的庫倫力等靜電力來吸附晶圓W。藉此,靜電夾具ESC便可保持晶圓W。 An electrostatic jig ESC is provided on the second plate body 18b. The electrostatic clamp ESC has a structure in which electrodes of a conductive film are arranged between a pair of insulating films or insulating sheets. The electrode of the electrostatic clamp ESC is electrically connected to the DC power source 22 through the switch 23. The electrostatic fixture ESC will attract the wafer W by electrostatic force such as Coulomb force generated by the DC voltage from the DC power source 22. Thereby, the electrostatic fixture ESC can hold the wafer W.

第2板體18b之周緣部上係以包圍晶圓W之邊緣及靜電夾具WSC之方式而設有聚焦環FR。聚焦環FR係設來提升蝕刻之均勻性。聚焦環FR係由依蝕刻對象膜之材料而適當選擇的材料所構成,例如可由石英所構成。 A focus ring FR is provided on the peripheral portion of the second plate body 18b so as to surround the edge of the wafer W and the electrostatic jig WSC. The focus ring FR is designed to improve the uniformity of etching. The focus ring FR is made of a material appropriately selected according to the material of the film to be etched, for example, it can be made of quartz.

第2板體18b內部係設有冷媒流道24。冷媒流道24會構成溫度調整機構。冷媒流道24會透過配管26a而從處理容器12外部所設置之冷卻單元 而供給有冷媒。被供給至冷媒流道24之冷媒會透過配管26b而回到冷卻單元。如此般,冷媒流道24與冷卻單元之間便會循環有冷媒。藉由控制此冷媒的溫度,便可控制靜電夾具ESC所支撐之晶圓W的溫度。 The refrigerant flow path 24 is provided inside the second plate body 18b. The refrigerant flow path 24 constitutes a temperature adjustment mechanism. The refrigerant flow path 24 will pass through the piping 26a from the cooling unit provided outside the processing container 12 And the refrigerant is supplied. The refrigerant supplied to the refrigerant flow path 24 returns to the cooling unit through the piping 26b. In this way, refrigerant will circulate between the refrigerant channel 24 and the cooling unit. By controlling the temperature of this refrigerant, the temperature of the wafer W supported by the electrostatic fixture ESC can be controlled.

又,電漿處理裝置10係設有氣體供給管線28。氣體供給管線28會將來自傳熱氣供給機構之傳熱氣體,例如He氣體供給至靜電夾具ESC上面與晶圓W內面之間。 In addition, the plasma processing apparatus 10 is provided with a gas supply line 28. The gas supply line 28 supplies heat transfer gas from the heat transfer gas supply mechanism, such as He gas, between the upper surface of the electrostatic jig ESC and the inner surface of the wafer W.

又,電漿處理裝置10係具備有上部電極30。上部電極30係在載置台PD上方中,與該載置台PD對向配置。下部電極LE及上部電極30係設置為略互相平行。上部電極30及下部電極LE之間會提供出用以對晶圓W進行電漿處理之處理空間S。 In addition, the plasma processing apparatus 10 includes an upper electrode 30. The upper electrode 30 is placed above the mounting table PD, and is arranged to face the mounting table PD. The lower electrode LE and the upper electrode 30 are arranged slightly parallel to each other. A processing space S for plasma processing of the wafer W is provided between the upper electrode 30 and the lower electrode LE.

上部電極30係透過絕緣性遮蔽構件32而被支撐在處理容器12之上部。一實施形態中,上部電極30可構成為可改變自載置台PD上面,亦即晶圓載置面之鉛直方向距離。上部電極30可含有電極板34及電極支撐體36。電極板34會面向處理空間S,並在該電極板34設有複數氣體噴出孔34a。此電極板34在一實施形態中,係由矽所構成。 The upper electrode 30 is supported on the upper portion of the processing container 12 through an insulating shield member 32. In one embodiment, the upper electrode 30 may be configured to change the vertical distance from the upper surface of the mounting table PD, that is, the wafer mounting surface. The upper electrode 30 may include an electrode plate 34 and an electrode support 36. The electrode plate 34 faces the processing space S, and a plurality of gas ejection holes 34a are provided in the electrode plate 34. In one embodiment, the electrode plate 34 is made of silicon.

電極支撐體36係裝卸自如地支撐電極板,可由例如鋁之導電材料所構成。此電極支撐體36可具有水冷構造。電極支撐體36內部係設有氣體擴散室36a。從此氣體擴散室36a朝下方延伸有連通於氣體噴出孔34a之複數氣體流通孔36b。又,電極支撐體36係形成有將處理氣體導入至氣體擴散室36a之氣體導入口36c,此氣體導入口36c係連接有氣體供給管38。 The electrode support 36 supports the electrode plate detachably, and may be made of a conductive material such as aluminum. This electrode support 36 may have a water-cooled structure. A gas diffusion chamber 36a is provided inside the electrode support 36. From this gas diffusion chamber 36a, a plurality of gas flow holes 36b communicating with the gas ejection holes 34a extend downward. In addition, the electrode support 36 is formed with a gas inlet 36c for introducing the processing gas into the gas diffusion chamber 36a, and the gas inlet 36c is connected to the gas supply pipe 38.

氣體供給管38係透過閥群42及流量控制器群44而連接有氣體源群40。氣體源群40含有複數氣體源。一範例中,氣體源群40係含有一個以上之氟碳氣體源、稀有氣體源、氮氣體(N2氣體)源、氫氣體(H2氣體)源以及含氧氣體源。一個以上之氟碳氣體源在一範例中,可含有C4F8氣體源、CF4氣體源以及C4F6氣體源。稀有氣體源可為He氣體、Ne氣體、Ar氣體、Kr氣體、Xe氣體之任意稀有氣體源,一範例中,為Ar氣體源。又,含氧氣體源在一範例中,可為氧氣體(O2氣體)源。另外,含氧氣體可為含氧之任意氣體,例如,可為CO氣體或CO2氣體之氧化碳氣體。 The gas supply pipe 38 is connected to the gas source group 40 through the valve group 42 and the flow controller group 44. The gas source group 40 contains plural gas sources. In one example, the gas source group 40 includes more than one fluorocarbon gas source, rare gas source, nitrogen gas (N 2 gas) source, hydrogen gas (H 2 gas) source, and oxygen-containing gas source. In one example, more than one fluorocarbon gas source may contain a C 4 F 8 gas source, a CF 4 gas source, and a C 4 F 6 gas source. The rare gas source may be any rare gas source of He gas, Ne gas, Ar gas, Kr gas, and Xe gas. In one example, it is an Ar gas source. Furthermore, in one example, the oxygen-containing gas source may be an oxygen gas (O 2 gas) source. In addition, the oxygen-containing gas may be any gas containing oxygen, for example, it may be a carbon oxide gas of CO gas or CO 2 gas.

閥群42含有複數閥,流量控制器群44含有稱為質流控制器之複數流量控制器。氣體源群40之複數氣體源會分別透過閥群42之對應閥及流量 控制器群44之對應流量控制器來連接於氣體供給管38。 The valve group 42 includes plural valves, and the flow controller group 44 includes plural flow controllers called mass flow controllers. The plural gas sources of the gas source group 40 will respectively pass through the corresponding valves and flow rates of the valve group 42 The corresponding flow controllers of the controller group 44 are connected to the gas supply pipe 38.

又,電漿處理裝置10中,係沿著處理容器12內壁而裝卸自如地設有沉積物遮罩46。沉積物遮罩46亦設在支撐部14外周。沉積物遮罩46會防止蝕刻副產物(沉積物)附著在處理容器12,可由在鋁材披覆Y2O3等之陶瓷所構成。 In addition, in the plasma processing apparatus 10, a sediment cover 46 is detachably provided along the inner wall of the processing container 12. The deposit mask 46 is also provided on the outer periphery of the support portion 14. The deposit mask 46 prevents etching by-products (deposits) from adhering to the processing container 12, and may be made of ceramic coated with Y 2 O 3 or the like on an aluminum material.

處理容器12底部側,且在支撐部14與處理容器12側壁之間系設有排器板體48。排氣板體48可由例如在鋁材披覆Y2O3等之陶瓷所構成。此排氣板體48下方且在處理容器12處係設有排氣口12e。排氣口12e係透過排氣管52而連接有排氣裝置50。排氣裝置50係具有渦輪分子泵等之真空泵,可將處理容器12內之空間減壓至所欲真空度。又,處理容器12側壁係設有晶圓W之搬出入口12g,此搬出入口12g係藉由閘閥54而可開閉。 On the bottom side of the processing container 12, and between the support portion 14 and the side wall of the processing container 12, an exhaust plate 48 is provided. The exhaust plate body 48 may be made of ceramic coated with Y 2 O 3 or the like on an aluminum material, for example. An exhaust port 12e is provided below the exhaust plate body 48 and at the processing container 12. The exhaust port 12e is connected to the exhaust device 50 through the exhaust pipe 52. The exhaust device 50 is a vacuum pump with a turbo molecular pump or the like, which can depressurize the space in the processing container 12 to a desired vacuum degree. In addition, the side wall of the processing container 12 is provided with a carrying-out port 12g of the wafer W. The carrying-out port 12g can be opened and closed by a gate valve 54.

又,電漿處理裝置10進一步具備有第1高頻電源62及第2高頻電源64。第1高頻電源62係產生電漿產生用高頻電力之電源,會產生例如27~100MHz頻率之高頻電力。第1高頻電源62係透過匹配器66連接至上部電極30。匹配器66係用以整合第1高頻電源62之輸出阻抗與負荷側(上部電極30側)之輸入阻抗之電路。另外,第1高頻電源62亦可透過匹配器66連接至下部電極LE。 In addition, the plasma processing apparatus 10 further includes a first high-frequency power supply 62 and a second high-frequency power supply 64. The first high-frequency power source 62 is a power source that generates high-frequency power for plasma generation, and generates high-frequency power at a frequency of, for example, 27 to 100 MHz. The first high-frequency power source 62 is connected to the upper electrode 30 through the matching device 66. The matching device 66 is a circuit for integrating the output impedance of the first high-frequency power source 62 and the input impedance of the load side (upper electrode 30 side). In addition, the first high-frequency power supply 62 may be connected to the lower electrode LE through the matching device 66.

第2高頻電源64係產生用以將離子吸引至晶圓W之高頻偏壓電力的電源,會產生例如400kHz~13.56MHz範圍內頻率之高頻偏壓電力。第2高頻電源64係透過匹配器68連接至下部電極LE。匹配器68係用以整合第2高頻電源64之輸出阻抗與負荷側(下部電極LE側)之輸入阻抗之電路。 The second high-frequency power supply 64 generates high-frequency bias power for attracting ions to the wafer W, and generates high-frequency bias power at a frequency in the range of 400 kHz to 13.56 MHz, for example. The second high-frequency power supply 64 is connected to the lower electrode LE through the matching device 68. The matching device 68 is a circuit for integrating the output impedance of the second high-frequency power supply 64 and the input impedance of the load side (lower electrode LE side).

又,電漿處理裝置10更具有電源70。電源70係連接至上部電極30。電源70會將用以使存在於處理空間S內之正離子吸引至電極板34的電壓施加至上部電極30。一範例中,電源70係產生負直流電壓之直流電源。另一範例中,電源70亦可為產生較低頻率交流電壓之交流電源。從電源施加至上部電極的電壓可為-150V以下的電壓。亦即,電源70施加至上部電極30的電壓可為絕對值150以上之負電壓。此般電壓由電源70施加至上部電極30時,存在於處理空間S之正離子便會衝撞電極板34。藉此,便會從電極板34釋出二次電子及/或矽。所釋出的矽會與存在於處理空間S內之氟的活性基結合,而降低了氟活性基的量。 In addition, the plasma processing apparatus 10 further has a power supply 70. The power supply 70 is connected to the upper electrode 30. The power supply 70 applies a voltage for attracting positive ions existing in the processing space S to the electrode plate 34 to the upper electrode 30. In one example, the power supply 70 is a DC power supply that generates a negative DC voltage. In another example, the power supply 70 may also be an AC power supply that generates a lower frequency AC voltage. The voltage applied to the upper electrode from the power source may be a voltage of -150V or less. That is, the voltage applied by the power supply 70 to the upper electrode 30 may be a negative voltage with an absolute value of 150 or more. When the voltage is applied to the upper electrode 30 by the power supply 70, the positive ions existing in the processing space S will collide with the electrode plate 34. As a result, secondary electrons and/or silicon are released from the electrode plate 34. The released silicon will combine with the fluorine active groups present in the processing space S, thereby reducing the amount of fluorine active groups.

又,一實施形態中,電漿處理裝置10可更具有控制部Cnt。此控制部Cnt係具備處理器、記憶部、輸入裝置、顯示裝置等的電腦,會控制電漿處理裝置10之各部。此控制部Cnt中,操作員可進行用以管理電漿處理裝置10之指令輸入操作等,又,藉由顯示裝置,便可將電漿處理裝置10之運作狀況可視化地加以顯示。再者,控制部Cnt之記憶部係儲存有用以讓處理器來控制電漿處理裝置10所實行之各種處理的控制程式,或用以對應於處理條件來讓電漿處理裝置10之各部實行處理之程式,亦即處理配方。 Furthermore, in one embodiment, the plasma processing apparatus 10 may further include a control unit Cnt. The control unit Cnt is a computer equipped with a processor, a memory unit, an input device, a display device, and the like, and controls each part of the plasma processing apparatus 10. In this control unit Cnt, the operator can perform command input operations for managing the plasma processing device 10, etc., and the display device can visually display the operating status of the plasma processing device 10. Furthermore, the memory part of the control part Cnt stores a control program for allowing the processor to control various processes performed by the plasma processing apparatus 10, or to allow each part of the plasma processing apparatus 10 to execute processing corresponding to the processing conditions The program, that is, processing recipes.

以下,便再度參照圖1,就方法MT來詳細說明。以下說明中,會適當參照圖2、圖4~圖16。圖4~圖16係顯示方法MT實施途中階段之被處理體的剖視圖。另外,以下說明中,方法MT中係就使用圖3所示之電漿處理裝置10處理圖2所示之晶圓W的範例來加以說明。 Hereinafter, referring to FIG. 1 again, the method MT will be described in detail. In the following description, reference will be made to FIGS. 2, 4 to 16 as appropriate. 4 to 16 are cross-sectional views showing the body to be processed in the middle of the implementation of the method MT. In the following description, in the method MT, an example of processing the wafer W shown in FIG. 2 using the plasma processing apparatus 10 shown in FIG. 3 will be described.

首先,方法MT中,會將圖2所示之晶圓W搬入至電漿處理裝置10內,將該晶圓W載置於載置台PD上,並藉由該載置台PD來加以保持。 First, in the method MT, the wafer W shown in FIG. 2 is carried into the plasma processing apparatus 10, the wafer W is placed on the mounting table PD, and is held by the mounting table PD.

方法MT中,接著,會實行工序ST1。工序ST1中,會蝕刻反射防止膜AL。因此,工序ST1中,會從氣體源群40之複數氣體源中所選擇之氣體源將處理氣體供給至處理容器12內。此處理氣體含有氟碳氣體。氟碳氣體可含有例如C4F8氣體及CF4氣體中之一種以上。又,此處理氣體更可含有稀有氣體,例如Ar氣體。又,工序ST1中,排氣裝置50會作動,而將處理容器12內之壓力設定在既定壓力。進一步地,工序ST1中,來自第1高頻電源62之高頻電力會供給至上部電極30,來自第2高頻電源64之高頻偏壓電力會供給至下部電極LE。 In the method MT, next, the step ST1 is executed. In step ST1, the anti-reflection film AL is etched. Therefore, in step ST1, the processing gas is supplied into the processing container 12 from the gas source selected from the plural gas sources of the gas source group 40. This process gas contains fluorocarbon gas. The fluorocarbon gas may contain one or more of C 4 F 8 gas and CF 4 gas, for example. In addition, the processing gas may further contain a rare gas, such as Ar gas. In step ST1, the exhaust device 50 is activated, and the pressure in the processing container 12 is set to a predetermined pressure. Further, in step ST1, the high-frequency power from the first high-frequency power supply 62 is supplied to the upper electrode 30, and the high-frequency bias power from the second high-frequency power supply 64 is supplied to the lower electrode LE.

以下,便例示工序ST1中之各種條件。 Hereinafter, various conditions in step ST1 will be exemplified.

‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧Pressure in processing vessel: 10mTorr(1.33Pa)~50mTorr(6.65Pa)

‧處理氣體 ‧Processing gas

C4F8氣體:10sccm~30sccm C 4 F 8 gas: 10sccm~30sccm

CF4氣體:150sccm~300sccm CF 4 gas: 150sccm~300sccm

Ar氣體:200sccm~500sccm Ar gas: 200sccm~500sccm

‧電漿產生用高頻電力:300W~1000W ‧High-frequency power for plasma generation: 300W~1000W

‧高頻偏壓電力:200W~500W ‧High frequency bias power: 200W~500W

工序ST1中,會產生處理氣體的電漿,並藉由氟碳活性基來蝕刻從阻 劑遮罩RM開口所露出部分之反射防止膜AL。其結果如圖4所示,反射防止膜AL之全區域中,從阻劑遮罩RM開口所露出之部分便會被去除。亦即,阻劑遮罩RM之圖案會被轉印至反射防止膜AL,而於反射防止膜AL形成提供開口之圖案。另外,工序ST1中上述電漿處理裝置10之各部動作可藉由控制部Cnt來加以控制。 In step ST1, plasma for processing gas is generated, and the fluorocarbon active group is used to etch the The agent masks the reflection preventing film AL of the exposed portion of the RM opening. As a result, as shown in FIG. 4, in the entire area of the anti-reflection film AL, the part exposed from the opening of the resist mask RM is removed. That is, the pattern of the resist mask RM is transferred to the anti-reflection film AL, and a pattern providing an opening is formed in the anti-reflection film AL. In addition, the operation of each part of the plasma processing apparatus 10 in step ST1 can be controlled by the control part Cnt.

接著,工序ST2中,會蝕刻有機膜OL。因此,工序ST2中,會從氣體源群40之複數氣體源中所選擇之氣體源將處理氣體供給至處理容器12內。此處理氣體可含有氫氣及氮氣。另外,工序ST2中所使用之處理氣體只要能蝕刻有機膜,則亦可為其他氣體,例如含氧氣之處理氣體。又,工序ST2中,排氣裝置50會作動,而將處理容器12內之壓力設定在既定壓力。進一步地,工序ST2中,來自第1高頻電源62之高頻電力會供給至上部電極30,來自第2高頻電源64之高頻偏壓電力會供給至下部電極LE。 Next, in step ST2, the organic film OL is etched. Therefore, in step ST2, the processing gas is supplied into the processing container 12 from the gas source selected from the plural gas sources of the gas source group 40. This processing gas may contain hydrogen and nitrogen. In addition, as long as the processing gas used in the step ST2 can etch the organic film, it may be another gas, for example, a processing gas containing oxygen. In step ST2, the exhaust device 50 is activated, and the pressure in the processing container 12 is set to a predetermined pressure. Furthermore, in step ST2, the high-frequency power from the first high-frequency power supply 62 is supplied to the upper electrode 30, and the high-frequency bias power from the second high-frequency power supply 64 is supplied to the lower electrode LE.

以下,便例示工序ST2中之各種條件。 Hereinafter, various conditions in step ST2 will be exemplified.

‧處理容器內壓力:50mTorr(6.65Pa)~200mTorr(26.6Pa) ‧Pressure in processing vessel: 50mTorr(6.65Pa)~200mTorr(26.6Pa)

‧處理氣體 ‧Processing gas

N2氣體:200sccm~400sccm N 2 gas: 200sccm~400sccm

H2氣體:200sccm~400sccm H 2 gas: 200sccm~400sccm

‧電漿產生用高頻電力:500W~2000W ‧High-frequency power for plasma generation: 500W~2000W

‧高頻偏壓電力:200W~500W ‧High frequency bias power: 200W~500W

工序ST2中,會產生處理氣體的電漿,並蝕刻從反射防止膜AL開口所露出部分之有機膜OL。又,阻劑遮罩RM亦會被蝕刻。其結果如圖5所示,阻劑遮罩RM會被去除,有機膜OL中,從反射防止膜AL開口所露出之部分會被去除。亦即,反射防止膜AL之圖案會被轉印至有機膜OL,而於有機膜OL形成提供開口MO之圖案,並從該有機膜OL來產生遮罩MK。另外,工序ST2中上述電漿處理裝置10之各部動作可藉由控制部Cnt來加以控制。 In step ST2, plasma of the processing gas is generated, and the organic film OL exposed from the opening of the anti-reflection film AL is etched. Also, the resist mask RM will also be etched. As a result, as shown in FIG. 5, the resist mask RM is removed, and the portion of the organic film OL exposed from the opening of the anti-reflection film AL is removed. That is, the pattern of the anti-reflection film AL is transferred to the organic film OL, and a pattern is provided in the organic film OL to provide the opening MO, and the mask MK is generated from the organic film OL. In addition, the operation of each part of the plasma processing apparatus 10 in step ST2 can be controlled by the control part Cnt.

一實施形態中,會在工序ST2的實行後實行工序ST3。工序ST3中,第1區域R1會被蝕刻至第2區域R2露出前為止。亦即,該第1區域R1會被蝕刻到在第2區域R2上僅殘留極少第1區域R1。因此,工序ST3中,會從氣體源群40之複數氣體源中所選擇之氣體源將處理氣體供給至處理容 器12內。此處理氣體含有氟碳氣體。又,此處理氣體更可含有稀有氣體,例如Ar氣體。又,此處理氣體更可含有氧氣。又,工序ST3中,排氣裝置50會作動,而將處理容器12內之壓力設定在既定壓力。再者,工序ST3中,來自第1高頻電源62之高頻電力會供給至上部電極30,來自第2高頻電源64之高頻偏壓電力會供給至下部電極LE。 In one embodiment, step ST3 is executed after the execution of step ST2. In step ST3, the first region R1 is etched until the second region R2 is exposed. In other words, the first region R1 is etched so that only a small amount of the first region R1 remains on the second region R2. Therefore, in step ST3, the processing gas is supplied to the processing volume from the gas source selected from the plural gas sources of the gas source group 40 器12内。 12 inside. This process gas contains fluorocarbon gas. In addition, the processing gas may further contain a rare gas, such as Ar gas. Furthermore, the processing gas may further contain oxygen. In step ST3, the exhaust device 50 is activated, and the pressure in the processing container 12 is set to a predetermined pressure. In step ST3, the high-frequency power from the first high-frequency power supply 62 is supplied to the upper electrode 30, and the high-frequency bias power from the second high-frequency power supply 64 is supplied to the lower electrode LE.

工序ST3中,會產生處理氣體的電漿,並藉由氟碳活性基來蝕刻從遮罩MK開口所露出部分之第1區域R1。此工序ST3之處理時間係設定為當該工序ST3結束時,第1區域R1會以既定膜厚殘留在第2區域R2上。此工序ST3實行結果如圖6所示,會部分地形成上部開口UO。另外,工序ST3中上述電漿處理裝置10之各部動作可藉由控制部Cnt來加以控制。 In step ST3, plasma of the processing gas is generated, and the first region R1 exposed from the opening of the mask MK is etched by the fluorocarbon active group. The processing time of this step ST3 is set so that when the step ST3 is completed, the first region R1 will remain on the second region R2 with a predetermined film thickness. As shown in FIG. 6, the result of performing this step ST3 may partially form the upper opening UO. In addition, the operation of each part of the plasma processing apparatus 10 in step ST3 can be controlled by the control part Cnt.

於是,後述工序ST11中,係選擇相較第1區域R1之蝕刻,含氟碳之沉積物在含第1區域R1之晶圓W表面上的形成會較為優先的模式,亦即成為沉積模式的條件。另一方面,工序ST3中,係選擇相較於沉積物的形成,第1區域R1之蝕刻會較為優先的模式,亦即成為蝕刻模式的條件。因此,一範例中,工序ST3所利用之氟碳氣體可含有C4F8氣體及CF4氣體中之一種以上。此範例之氟碳氣體係氟原子數相對於碳原子數的比(即氟原子數/碳原子數)會較工序ST11中所利用之氟碳氣體的氟原子數相對於碳原子數的比(即氟原子數/碳原子數)要高的氟碳氣體。又,一範例中,為了提高氟碳氣體的解離度,工序ST3中所利用之電漿產生用高頻電力可設定為較工序ST11中所利用之電漿產生用高頻電力要大的電力。依該等範例,便可實現蝕刻模式。又,一範例中,工序ST3中所利用高頻偏壓電力亦可設定為較工序ST11之高頻偏壓電力要大的電力。依此範例,便可提高離子相對於晶圓W被吸引之能量,而可高速地蝕刻第1區域R1。 Therefore, in the step ST11 described later, the formation of the fluorocarbon-containing deposit on the surface of the wafer W containing the first region R1 will be a mode that has priority over the etching of the first region R1, that is, the deposition mode condition. On the other hand, in step ST3, the mode in which the etching of the first region R1 is given priority over the formation of the deposit is selected, that is, the condition for the etching mode. Therefore, in an example, the fluorocarbon gas used in step ST3 may contain more than one of C 4 F 8 gas and CF 4 gas. The ratio of the number of fluorine atoms to the number of carbon atoms in the fluorocarbon gas system of this example (i.e., the number of fluorine atoms/number of carbon atoms) is higher than the ratio of the number of fluorine atoms to the number of carbon atoms of the fluorocarbon gas used in step ST11 ( That is, the number of fluorine atoms/number of carbon atoms is higher than that of fluorocarbon gas. Furthermore, in an example, in order to improve the dissociation degree of fluorocarbon gas, the high-frequency power for plasma generation used in step ST3 may be set to be larger than the high-frequency power for plasma generation used in step ST11. According to these examples, the etching mode can be realized. Also, in an example, the high-frequency bias power used in step ST3 may be set to a power larger than the high-frequency bias power in step ST11. According to this example, the energy that ions are attracted to the wafer W can be increased, and the first region R1 can be etched at high speed.

以下,便例示工序ST3中之各種條件。 Hereinafter, various conditions in step ST3 will be exemplified.

‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧Pressure in processing vessel: 10mTorr(1.33Pa)~50mTorr(6.65Pa)

‧處理氣體 ‧Processing gas

C4F8氣體:10sccm~30sccm C 4 F 8 gas: 10sccm~30sccm

CF4氣體:50sccm~150sccm CF 4 gas: 50sccm~150sccm

Ar氣體:500sccm~1000sccm Ar gas: 500sccm~1000sccm

O2氣體:10sccm~30sccm O 2 gas: 10sccm~30sccm

‧電漿產生用高頻電力:500W~2000W ‧High-frequency power for plasma generation: 500W~2000W

‧高頻偏壓電力:500W~2000W ‧High frequency bias power: 500W~2000W

一實施形態中,接著會實行工序ST4。工序ST4中,會在處理容器12內產生含有含氧氣體之處理氣體的電漿。因此,工序ST4中,會從氣體源群40之複數氣體源中所選擇之氣體源將處理氣體供給至處理容器12內。此處理氣體在一範例中,可含有氧氣來作為含氧氣體。又,處理氣體更可含有稀有氣體(例如Ar氣體)或稱為氮氣之非活性氣體。又,工序ST4中,排氣裝置50會作動,而將處理容器12內之壓力設定在既定壓力。再者,工序ST4中,來自第1高頻電源62之高頻電力會供給至上部電極30。另外,工序ST4中,來自第2高頻電源64之高頻偏壓電力亦可不供給至下部電極LE。 In one embodiment, step ST4 is performed next. In step ST4, a plasma containing a processing gas containing oxygen-containing gas is generated in the processing container 12. Therefore, in step ST4, the processing gas is supplied into the processing container 12 from the gas source selected from the plural gas sources of the gas source group 40. In one example, the processing gas may contain oxygen as the oxygen-containing gas. Furthermore, the processing gas may further contain a rare gas (such as Ar gas) or an inert gas called nitrogen. In step ST4, the exhaust device 50 is activated, and the pressure in the processing container 12 is set to a predetermined pressure. In step ST4, the high-frequency power from the first high-frequency power supply 62 is supplied to the upper electrode 30. In step ST4, the high-frequency bias power from the second high-frequency power supply 64 may not be supplied to the lower electrode LE.

工序ST4中,會產生氧的活性基,並藉由該氧的活性基使得遮罩MK的開口MO在其上端部分變廣。具體而言如圖7所示,區劃出開口MO上端部分之遮罩MK的上側肩部會以呈現錐狀之方式而被加以蝕刻。藉此,即便在爾後工序所產生之沉積物附著於區劃出遮罩MK之開口MO的面,仍可減低該開口MO寬度的縮小量。另外,工序ST4中上述電漿處理裝置10之各部動作可藉由控制部Cnt來加以控制。 In step ST4, an oxygen active group is generated, and the oxygen active group makes the opening MO covering the MK wider at the upper end portion. Specifically, as shown in FIG. 7, the upper shoulder of the mask MK that defines the upper end portion of the opening MO is etched in a tapered manner. In this way, even if the deposits generated in the subsequent process adhere to the surface that defines the opening MO of the mask MK, the reduction in the width of the opening MO can be reduced. In addition, the operation of each part of the plasma processing apparatus 10 in step ST4 can be controlled by the control part Cnt.

於是,後述工序ST12中,則是減少各機制中所形成之微量沉積物,而需要抑制沉積物的過度減少。另一方面,工序ST4中,則是為了擴張遮罩MK之開口MO上端部分的寬度所實行者,故會要求其處理時間的減短。 Therefore, in step ST12 described later, it is necessary to reduce trace deposits formed in each mechanism, and it is necessary to suppress excessive reduction of the deposits. On the other hand, in step ST4, since it is performed to expand the width of the upper end portion of the opening MO of the mask MK, it is required to reduce the processing time.

以下,便例示工序ST4中之各種條件。 Hereinafter, various conditions in step ST4 will be exemplified.

‧處理容器內壓力:30mTorr(3.99Pa)~200mTorr(26.6Pa) ‧Pressure in processing vessel: 30mTorr(3.99Pa)~200mTorr(26.6Pa)

‧處理氣體 ‧Processing gas

O2氣體:50sccm~500sccm O 2 gas: 50sccm~500sccm

Ar氣體:200sccm~1500sccm Ar gas: 200sccm~1500sccm

‧電漿產生用高頻電力:100W~500W ‧High-frequency power for plasma generation: 100W~500W

‧高頻偏壓電力:0W~200W ‧High frequency bias power: 0W~200W

接著,方法MT中,為了蝕刻第1區域R1,會反覆地實行機制SQ。機制SQ係依序含有工序ST11、工序ST12及工序ST13。 Next, in the method MT, in order to etch the first region R1, the mechanism SQ is repeatedly implemented. The mechanism SQ includes step ST11, step ST12, and step ST13 in this order.

機制SQ中,首先會實行工序ST11。工序ST11中,會在收納有晶圓W 的處理容器12中產生處理氣體的電漿。因此,工序ST11中,會從氣體源群40之複數氣體源中所選擇之氣體源將處理氣體供給至處理容器12內。此處理氣體含有氟碳氣體。又,此處理氣體更可含有稀有氣體,例如Ar氣體。又,工序ST11中,會使得排氣裝置50作動,讓處理容器12內之壓力設定在既定壓力。再者,工序ST11中,來自第1高頻電源62之高頻電力會施加至上部電極30。藉此,便會產生含氟碳氣體之處理氣體的電漿,而解離後的氟碳會沉積在晶圓W表面上,如圖8所示形成沉積物DP。相關工序ST11中之上述電漿處理裝置10的各部動作可藉由控制部Cnt來加以控制。 In the mechanism SQ, the process ST11 is first executed. In step ST11, the wafer W is stored The plasma of the processing gas is generated in the processing container 12 of. Therefore, in step ST11, the processing gas is supplied into the processing container 12 from the gas source selected from the plural gas sources of the gas source group 40. This process gas contains fluorocarbon gas. In addition, the processing gas may further contain a rare gas, such as Ar gas. In step ST11, the exhaust device 50 is activated to set the pressure in the processing container 12 to a predetermined pressure. In step ST11, high-frequency power from the first high-frequency power source 62 is applied to the upper electrode 30. As a result, a plasma of a processing gas containing fluorocarbon gas is generated, and the dissociated fluorocarbon is deposited on the surface of the wafer W, as shown in FIG. 8 to form a deposit DP. The operation of each part of the plasma processing apparatus 10 in the related step ST11 can be controlled by the control part Cnt.

如上述,工序ST11中,會選擇成為沉積模式的條件。因此,一範例中,便會利用C4F6氣體來做為氟碳氣體。 As described above, in step ST11, the conditions for the deposition mode are selected. Therefore, in one example, C 4 F 6 gas is used as the fluorocarbon gas.

以下,便例示工序ST11中之各種條件。 Hereinafter, various conditions in step ST11 will be exemplified.

‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧Pressure in processing vessel: 10mTorr(1.33Pa)~50mTorr(6.65Pa)

‧處理氣體 ‧Processing gas

C2F6氣體:2sccm~10sccm C 2 F 6 gas: 2sccm~10sccm

Ar氣體:500sccm~1500sccm Ar gas: 500sccm~1500sccm

‧電漿產生用高頻電力:100W~500W ‧High-frequency power for plasma generation: 100W~500W

‧高頻偏壓電力:0W ‧High frequency bias power: 0W

方法MT中,接著會實行工序ST12。工序ST12中,會在處理容器21中產生含有含氧氣體及非活性氣體之處理氣體的電漿。因此,工序ST12中,會從氣體源群40之複數氣體源中所選擇之氣體源將處理氣體供給至處理容器12內。一範例中,此處理氣體係含有氧氣來作為含氧氣體。又,一範例中,此處理氣體係含有稱為Ar氣體之稀有氣體來作為非活性氣體。非活性氣體亦可為氮氣。又,工序ST12中,會使得排氣裝置50作動,讓處理容器12內之壓力設定在既定壓力。再者,工序ST12中,來自第1高頻電源62之高頻電力會供給至上部電極30。工序ST12中,來自第2高頻電源64之高頻偏壓電力亦可不供給至下部電極LE。 In the method MT, the process ST12 is then executed. In step ST12, a plasma containing a processing gas containing an oxygen-containing gas and an inert gas is generated in the processing container 21. Therefore, in step ST12, the processing gas is supplied into the processing container 12 from the gas source selected from the plural gas sources of the gas source group 40. In one example, the process gas system contains oxygen as an oxygen-containing gas. Also, in an example, the process gas system contains a rare gas called Ar gas as an inert gas. The inert gas can also be nitrogen. In step ST12, the exhaust device 50 is activated to set the pressure in the processing container 12 to a predetermined pressure. In step ST12, high-frequency power from the first high-frequency power source 62 is supplied to the upper electrode 30. In step ST12, the high-frequency bias power from the second high-frequency power supply 64 may not be supplied to the lower electrode LE.

工序ST12中,會產生氧的活性基,並因該氧的活性基,使得晶圓W上沉積物DP的量如圖9所示般適度地減少。其結果,便會防止因過剩沉積物DP而使得開口MO及上部開口UO閉塞。又,工序ST12所利用之處理 氣體中,氧氣會因非活性氣體而被稀釋,故可抑制沉積物DP被過度去除。相關工序ST12中之上述電漿處理裝置10的各部動作可藉由控制部Cnt來加以控制。 In step ST12, oxygen active groups are generated, and due to the oxygen active groups, the amount of the deposit DP on the wafer W is appropriately reduced as shown in FIG. 9. As a result, the opening MO and the upper opening UO are prevented from being blocked by the excessive deposit DP. In addition, the processing used in step ST12 In the gas, oxygen will be diluted by the inert gas, so that the excessive removal of the deposit DP can be suppressed. The operation of each part of the plasma processing apparatus 10 in the related step ST12 can be controlled by the control part Cnt.

以下,便例示工序ST12中之各種條件。 Hereinafter, various conditions in step ST12 will be exemplified.

‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧Pressure in processing vessel: 10mTorr(1.33Pa)~50mTorr(6.65Pa)

‧處理氣體 ‧Processing gas

O2氣體:2sccm~20sccm O 2 gas: 2sccm~20sccm

Ar氣體:500sccm~1500sccm Ar gas: 500sccm~1500sccm

‧電漿產生用高頻電力:100W~500W ‧High-frequency power for plasma generation: 100W~500W

‧高頻偏壓電力:0W ‧High frequency bias power: 0W

一實施形態中,各機制之工序ST12,亦即工序ST12一次會實行2秒以上,且工序S12中的沉積物DP可以1nm/秒以下的速率來加以蝕刻。使用電漿處理裝置10般的電漿處理裝置來實行上述機制時,為了工序ST11、工序ST12及工序ST13之各工序間的轉移則需要氣體切換時間。從而,考慮到放電穩定所需的時間時,則工序ST12便需要實行2秒以上。但是,在此般長時間的期間中,沉積物DP的蝕刻率過高時,可能會過度去除用以保護第2區域R2之沉積物。因此,工序ST12中係以1nm/秒以下的速率來蝕刻沉積物DP。藉此,便可適當地調整晶圓W上所形成之沉積物DP的量。另外,工序ST12中沉積物DP之蝕刻為1nm/秒以下的速率可從處理容器內的壓力、處理氣體中氧因稀有氣體所稀釋的程度,亦即氧濃度,以及電漿產生用高頻電力之上述條件而加以選擇來達成。 In one embodiment, the process ST12 of each mechanism, that is, the process ST12 is performed for 2 seconds or more at a time, and the deposit DP in the process S12 can be etched at a rate of 1 nm/second or less. When the above mechanism is implemented using a plasma processing apparatus like the plasma processing apparatus 10, a gas switching time is required for the transition between each of the steps ST11, ST12, and ST13. Therefore, considering the time required for the discharge to stabilize, step ST12 needs to be performed for 2 seconds or more. However, during such a long period of time, if the etching rate of the deposit DP is too high, the deposit for protecting the second region R2 may be excessively removed. Therefore, in step ST12, the deposit DP is etched at a rate of 1 nm/sec or less. Thereby, the amount of the deposit DP formed on the wafer W can be adjusted appropriately. In addition, the etching of the deposit DP in the process ST12 is a rate of 1 nm/sec or less from the pressure in the processing vessel, the degree to which the oxygen in the processing gas is diluted by the rare gas, that is, the oxygen concentration, and the high-frequency power for plasma generation To meet the above conditions.

接著,工序ST13中,會蝕刻第1區域R1。因此,工序ST13中,會從氣體源群40之複數氣體源中所選擇之氣體源將處理氣體供給至處理容器12內。此處理氣體係含有非活性氣體。非活性氣體在一範例中,可為稱為Ar氣體之稀有氣體。或者,非活性氣體亦可為氮氣。又,工序ST13中,會使得排氣裝置50作動,讓處理容器12內之壓力設定在既定壓力。再者,工序ST13中,來自第1高頻電源62之高頻電力會供給至上部電極30。又,工序ST13中,來自第2高頻電源64之高頻偏壓電力會供給至下部電極LE。 Next, in step ST13, the first region R1 is etched. Therefore, in step ST13, the processing gas is supplied into the processing container 12 from the gas source selected from the plural gas sources of the gas source group 40. This process gas system contains inert gas. In one example, the inert gas may be a rare gas called Ar gas. Alternatively, the inert gas may be nitrogen. In step ST13, the exhaust device 50 is activated to set the pressure in the processing container 12 to a predetermined pressure. In step ST13, the high-frequency power from the first high-frequency power source 62 is supplied to the upper electrode 30. In step ST13, the high-frequency bias power from the second high-frequency power supply 64 is supplied to the lower electrode LE.

以下,便例示工序ST13中之各種條件。 Hereinafter, various conditions in step ST13 will be exemplified.

‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧Pressure in processing vessel: 10mTorr(1.33Pa)~50mTorr(6.65Pa)

‧處理氣體 ‧Processing gas

Ar氣體:500sccm~1500sccm Ar gas: 500sccm~1500sccm

‧電漿產生用高頻電力:100W~500W ‧High-frequency power for plasma generation: 100W~500W

‧高頻偏壓電力:20W~300W ‧High frequency bias power: 20W~300W

工序ST13中,會產生非活性氣體的電漿,離子會相對於晶圓W而被吸引。然後,會藉由沉積物DP所含有的氟碳之自由基來蝕刻第1區域R1。藉此,如圖10所示,第2區域R2所提供之凹部內的第1區域R1便會被蝕刻,而逐漸形成下部開口LO。相關工序ST13中之上述電漿處理裝置10的各部動作可藉由控制部Cnt來加以控制。 In step ST13, plasma of inert gas is generated, and ions are attracted to the wafer W. Then, the first region R1 is etched by the fluorocarbon radical contained in the deposit DP. As a result, as shown in FIG. 10, the first region R1 in the recess provided by the second region R2 is etched, and the lower opening LO is gradually formed. The operation of each part of the plasma processing apparatus 10 in the related step ST13 can be controlled by the control part Cnt.

方法MT中,會反覆含有上述工序ST11~工序ST13之機制SQ。然後,隨著機制SQ的反覆,如圖11所示,會因工序ST11的實行而在晶圓W上形成沉積物DP。然後,如圖12所示,因工序ST12的實行而減少沉積物DP的量。然後,如圖13所示,因工序ST13的實行而進一步地蝕刻第1區域R1,使得下部開口LO深度變深。又,隨著進一步地反覆機制SQ,如圖14所示,便會因工序ST11的實行而在晶圓W上形成沉積物DP。然後,如圖15所示,因工序ST12的實行而減少沉積物DP的量。然後,如圖16所示,因工序ST13的實行而進一步地蝕刻第1區域R1,使得下部開口LO深度變深。最後,會蝕刻第1區域R1直到凹部底的第2區域R2露出為止。 In the method MT, the mechanism SQ including the above steps ST11 to ST13 is repeated. Then, as the mechanism SQ is repeated, as shown in FIG. 11, a deposit DP is formed on the wafer W due to the execution of the process ST11. Then, as shown in FIG. 12, the amount of the deposit DP is reduced by the execution of the process ST12. Then, as shown in FIG. 13, the first region R1 is further etched due to the execution of step ST13 so that the depth of the lower opening LO becomes deeper. Further, as the mechanism SQ is further repeated, as shown in FIG. 14, a deposit DP is formed on the wafer W due to the execution of the process ST11. Then, as shown in FIG. 15, the amount of deposit DP is reduced due to the execution of step ST12. Then, as shown in FIG. 16, the first region R1 is further etched due to the execution of the step ST13, so that the depth of the lower opening LO becomes deeper. Finally, the first region R1 is etched until the second region R2 at the bottom of the recess is exposed.

回到圖1,方法MT中,會在工序STa中判斷是否滿足停止條件。停止條件係判斷為機制SQ已滿足實行既定次數的情況。工序STa中,在判斷為未滿足停止條件的情況,便會從工序ST11來實行機制SQ。另一方面,工序STa中,在判斷為已滿足停止條件的情況,便結束方法MT的實施。 Returning to FIG. 1, in the method MT, it is judged whether the stop condition is satisfied in the process STa. The stop condition is a case where it is judged that the mechanism SQ has fulfilled a predetermined number of times. In step STa, when it is determined that the stop condition is not satisfied, the mechanism SQ is implemented from step ST11. On the other hand, in step STa, when it is determined that the stop condition is satisfied, the execution of the method MT is ended.

一實施形態中,可以在包含第2區域R2露出時之期間所實行的機制SQ(以下稱為「第1機制」)裡,第1區域R1的蝕刻量會較以後實行之機制SQ(以下稱為「第2機制」)中第1區域R1的蝕刻量要少的方式,來設定機制SQ反覆的條件。一範例中,第1機制的實行時間係設定為較第2機制的實行時間要短。此範例中,第1機制中工序ST11的實行時間長度、工序ST12的實行時間長度以及工序ST13的實行時間長度的比可設定為等同於第2機制中工序ST11的實行時間長度、工序ST12的實行時間長度以及工序ST13的實行時間長度的比。例如,第1機制中,工序ST11的實行時間長度係從 2秒~5秒範圍的時間長度來加以選擇,工序ST12的實行時間長度係從2秒~5秒範圍的時間長度來加以選擇,工序ST13的實行時間長度係從5秒~10秒範圍的時間長度來加以選擇。又,第2機制中,工序ST11的實行時間長度係從2秒~10秒範圍的時間長度來加以選擇,工序ST12的實行時間長度係從2秒~10秒範圍的時間長度來加以選擇,工序ST13的實行時間長度係從5秒~20秒範圍的時間長度來加以選擇。 In one embodiment, the mechanism SQ (hereinafter referred to as the "first mechanism") that can be implemented during the period when the second region R2 is exposed can be used. The condition for the mechanism SQ to repeat is set so that the etching amount of the first region R1 in the "second mechanism" is small. In one example, the execution time of the first mechanism is set to be shorter than that of the second mechanism. In this example, the ratio of the execution time length of step ST11, the execution time length of step ST12 and the execution time length of step ST13 in the first mechanism can be set to be equal to the execution time length of step ST11 and the execution of step ST12 in the second mechanism The ratio of the time length and the execution time length of the process ST13. For example, in the first mechanism, the execution time length of step ST11 is from The time length in the range of 2 seconds to 5 seconds is selected. The execution time length of step ST12 is selected from the time length in the range of 2 seconds to 5 seconds. The execution time length of step ST13 is the time in the range of 5 seconds to 10 seconds. To choose the length. Furthermore, in the second mechanism, the execution time length of step ST11 is selected from a time length in the range of 2 seconds to 10 seconds, and the execution time length of step ST12 is selected from a time length in the range of 2 seconds to 10 seconds. The execution time length of ST13 is selected from the time length ranging from 5 seconds to 20 seconds.

工序S11所產生之氟碳活性基雖會沉積在第2區域R2上來保護該第2區域R2,但在蝕刻第1區域R1來使得第2區域R2露出時,第2區域R2可能會被蝕刻。於是,一實施形態中,在第2區域R2露出期間中會實行第1機制。藉此,便會抑制蝕刻量並在晶圓W上形成沉積物DP,藉由該沉積物DP來保護第2區域R2。然而之後,會實行蝕刻量較多的第2機制。從而,依此實施形態,便可抑制第2區域R2的削減,並蝕刻第1區域R1。 Although the fluorocarbon active group generated in step S11 is deposited on the second region R2 to protect the second region R2, when the first region R1 is etched to expose the second region R2, the second region R2 may be etched. Therefore, in one embodiment, the first mechanism is implemented during the exposure period of the second region R2. As a result, the amount of etching is suppressed and a deposit DP is formed on the wafer W, and the second region R2 is protected by the deposit DP. However, after that, a second mechanism with a larger etching amount will be implemented. Therefore, according to this embodiment, the reduction of the second region R2 can be suppressed, and the first region R1 can be etched.

又,在第2機制實行後所實行的機制SQ(以下稱為「第3機制」)之工序ST13中,高頻偏壓電力可設定為較第1機制及第2機制之工序ST13所利用之高頻偏壓電力要大的電力。例如,第1機制及第2機制之工序ST13中,高頻偏壓電力係設定在20W~100W的電力,第3機制之工序ST13中,高頻偏壓電力係設定在100W~300W的電力。另外,一範例之第3機制中,工序ST11的實行時間長度係從2秒~10秒範圍的時間長度來加以選擇,工序ST12的實行時間長度係從2秒~10秒範圍的時間長度來加以選擇,工序ST13的實行時間長度係從5秒~15秒範圍的時間長度來加以選擇。 In addition, in the process ST13 of the mechanism SQ (hereinafter referred to as the "third mechanism") that is executed after the second mechanism is implemented, the high-frequency bias power can be set to be higher than that used in the process ST13 of the first mechanism and the second mechanism High-frequency bias power requires large power. For example, in the step ST13 of the first mechanism and the second mechanism, the high-frequency bias power is set at 20W~100W, and in the step ST13 of the third mechanism, the high-frequency bias power is set at 100W~300W. In addition, in the third mechanism of an example, the execution time length of the process ST11 is selected from a time length in the range of 2 seconds to 10 seconds, and the execution time length of the process ST12 is selected from a time length in the range of 2 seconds to 10 seconds. Selection, the execution time length of the process ST13 is selected from the time length in the range of 5 seconds to 15 seconds.

如圖14所示,第1機制及第2機制實行後,晶圓W上沉積物DP的量會變的相當多。沉積物DP的量變多時,開口MO的寬度、上部開口UO以及下部開口LO的寬度會因沉積物DP而變窄。因此,可能會產生到達下部開口LO深部之離子的流速不足的事態。但是,由於第3機制之工序ST13中係使用較大的高頻偏壓電力,故會提高被吸引至晶圓W的離子之能量。其結果,即便下部開口LO較深,仍可將離子供給至該下部開口LO深部。 As shown in FIG. 14, after the first mechanism and the second mechanism are implemented, the amount of deposit DP on the wafer W will become quite large. When the amount of the deposit DP becomes larger, the width of the opening MO, the width of the upper opening UO, and the width of the lower opening LO become narrower due to the deposit DP. Therefore, the flow rate of ions reaching the deep part of the lower opening LO may be insufficient. However, since step ST13 of the third mechanism uses a large high-frequency bias power, the energy of ions attracted to the wafer W is increased. As a result, even if the lower opening LO is deep, ions can still be supplied to the deep portion of the lower opening LO.

以下,便就其他實施形態相關之蝕刻方法來加以說明。圖17係顯示其他實施形態相關之蝕刻方法的流程圖。圖18及圖19係顯示圖17所示方法的工序ST14實行後之被處理體的剖視圖。圖18係顯示針對圖10所示晶圓W實行工序ST14後之該晶圓剖面狀態,圖19係顯示針對圖13所示晶圓 W實行工序ST14後之該晶圓剖面狀態。圖17所示之方法MT2中,係在機制SQ更含有在工序ST13實行後所實行之工序ST14這點與方法MT有所差異。此工序ST14係同樣於工序ST12之工序。工序S14之處理的條件可採用關於工序ST12之處理的上述條件。 Hereinafter, the etching methods related to other embodiments will be described. FIG. 17 is a flowchart showing an etching method related to other embodiments. 18 and 19 are cross-sectional views showing the body to be processed after step ST14 of the method shown in FIG. 17 is performed. FIG. 18 shows the cross-sectional state of the wafer after performing the process ST14 for the wafer W shown in FIG. 10, and FIG. 19 shows the wafer shown in FIG. 13 W The cross-sectional state of the wafer after the process ST14 is performed. The method MT2 shown in FIG. 17 is different from the method MT in that the mechanism SQ further includes the step ST14 executed after the step ST13 is executed. This step ST14 is the same as the step ST12. The conditions of the process of the process S14 can adopt the above conditions regarding the process of the process ST12.

如上述般在工序ST13中,離子會被吸引到晶圓W。藉此,構成沉積物DP的物質會由晶圓W釋出,該物質會再度附著於晶圓W,如圖10及圖13所示,以讓開口MO及下部開口LO之寬度變窄的方式來形成沉積物DP。此沉積物DP依情況,亦會阻塞開口MO及下部開口LO。方法MT2中,會藉由工序ST14的實行,使得圖10及圖13所示之晶圓W與在工序ST12同樣地暴露於氧的活性基。藉此,便可如圖18及圖19所示般減少會讓開口MO及下部開口LO之寬度變窄的沉積物DP(參照圖10及圖13),可更確實防止開口MO及下部開口LO的閉塞。 As described above, in step ST13, ions are attracted to the wafer W. As a result, the substance constituting the deposit DP will be released from the wafer W, and the substance will adhere to the wafer W again, as shown in FIGS. 10 and 13, in such a manner as to narrow the width of the opening MO and the lower opening LO To form the deposit DP. Depending on the situation, this deposit DP will also block the opening MO and the lower opening LO. In the method MT2, by performing the process ST14, the wafer W shown in FIGS. 10 and 13 is exposed to the active group of oxygen in the same manner as in the process ST12. This can reduce the deposit DP (see FIGS. 10 and 13) that narrows the width of the opening MO and the lower opening LO as shown in FIGS. 18 and 19, and can more reliably prevent the opening MO and the lower opening LO Occlusion.

以上雖已就各種實施形態來加以說明,但並不限定於上述實施形態而可構成各種變形樣態。例如,方法MT的實施中,雖係供給電漿產生用高頻電力至上部電極30,但該高頻電力亦可供給至下部電極LE。又,方法MT的實施可使用電漿處理裝置10以外的電漿處理裝置。具體而言,可使用如感應耦合型電漿處理裝置或藉由稱為微波之表面波來產生電漿的電漿處理裝置般之任意電漿處理裝置來實施方法MT。 Although various embodiments have been described above, they are not limited to the above-mentioned embodiments, and various modifications can be made. For example, in the implementation of the method MT, although high-frequency power for plasma generation is supplied to the upper electrode 30, the high-frequency power may also be supplied to the lower electrode LE. In addition, the method MT may be implemented using a plasma processing apparatus other than the plasma processing apparatus 10. Specifically, the method MT may be implemented using any plasma processing device such as an inductively coupled plasma processing device or a plasma processing device that generates plasma by surface waves called microwaves.

又,方法MT之機制SQ中的工序ST11、工序ST12及工序ST13的實行順序亦可加以變更。例如,方法MT之機制SQ中,可在工序ST13實行後才實行工序ST12。 In addition, the execution order of step ST11, step ST12, and step ST13 in the mechanism SQ of the method MT may be changed. For example, in the mechanism SQ of the method MT, the process ST12 may be executed after the process ST13 is executed.

MT‧‧‧方法 MT‧‧‧Method

SQ‧‧‧機制 SQ‧‧‧ mechanism

ST1‧‧‧反射防止膜的蝕刻 ST1‧‧‧Anti-reflection film etching

ST2‧‧‧有機膜的蝕刻 ST2‧‧‧Etching of organic film

ST3‧‧‧第1區域的蝕刻 ST3‧‧‧Etching of the first area

ST4‧‧‧產生含氧氣體之電漿 ST4‧‧‧Plasma generating oxygen-containing gas

ST11‧‧‧產生含氟碳氣體之處理氣體的電漿 ST11 ‧‧‧ Plasma generating process gas containing fluorocarbon gas

ST12‧‧‧產生含有含氧氣體及非活性氣體之處理氣體的電漿 ST12‧‧‧ Produce plasma containing oxygen-containing gas and inert gas

ST13‧‧‧第1區域的蝕刻 ST13‧‧‧Etching of the first area

STa‧‧‧滿足停止條件嗎? Does STa‧‧‧ meet the stop condition?

Claims (5)

一種蝕刻方法,係藉由對被處理體之電漿處理,而相對於氮化矽所構成之第2區域來選擇性地蝕刻氧化矽所構成之第1區域的蝕刻方法,該被處理體係具有區劃出凹部之第2區域、填埋該凹部且以覆蓋該第2區域之方式來加以設置之該第1區域、以及設於該第1區域上之遮罩,該蝕刻方法係包含有:第1工序,係在收納該被處理體之處理容器內產生含氟碳氣體之處理氣體的電漿,會在該被處理體上形成含氟碳之沉積物;第2工序,係在收納該被處理體之處理容器內,產生含有含氧氣體及非活性氣體之處理氣體的電漿;以及,第3工序,係藉由該沉積物所含有的氟碳之自由基來蝕刻該第1區域;反覆地依序實行含該第1工序、該第2工序及該第3工序之機制。 An etching method is an etching method for selectively etching the first region composed of silicon oxide with respect to the second region composed of silicon nitride by plasma treatment of the object to be processed, the processed system has The second region that defines the recess, the first region that fills the recess and is arranged to cover the second region, and the mask provided on the first region, the etching method includes: The first step is to generate a fluorocarbon-containing process gas plasma in the processing container that houses the object, and a fluorocarbon-containing deposit will be formed on the object; the second step is to store the object In the processing container of the processing body, a plasma containing a processing gas containing an oxygen-containing gas and an inert gas is generated; and, in the third step, the first region is etched by the fluorocarbon radicals contained in the deposit; The mechanism including the first step, the second step, and the third step is repeatedly executed in sequence. 如申請專利範圍第1項之蝕刻方法,其中該遮罩係由有機材料所構成;該遮罩上係設有含矽反射防止膜;進一步含有:第4工序,係在該處理容器內,產生含氟碳氣體之處理氣體的電漿,會在該第2區域露出前蝕刻該第1區域;以及,第5工序,係在該處理容器內產生含有含氧氣體之處理氣體的電漿;在該第4工序及該第5工序之實行後,會實行該機制。 For example, the etching method of the first patent application, where the mask is made of organic materials; the mask is provided with a silicon-containing anti-reflection film; further contains: the fourth step, which is produced in the processing container The plasma of the processing gas containing fluorocarbon gas will etch the first area before the second area is exposed; and, in the fifth step, a plasma containing the processing gas containing oxygen-containing gas is generated in the processing vessel; After the implementation of the fourth step and the fifth step, the mechanism will be implemented. 如申請專利範圍第1項之蝕刻方法,其中該第2工序一次會實行2秒以上,且該第2工序中會以1nm/秒以下的速率來蝕刻該沉積物。 For example, the etching method according to item 1 of the patent application scope, wherein the second process will be performed for more than 2 seconds at a time, and the deposit will be etched at a rate of 1 nm/second or less in the second process. 如申請專利範圍第2項之蝕刻方法,其中該第2工序一次會實行2秒以上,且該第2工序中會以1nm/秒以下的速率來蝕刻該沉積物。 For example, the etching method of claim 2 of the patent application, wherein the second step will be performed for more than 2 seconds at a time, and the second step will etch the deposit at a rate of 1 nm/second or less. 如申請專利範圍第1至4項中任一項之蝕刻方法,其中該機制進一步含有其他工序,係在收納該被處理體之處理容器內,產生含有含氧氣體及非活性氣體之處理氣體的電漿。 The etching method as described in any one of the patent application items 1 to 4, wherein the mechanism further includes other steps, which are to generate a processing gas containing an oxygen-containing gas and an inert gas in the processing container containing the object to be processed Plasma.
TW105101026A 2015-01-16 2016-01-14 Etching method (3) TWI684218B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2015006775 2015-01-16
JP2015-006775 2015-01-16
JP2015034212A JP2016136606A (en) 2015-01-16 2015-02-24 Etching method
JP2015-034212 2015-02-24

Publications (2)

Publication Number Publication Date
TW201635371A TW201635371A (en) 2016-10-01
TWI684218B true TWI684218B (en) 2020-02-01

Family

ID=56513112

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105101026A TWI684218B (en) 2015-01-16 2016-01-14 Etching method (3)

Country Status (3)

Country Link
JP (1) JP2016136606A (en)
KR (1) KR102513051B1 (en)
TW (1) TWI684218B (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6759004B2 (en) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 How to process the object to be processed
US10483118B2 (en) * 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
JP6877316B2 (en) 2017-11-08 2021-05-26 東京エレクトロン株式会社 Etching method
JP6811202B2 (en) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 Etching method and plasma processing equipment
JP7198609B2 (en) 2018-08-21 2023-01-04 東京エレクトロン株式会社 Etching method and plasma processing apparatus
JP7138514B2 (en) * 2018-08-22 2022-09-16 東京エレクトロン株式会社 Annular member, plasma processing apparatus and plasma etching method
JP7110034B2 (en) 2018-08-24 2022-08-01 東京エレクトロン株式会社 Etching method and plasma processing apparatus
JP7390165B2 (en) * 2019-02-28 2023-12-01 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001963A1 (en) * 2000-07-03 2002-01-03 Masahiro Tadokoro Fabrication method of semiconductor integrated circuit device
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3027951B2 (en) * 1997-03-12 2000-04-04 日本電気株式会社 Method for manufacturing semiconductor device
US6716766B2 (en) * 2002-08-22 2004-04-06 Micron Technology, Inc. Process variation resistant self aligned contact etch
JP2014209515A (en) * 2013-04-16 2014-11-06 東京エレクトロン株式会社 Etching method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001963A1 (en) * 2000-07-03 2002-01-03 Masahiro Tadokoro Fabrication method of semiconductor integrated circuit device
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer

Also Published As

Publication number Publication date
KR20160088816A (en) 2016-07-26
KR102513051B1 (en) 2023-03-22
JP2016136606A (en) 2016-07-28
TW201635371A (en) 2016-10-01

Similar Documents

Publication Publication Date Title
TWI684218B (en) Etching method (3)
CN109219867B (en) Etching method
TWI716378B (en) Etching method
TWI682461B (en) Method for processing workpiece
TW201631656A (en) Etching method
JP6382055B2 (en) Method for processing an object
US10763123B2 (en) Method for processing workpiece
TWI713109B (en) Etching method (1)
TWI692809B (en) Etching method
TWI722187B (en) Etching method
TWI694531B (en) Etching method
US11264246B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
TW201717276A (en) Etching method
TWI766866B (en) Etching method
KR102461750B1 (en) Method of processing target object
JP2017212357A (en) Plasma processing method
CN109417029B (en) Method for processing object to be processed
CN105810579B (en) Etching method