TW201703134A - 用於晶圓副產物分佈及蝕刻特徵部輪廓均勻性之透過可調式電漿解離的氣體反應軌跡控制 - Google Patents

用於晶圓副產物分佈及蝕刻特徵部輪廓均勻性之透過可調式電漿解離的氣體反應軌跡控制 Download PDF

Info

Publication number
TW201703134A
TW201703134A TW105109653A TW105109653A TW201703134A TW 201703134 A TW201703134 A TW 201703134A TW 105109653 A TW105109653 A TW 105109653A TW 105109653 A TW105109653 A TW 105109653A TW 201703134 A TW201703134 A TW 201703134A
Authority
TW
Taiwan
Prior art keywords
gas
conditioning
substrate
chamber
reactant
Prior art date
Application number
TW105109653A
Other languages
English (en)
Other versions
TWI697952B (zh
Inventor
沙拉維納布里恩 斯里拉曼
莫妮卡 堤圖司
艾立克斯 派特森
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201703134A publication Critical patent/TW201703134A/zh
Application granted granted Critical
Publication of TWI697952B publication Critical patent/TWI697952B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本說明書呈現用於在半導體生產腔室中控制氣體流動的方法、系統、及電腦程式。該方法包含透過內部饋送器而使反應物氣體流動,及透過在該內部饋送器周圍的外部饋送器而使調節氣體流動,俾直至該等氣體被導入腔室中為止不混合兩者。另外,反應物氣體的流動為對流性的,而調節氣體的流動係以偏離反應物氣體之方向的一角度導向,在進一步與反應物氣體混合前,此提供將調節氣體以較接近射頻(RF)功率而輸送。將射頻功率提供至電極,以使用反應物氣體及調節氣體來引燃電漿。調節氣體的擴散性流動使該調節氣體能藉由射頻功率而解離,並可控制反應物氣體的局部殘留時間變化與針對該局部殘留時間之偏好的空間性解離形式。在未預先混合的情況下將該等氣體導入該腔室中,得以控制蝕刻期間整個基板表面之蝕刻均勻性。

Description

用於晶圓副產物分佈及蝕刻特徵部輪廓均勻性之透過可調式電漿解離的氣體反應軌跡控制
本發明實施例係關於在半導體生產操作期間用於控制晶圓表面之處理的方法、系統、及程式。
在半導體生產中,蝕刻製程係普遍且重複地執行。如熟習此技藝者所熟知,有兩種類型的蝕刻製程:濕式蝕刻及乾式蝕刻。乾式蝕刻的其中一種類型為使用感應耦合電漿蝕刻設備所執行的電漿蝕刻。
電漿含有各種類型的自由基,以及正離子及負離子。各種自由基、正離子、及負離子的化學反應係用以蝕刻晶圓的特徵部、表面、及材料。在蝕刻製程期間,腔室線圈執行類似於變壓器中之主線圈的功能,而電漿執行類似於變壓器中之副線圈的功能。
造成缺乏均勻性的一潛在因素係為腔室中氣體的分布,其可能導致整個晶圓表面上不同的微粒密度,而引起晶圓中央與邊緣間之不同的蝕刻速率。再者,造成缺乏均勻性的另一潛在因素係為操作期間腔室中蝕刻副產物之不均勻的分布。
本發明之實施例即於此背景下產生。
本說明書呈現用於控制導入半導體生產腔室中之複數氣體流動的方法、裝置、系統、及電腦程式。應察知,所呈現之實施例可以許多手段加以實施,例如方法、設備、系統、裝置、或電腦可讀媒體上的電腦程式。以下描述數個實施例。
在一實施例中,提供用於將氣體輸送至電漿處理腔室的方法。該電漿處理腔室包含壁體、基板支撐體、設置於該基板支撐體之上的介電窗,該介電窗之中央區域包含氣體饋送注入器,其具有內部饋送器與圍繞該內部饋送器的外部饋送器。電極係設置於該介電窗之上,以透過該介電窗將功率提供至電漿區域,該電漿區域係設置於該介電窗與配置以支撐基板的該基板支撐體之間。該方法包含用於下列步驟之操作:使反應物氣體流至該內部饋送器,該反應物氣體之流動係設定為對流性流動,以使該反應物氣體具有第一流率,並導向為垂直於該基板。另外,該方法包含用於下列步驟之操作:使調節氣體流至該外部饋送器,其中直至該調節氣體與該反應物氣體兩者皆導入該電漿區域中為止,該調節氣體流至該外部饋送器之流動並不使該調節氣體與該反應物氣體混合。另外,該調節氣體之流動係設定為擴散性流動,以使該調節氣體具有第二流率,並以垂直於該基板與平行於該介電窗兩者之間的角度而導向。在進一步與該反應物氣體混合前,該角度提供該調節氣體以較接近該射頻功率來輸送。此外,該方法包含用於下列步驟之操作:將射頻(RF, radio frequency)功率提供至該電極,俾使用該反應物氣體及該調節氣體在該基板之上的該電漿區域中引燃電漿。該調節氣體之該擴散性流動係以該角度導向,使該調節氣體能藉由該RF功率而解離,以使與該反應物氣體的混合及解離在空間上與時間上分開。在一配置中,若調節氣體為擴散性的,則相較於主要反應物氣體之對流性噴射流,該調節氣體應會花費較長時間來到達相同距離。另外,在未預先混合的情況下將該反應物氣體及該調節氣體導入該腔室中,得以在該基板之材料的活性蝕刻期間控制該基板整個表面之蝕刻均勻性。又另外,蝕刻均勻性的控制係部分藉由設定該反應物氣體及該調節氣體其中一者或兩者包含鈍化成分。如以下更詳加描述,除了控制該鈍化成分以外,亦可提供對蝕刻副產物之分布及控制的控制。
在另一實施例中,提供用於將氣體輸送至電漿處理腔室的方法。該電漿處理腔室包含壁體、基板支撐體、設置於該基板支撐體之上的介電窗,該介電窗之中央區域包含氣體饋送注入器,其具有內部饋送器與圍繞該內部饋送器的外部饋送器。電極係設置於該介電窗之上,以透過該介電窗將功率提供至電漿區域,該電漿區域係設置於該介電窗與配置以支撐基板的該基板支撐體之間。該方法包含用於下列步驟之操作:使第一氣體類型流至該內部饋送器,以及使第二氣體類型流至該外部饋送器。該第二氣體類型與該第一氣體類型不同,俾使該第一氣體類型及該第二氣體類型在該電漿區域內混合。此外,該方法包含用於下列步驟之操作:將射頻(RF, radio frequency)功率提供至該電極,俾使用該第一氣體類型及該第二氣體類型在該基板之上的該電漿區域中引燃電漿。當該電漿引燃時,在該電漿區域內之混合界定該第一氣體類型與該第二氣體類型之間的電漿解離形式。該第一氣體類型包含反應物成分,而該第二氣體類型包含調節成分,其中該反應物成分及該調節成分界定該基板之上的該電漿解離形式。在未預先混合的情況下將該第一氣體類型及該第二氣體類型導入該腔室中,得以在該電漿引燃而引起該基板之材料的蝕刻時控制該基板整個表面之蝕刻均勻性,而蝕刻均勻性的控制係部分藉由設定該第一氣體類型及該第二氣體類型其中一者或兩者包含鈍化成分。
在又另一實施例中,提供用於將氣體輸送至電漿處理腔室的方法。該方法包含用於下列步驟之操作:設定用於氣體箱的參數,該參數界定第一氣體流及第二氣體流。該第一氣體流包含一或更多第一氣體,而該第二氣體流包含一或更多第二氣體。該第一氣體流包含反應物氣體、或調節氣體、或反應氣體與調節氣體之混合物三者其中一者,而該第二氣體流包含反應物氣體、或調節氣體、或反應氣體與調節氣體之混合物三者其中一者,其中該第一氣體流及該第二氣體流係藉由控制器而獨立設定。此外,該方法包含用於下列步驟之操作:使該第一氣體流透過內部饋送器而流至該腔室中,該內部饋送器係界定於該腔室之頂表面的中央上,以及使該第二氣體流透過外部饋送器而流至該腔室中。該外部饋送器係界定於該腔室之頂表面上,且圍繞該內部饋送器,其中該第一氣體流及該第二氣體流在流至該腔室中之前不被混合。此外,該方法包含用於下列步驟之操作:在該腔室中將射頻(RF, radio frequency)功率提供至電極,以使用該第一氣體流及該第二氣體流在該基板上方引燃電漿。針對將何種反應物氣體與調節氣體導入該腔室中、以及將該等反應物氣體與該等調節氣體導入該腔室中之何處的界定,提供了對該腔室中解離形式之控制,進而獲得該基板之表面上的蝕刻均勻性。
其他態樣將透過以下實施方式並結合隨附圖式而變得清楚明瞭。
在現今的半導體生產中,廣泛使用鰭狀場效電晶體(FinFET)3D三閘電晶體。在對鰭片氧化物具有選擇性之情況下蝕刻矽的若干挑戰包含:零鰭片凹部、最小遮罩損失、整個晶圓的筆直輪廓、無3D角落的殘留物、及整個晶圓的圖案獨立性。在若干應用中,由於非均勻鈍化或鈍化中之變化所致,整個晶圓的均勻性會受到損害。此外,3D特徵部的高縱橫比可能會造成過量的副產物累積,尤其是轉移至鰭片蝕刻中(2D至3D蝕刻轉移)之後。在若干解決方法中,使用高壓、高流量的HBr/O2 製程來使副產物最小化,但關於整個晶圓之副產物分布的非均勻性之問題仍然存在。
本說明書中所呈現之實施例描述用以控制蝕刻腔室中之電漿解離以達到整個晶圓之均勻蝕刻輪廓的方法。定義可變的氣體注入區域以控制晶圓表面上方的電漿解離,其中該可變的氣體注入區域使主要反應物氣體流動與用於該主要反應物氣體之對應的調節氣體流動分開或使兩者共同會合。
顯而易見的,在不具有此等具體細節之若干或全部的情況下,仍可實施本發明實施例。在其他情況下,為避免不必要地混淆本發明實施例,因此不詳細描述眾所周知的程序操作。
圖1為一示意性橫剖面圖,說明依據一實施例之用於蝕刻操作的電漿處理系統。該系統包括腔室132,其包含腔室體114、卡盤116、及介電窗106。卡盤116可為靜電卡盤,其用於支撐基板112。在若干實施例中,內部的法拉第屏蔽(未顯示)係設置於腔室132內、介電窗106之下。TCP線圈134係設置於介電窗106上方,且連接至匹配電路102。
另外顯示的為可從一或更多產生器界定出的偏壓射頻(RF)產生器120。若設置許多產生器,可使用不同的頻率來達成各種調諧特性。偏壓匹配器118係耦合於RF產生器120與界定卡盤116之組件的傳導板之間。卡盤116亦包含靜電電極,以達成晶圓的固緊與釋放。廣泛而言,可設置過濾器及DC夾箝電源。亦可設置用於使晶圓升離卡盤116的其他控制系統。
第一氣體注入器104提供兩不同的氣體管道以將兩分開的製程氣體流自腔室頂部注入腔室。應察知,可提供多個氣體源以針對不同類型的操作(例如:晶圓上的製程操作、無晶圓自動清潔操作、及其他操作)而將不同的氣體供應至腔室。第二氣體注入器110提供另一氣體流,其透過側部進入腔室,而非透過頂部。
氣體源128包含許多氣體源,其可透過岐管122而混合。該等氣體源包含一或更多反應物氣體(在本說明書中亦稱為主要氣體)及一或更多調節氣體。反應物氣體為用於蝕刻的活性氣體,且該反應物氣體為對於基板上之蝕刻為必要之物種的來源。反應物氣體的範例包含Cl2 、HBr、及SF6 ,但亦可使用其他反應物氣體。
調節氣體為用於調節(亦即:控制)晶圓上之效果的氣體。該調節氣體係用於控制注入腔室之氣體間的解離形式。例如,該調節氣體可用於改變離子相對於中性蝕刻劑的比例。取決於如何將該調節氣體注入腔室中,該調節氣體以兩方式控制腔室中的解離形式:第一,藉由控制腔室中氣體的解離形式,及第二,藉由控制腔室內氣體混合物的空間分布。調節氣體的範例包含氧、氦、氬、及甲烷,但亦可使用其他調節氣體。
在圖1之實施例中,將三個不同的獨立氣體流提供至腔室中。第一氣體流係通過注入器104的中央而注入,且此氣體流在本說明書中被稱為中央流或內部流。第二氣體流亦通過注入器104,但以圍繞該中央流的不同路徑注入,且該第二氣體流被稱為邊緣流或外部流。第三氣體流係經由注入器110而注入至腔室的側部中,且該第三氣體流在本說明書中被稱為側部氣體流。
岐管122控制哪些氣體被供應至三個不同的氣體管線其中各者。岐管122容許任何類型的氣體(反應物或調節)被提供至該三個不同的氣體管線之任一者。該等氣體可在未混合的情況下被遞送,或可與用於饋送至該三個不同的獨立氣體流的其他氣體混合。
真空泵浦130係連接至腔室132,以達成操作的電漿處理期間的真空壓力控制及自腔室移除氣態副產物。閥126係設置於排放裝置124及真空泵浦130間,以控制施加至該腔室的真空抽吸量。
可從陶瓷類型的材料界定出介電窗106。只要能夠承受住半導體蝕刻腔室的條件,則其他介電材料亦為可允許的。通常而言,腔室操作於在約攝氏50度與約攝氏200度間分布之升高的溫度。該溫度將取決於蝕刻製程操作及特定配方。腔室132亦將操作於在約1 m Torr (mT)與約500 m Torr (mT)的範圍中之真空條件。儘管未全部特別地顯示,當腔室132安裝於無塵室中時,通常連接至複數設施或一製造設施。該等設施包含提供處理氣體、真空、溫度控制、及環境微粒控制的管路系統。
當此等設施安裝於目標製造設施中時,連接至腔室132。此外,腔室132可連接至傳送腔室,其將利用典型自動化技術,使機器人能將半導體晶圓傳進及傳出腔室132。
提供可程式化之控制器108以控制腔室132及其相關元件的操作。廣泛而言,可將控制器108程式化以執行由配方所定義的腔室操作。給定的配方可明確指定用於操作之各種參數,例如至TCP線圈的功率施加、流入腔室的氣體流動、及真空的應用。應察知,時點、持續時間、幅度、或任何其他可調整的參數或可控制的特徵部可由配方來定義,並可由控制器來執行,以控制腔室132及其相關元件之操作。此外,可將一系列的配方程式化至控制器108中。
在一實施例中,氣體注入器104提供至處理腔室中的光學接取,例如,沿著自製程腔室外部之診斷終點通過光學接取窗的軸向路徑。至腔室之光學接取的更多細節可在發明名稱為「Methods of and Apparatus for Accessing a Process Chamber Using a Dual Zone Gas Injector with Improved Optical Access」、且於2011年4月19日公告的美國專利第7,928,366號中尋得,其併入本說明書中以供參照。
圖2依據一實施例,繪示流入腔室中的氣體流動。中央氣體流202通過中央注入器而進入腔室132。在一實施例中,中央氣體流的流動主要為對流性的,且朝向晶圓之中央對準。
邊緣氣體流204通過中央注入器104中之第二路徑而進入,而側部氣體流206透過側部而進入。如以下參照圖3A及3B而詳加討論,該外部氣體流具有注入器104中之出口,該出口圍繞中央出口。另外,在一實施例中,以偏離垂直的一角度設置外部氣體流之出口,亦即,中央氣體流進入腔室時之方向與外部氣體流進入腔室時之方向間的角度大於0。
為了說明之目的,該角度係自垂直於基板的方向量測,如此一來0角度對應於以垂直於晶圓之方向自腔室頂板下來的氣體流。因此,由於方向垂直於基板,中央氣體流可具有0度的角度。邊緣氣體流具有大於0的角度,多至90°。因此,在45°的角度,邊緣氣體流以與垂直於基板以及與介電窗的表面間等距離的角度進入腔室。80°的角度會造成可能以幾乎平行於介電窗之方向進入腔室的邊緣氣體流。
本說明書中所呈現的實施例可針對第二氣體流使用0°與90°之間的任何角度。因此,在一實施例中,第二氣體流可平行於第一氣體流。
歧管122控制氣體混合物以及氣體流各者的流率。在若干解決方法中,第一及第二氣體流並不具有分開的管線(未顯示),且第一及第二氣體流含有相同的氣體混合物,但控制器108能夠控制第一與第二氣體流間的流量比例,此操作在本說明書中被稱為調節。例如,70%透過中央而流動,而30%透過邊緣流而流動,然而其他比例亦為可允許的(例如:80/20、50/50、40/60等)。
在圖2之實施例中,透過管線遞送的氣體混合物之組成與個別流動之流率為獨立控制。
在一實施例中,主要氣體及調節氣體分別通過中央及邊緣區域而分開。交替地,主要反應物氣體之氣體注入可通過主要注入器104(或噴淋頭)中的任何區域而出來,而調節氣體可來自相同注入器中的另一區域、或來自腔室中的其他處(例如:側部注入器110)。
某些製程可能對於腔室中鈍化部分的解離形式敏感,且藉由將調節氣體分開,會產生鈍化或反應物的部分(例如:如O2 或其他的鈍化氣體)。由於電漿解離之固有改變所致,氣體的裂解形式因此顯著地改變,該情況提供一額外有效之調節旋鈕,以控制晶圓上的蝕刻效果。
本說明書中所呈現的實施例已顯示整個晶圓的均勻輪廓,以及3D角落中無殘留物,且不需對特徵部微負載及產率方面的取捨做出妥協。
將注入至腔室中的氣體(例如:反應物氣體及調節氣體)分開至不同的氣流中,並控制流動體積的調節比例,能達成控制電漿解離形式,其會發展均勻的鈍化部分(及副產物)之輪廓。
此外,來自噴嘴中不同注入區域的流動作用亦提供解離形式方面的控制。在一實施例中,當通過中央注入器之中央孔而出來的主要氣體流動為對流性時,通過邊緣區域的流動相對為擴散性的。當將調節氣體分別加入邊緣區域時,由於涉及自邊緣注入區域輸送至腔室的較小時幅所致,解離形式係為不同。若調節氣體為擴散性的,調節氣體會花費較長時間散布,取決於濃度梯度。在一實施例中,調節氣體之部分解離為依序的,而使解離發生於與來自內部饋送區域的噴射混合前。來自邊緣注入區域之擴散性氣體流動結合至窗口正下方的感應耦合電漿(ICP)功率沉積區域中,非常接近噴嘴平面,其部分解離(及離子化)發生的情況與氣體通過中央主要注入器且以對流性方式饋送的情況不同。
可將反應物氣體及調節氣體遞送至三個氣流的其中任一者。另外,亦可經由該三個氣流的其中任一者注入具有反應物氣體或調節氣體的氣體混合物。設計工程師可選擇控制混合哪些氣體、如何將它們導入腔室中、及在何種流率下。例如,可透過中央流來饋送反應性氣體,而可透過邊緣流來饋送調節氣體。在一實施例中,調節氣體流率係小於反應物氣體流率。但在其他實施例中,調節氣體流率並不小於反應物氣體流率。例如,反應物氣體可為200 sccm 的Cl2 ,且來自內部饋送區域,而調節氣體為200 sccm的He,且來自外部饋送區域。在此情況下,因存在外部饋送區域附近的局部噴射作用,外部流的擴散性質本質上不只為擴散性。
該等氣體進入腔室,而之後引燃電漿。解離形式為受控制的,基於使用哪些氣體,及如何饋送該等氣體。此提供進入晶圓不同部分之蝕刻比例方面的控制,以獲得整個晶圓整體表面的蝕刻均勻性。
本說明書中所呈現的用以控制氣體流動的實施例,可操控氣體流動及所造成之電漿解離,以獲得到晶圓之鈍化物種分布通量的控制,以及對所產生之蝕刻副產物的控制。確立最理想的中性粒子對離子之通量比,而造成整個晶圓之均勻的副產物徑向輪廓,藉此達到針對圖案相依性(亦即,開放區域或縱橫比的相依性)之最小輪廓負載。透過調節氣體(例如O2 或He)針對中央及邊緣注入區域之經修改的空間性解離,可能促成副產物分布之均勻性。
在另一實施例中,亦可藉由透過側部氣體注入埠來調節氣體分布,以及利用噴淋頭類型之主要氣體注入器(而非噴嘴)與鄰近主要氣體之第二局部氣體注入埠的組合以影響物種解離,而有效地管理電漿解離調節。藉由將饋送至腔室中的反應物氣體流及調節氣體流分開,便可能控制空間性電漿解離輪廓,進而造成晶圓上均勻的副產物分布。
因此,可控制氣體的對流及擴散以影響解離形式。解離速率會取決於如何將氣體導入腔室中而改變。例如,中央流越具對流性,中央氣體將越快朝腔室側部散布開。來自不同氣流的氣體將以不同方式混合,取決於該等氣體如何被帶入腔室中(位置及流率)。
圖3A依據一實施例,係為氣體注入器的剖視圖。注入器104包含兩不同氣體管道:用於使第一氣流通過中央而導入腔室中的第一氣體管道304,以及用於使第二氣流通過外部管道(亦即,邊緣管道)而導入的第二氣體管道306。因此,可使調節氣體中的反應物分開混合並導入腔室,也就是該等氣體結合之處。 兩管道304及306係彼此獨立的,且該等管道的各者可導入不同或相同的氣體。例如,可使反應物氣體氯通過管道304而帶入,而可使調節氣體氦通過管道306而導入。
將饋送至腔室中的氣體(例如,如Cl2 、HBr、SF6 的反應物氣體,及如O2 、He、CH4 的調節氣體)分開至不同的氣流中,及/或可允許的調節比例,能達成選擇性的或偏好的電漿解離形式之控制,其會發展均勻的鈍化部分(及副產物)之輪廓。如此亦可控制晶圓上方之中性粒子與離子通量的比例。
在一實施例中,當通過注入器104之中央流出的主要氣體流動為對流性時,通過邊緣區域的流動相對為擴散性的(例如:管道306)。當將調節氣體另外加入邊緣區域時,由於涉及自邊緣注入區域輸送至腔室的局部滯留時間之調整所致,解離形式係為不同。來自邊緣注入區域之擴散性氣體流動結合至窗口正下方的ICP功率沉積區域中,且非常接近噴嘴平面。該部分解離(及離子化)發生的情況與氣體透過主要注入器並以對流性方式饋送的情況不同。
對於氣體及解離對象之控制(其將中央及邊緣注入區域的流動作用及關於不同流動形式的有效電漿解離納入考量)會造成整個晶圓表面之均勻的副產物分布。此造成整個晶圓之均勻的鰭狀場效電晶體(FinFET)輪廓,且無圖案相依的負載。
應注意,可將任何類型的氣體、或氣體混合物導入前述氣流的任一者。取決於製程,氣體可透過一注入器或另一注入器而導入。例如,反應物氣體(單獨或在氣體之混合物內)可通過中央或邊緣區域而進入腔室。
在一實施例中,相較於中央管道,邊緣管道具有較小的噴嘴,而相較於邊緣管道,中央管道以較高流率導入氣體。此情況使中央管道更具對流性,而使邊緣管道更具擴散性。在另一實施例中,將外部管道界定成以高流率導入氣體,而造成外部管道上的對流性流動。
圖3B依據一實施例,係為氣體注入器的仰視圖。在一實施例中,外部管道包含8個出口306,其分布於注入器104之基底的周緣上。因此,該8個出口被界定為在圓上,該圓與來自中央管道的出口同心,且在該圓上,該等出口以45°的角度(自注入器104之中心點量測)分開。
其他實施例可包含不同數量之外部管道的出口,例如2、4、16、或自2至50之範圍內的任何數量。在其他實施例中,該等出口可佔據周緣的大部分,藉由將注入器之中央部件與該注入器之外部部件互連的區域而分開。在又另一實施例中,外部管道可界定為圍繞中央出口304的外圈。
在一實施例中,中央出口係大於外部出口,且中央流將較外部流散布得更廣。因此,腔室中的解離形式將取決於通過該等出口的各者而注入的氣體、以及該等氣體係為對流性的或為擴散性的。在一實施例中,外部管道亦為對流性的,但其為較中央管道之對流性更低的程度。
圖4A依據一實施例,繪示進入腔室中的氣體注入情形。在一實施例中,腔室包含氣體箱402,其具有四獨立氣體源:O2 、HBr、第一He源、及第二He源。該氣體箱供應O2 、HBr、及供給中央注入器之He、及供給側部注入器110之He其中一或更多者。
限流孔擋件控制了通過中央及外部出口之混合O2 /HBr/He的相對流量。限流孔擋件係可調節以用任何比例分配流量。例如,中央流為70%而外部流為30%。
在一實施例中,氣體流的任一者之流率係介於100 SCCMs與800 SCCMs之間(每分鐘標準立方公分),但亦可使用其他值。在另一實施例中,該流率可藉於50 SCCMs與2000 SCCMs之間。
在一實施例中,腔室為高電壓偏壓脈衝(HVBP, High Voltage Bias Pulsing)腔室,但本說明書中所呈現的相同原理可用於其他類型的腔室。例如, 以1 Hz與20 kHz間的頻率及小於45%的工作週期使HVBP腔室的偏壓產生脈衝,然而其他週期亦為可允許的。在另一實施例中,透過RF信號,以大於2 MHz的頻率提供大於600伏特的偏壓。以1 Hz與20 kHz間的頻率及小於30%的工作週期使該偏壓產生脈衝。在又另一實施例中,用於提供脈衝偏壓功率源(其用於以大於2 MHz的頻率提供大於600伏特的偏壓)的偏壓功率源係連接至電漿處理腔室,其中該偏壓功率源以1 Hz與20 kHz間的頻率產生脈衝。更多腔室或操作參數的範例,可參考共同擁有的美國專利申請案第13/252,813號,其併入本說明書中以供參照。
圖4B依據一實施例,繪示利用連至內部饋送器、邊緣饋送器、及側部饋送器之獨立氣體管線的氣體注入情形。氣體箱422包含:供給中央流之O2 、HBr、及He的供應源;供給邊緣流之O2 、HBr、及He的供應源;以及供給側部流之He的供應源。因此,中央流及邊緣流可包含O2 、HBr、及He其中一或更多氣體的任何混合物,但中央流及邊緣流係由控制器獨立界定。此外,側部流可包含氦或可完全不注入氣體。
例如,在一實施例中,中央流包含50 O2 /300 HBr/375 He的混合物,其中氣體旁的數字指示個別的SCCM。外部流包含900 HBr/1125 He的混合物,而側部流則無氣體。
圖5A及5B繪示用於將氣體注入腔室中之不同氣體控制的實施例。圖5A繪示一實施例,其中將第一氣體混合提供至中央及外部流,然後接著個別箱體容許各氣流與另外的氣體混合。
氣體箱502提供供至可調性箱體504之O2 、HBr、及He的混合物,其調節或分配進入的氣體混合物而朝向中央流及外部流。在一實施例中,可調性比例係於自10%至90%的範圍中,但其他值亦為可允許的。例如,70%的氣體流可被導向中央,而30%朝向外部流。
第一混合器506混合來自可調性箱體504的氣流並加入另外的氣體(例如氧氣),而所造成之混合物係透過中央流而饋送。第二混合器508混合來自可調性箱體504的第二氣流並加入邊緣調節氣體 (ETG, edge tuning gas),例如He,而所造成之混合物係透過邊緣流而饋送。
圖5B繪示氣體箱522的實施例,其中氣體箱522提供供至前述氣流其中任一者之氣體的任何組合。在圖5B的例示性實施例中,僅顯示用於4個氣體的閥,但可針對該等氣體之各者加入其他閥。因此,中央流係由混合所需氣體之閥所控制。外部及側部流亦由其個別閥而獨立控制。
若干應用可能較其他應用需要更多離子。可依據導入腔室中的氣體、該等氣體其中各者的流率、及氣體被導入腔室中之處,而控制離子化形式。例如,HBr及Cl2 可為反應物氣體,而蝕刻需要離子。
若調節氣體(例如He)透過外部流進入腔室,則該調節氣體係較靠近介電窗之上的線圈,而影響局部解離形式。藉由改變晶圓上的離子比例及鈍化作用,可能可控制整個晶圓上的均勻性。
製程工程師指定欲使用之氣體,及該等氣體將如何被導入腔室中,並藉由具有混合氣體、及如何導入該等氣體的許多選擇,製程工程師能夠控制晶圓表面之不同區域中的蝕刻比例。例如,若在特定應用中蝕刻為非均勻的,則製程工程師可改變通過中央流的氣體流量,或增加透過外部流而提供之調節氣體的量、或改變通過任何氣流的氣體混合物。
應注意,圖1-5B中所繪示的實施例為例示性的。其他實施例可利用不同的氣體、不同的氣體組成、不同的注入器等。因此不應將圖1-5B中所繪示的實施例解釋為專有的或限制的,而是例示性的或說明性的。
圖6依據一實施例,繪示顯示整個晶圓表面之蝕刻均勻性的試驗結果。圖表602及604繪示腔室中O濃度相對於O2 濃度的比例,而圖表606及608繪示整個晶圓表面之O相對於Cl濃度的比例。另外,圖表602及604 與不利用獨立氣體管線而進行的試驗相關,且針對中央及外部流利用800 CL2 及25 O2 。圖表606及608指涉利用獨立氣體管線而執行的試驗,其中中央流包含800 Cl2 而外部流包含25 O2
試驗結果顯示,在不利用獨立氣體管線的情況下,濃度的比例沿晶圓表面變化。然而,當利用獨立氣體管線時,整個晶圓整體表面的濃度會保持均勻。此顯示鈍化劑相對於蝕刻劑之比例的實質改變。
在不欲被理論所限制的情況下,一解釋為:相較於使用分開之氣體管線的情況(其中由於利用在中央的對流性流推動副產物所致,副產物均勻分布於整個晶圓表面),當利用相同的氣體饋送時,在晶圓邊緣存在更多副產物。
圖表610繪示整個晶圓表面(水平軸)的總離子通量(垂直軸)。線條614對應於不利用獨立氣體管線的試驗,而其顯示晶圓上缺乏均勻性。另一方面,線條616對應於利用獨立氣體管線的試驗,而線條616顯示晶圓表面上實質的均勻性。
圖表612繪示整個晶圓表面(水平軸)的O/O2 之濃度比例(垂直軸)。線條618對應於不利用獨立氣體管線的試驗,而其顯示晶圓上缺乏均勻比例。另一方面,線條620對應於利用獨立氣體管線的試驗,而線條620顯示整個晶圓表面上實質上固定的O相對於O2 之比例。
此等結果顯示,相較於針對中央及邊緣流使用相同氣體的情況,當使用獨立氣體管線時,總離子通量及O/O2 比例係更加均勻。
圖7A及7B繪示不同實施例的蝕刻圖案。使用習知注入器(例如:單一氣體混合物)的第一試驗顯示蝕刻圖案自中央702至邊緣704如何變化,其中開放區域顯示不均勻的蝕刻。另外,副產物的莫耳分率自晶圓中央(水平軸的原點)至晶圓之周緣區域顯著改變。
第二試驗以在中央722及在邊緣724相似的蝕刻圖案顯示蝕刻係如何實質上均勻。另外,副產物的莫耳分率自晶圓中央至邊緣亦為實質上均勻的。
因此,晶圓上圖案之開放區域的比率可獨立地達到均勻性。例如,可以鈍化氣體(例如O2 )來控制鈍化。或者,藉由加入氦以改變晶圓表面上的電子溫度及解離形式。
在其他情況下,問題可能會出現在中央而非邊緣處,此情況表示,在晶圓中央比在晶圓邊緣存在更多鈍化。故製程設計者可增加在中央的對流、或控制製程條件以使在晶圓邊緣較在晶圓中央存在更多鈍化,等等。
圖8依據一實施例,係為用於在半導體生產腔室中處理晶圓之演算法的流程圖。儘管此流程圖中之各種操作係依序呈現及描述,但具通常知識者將察知,該等操作之若干或全部可以不同的順序來執行、可組合或省略、或者可同時執行。
在操作802中,半導體生產設備接收配方以處理晶圓。方法自操作802進行至操作804,其中界定待透過第一氣體注入器而注入的一或更多第一氣體。 此外,亦界定該一或更多第一氣體的流量單位,而該第一氣體注入器係設置於腔室頂表面的中央,其中該一或更多氣體可為反應物氣體或調節氣體。
在操作806中,界定待透過第二氣體注入器而注入的一或更多第二氣體,以及該一或更多第二氣體的流量單位。該第二氣體注入器係設置於位於腔室頂表面上之第一氣體注入器的周圍,其中該一或更多第二氣體可為反應物氣體或調節氣體。
在操作808中,界定待透過第三氣體注入器而注入的一或更多第三氣體,以及該一或更多第三氣體的流量單位。該第三氣體注入器係設置於腔室的側表面,其中該一或更多第三氣體可為反應物氣體或調節氣體。
方法自操作808進行至操作810,以配置連接至氣體供應管線的氣體閥,以將第一、第二、及第三氣體注入腔室中。
方法自操作810進行至操作812,其中將RF功率提供至腔室,以在腔室中引燃電漿並依據配方處理晶圓。
圖9依據一實施例,係為用於控制流入氣體腔室中的氣體流動之演算法的流程圖。儘管此流程圖中之各種操作係依序呈現及描述,但具通常知識者將察知,該等操作之若干或全部可以不同的順序來執行、可組合或省略、或者可同時執行。
電漿處理腔室包含壁體、基板支撐體、設置於該基板支撐體之上的介電窗。該介電窗之中央區域包含氣體饋送注入器,其具有內部饋送器與圍繞該內部饋送器的外部饋送器,而電極係設置於該介電窗之上,以透過該介電窗將功率提供至電漿區域,該電漿區域係設置於該介電窗與配置以支撐基板的該基板支撐體之間。
操作902係用於使反應物氣體流至內部饋送器,該反應物氣體之流動係設定為對流性流動,以使反應物氣體具有第一流率,並導向為垂直於基板。 如本說明書中所使用,對流為由於流體之整體運動(bulk motion)所致的流體(例如液體、氣體)內分子之群體或聚集物的協同、集體動態。因此,氣體的對流運動係為在氣體之微粒上賦予運動的結果,例如利用高壓通過管道輸送至腔室中的氣體。當氣體進入腔室,由於透過氣體管道而載送之該氣體微粒的慣性,該氣體具有對流運動。
方法自操作902進行至操作904,以使調節氣體流至外部饋送器。直至該調節氣體與該反應物氣體兩者皆導入電漿區域中為止,該調節氣體流至外部饋送器之流動並不使該調節氣體與該反應物氣體混合,且該調節氣體之流動係設定為擴散性流動,以使該調節氣體具有第二流率,並以垂直於基板與平行於介電窗兩者之間的角度而導向。在進一步與該反應物氣體混合前,該角度提供較接近RF功率之該調節氣體的輸送。
擴散係為物質(例如:原子、離子、或分子)自高濃度區域至低濃度區域的淨運動。擴散的區別性特徵為,其會造成混合或大量輸送,而不需整體運動(整體流動)。擴散不應與對流或平流混淆,該兩者為利用整體運動來將微粒自一位置移動至另一位置的其他輸送現象。因此,一般而言,由於撞擊對應微粒之對流性流的整體流動所致,對流性流中的氣體將較擴散性流中的相同氣體更快地散布遍及腔室。
方法自操作904進行至操作906,以將射頻(RF, radio frequency)功率提供至電極,俾使用反應物氣體及調節氣體在基板之上的電漿區域中引燃電漿。調節氣體之擴散性流動係以該角度導向,使調節氣體能藉由RF功率而解離,以使與反應物氣體之混合及解離在空間上與時間上分開。此外,在未預先混合的情況下將反應物氣體及調節氣體導入腔室中,得以在基板之材料的活性蝕刻期間控制整個基板表面之蝕刻均勻性。另外,對蝕刻均勻性的控制係部分藉由設定反應物氣體及調節氣體其中一者或兩者包含鈍化成分。
在若干實施例中,控制器可為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流動系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在若干實施中,控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、原子層沉積(ALD, atomic layer deposition)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
圖10係為用於實施本發明實施例之電腦系統的簡化示意圖。應察知,本說明書中所述之方法可利用數位處理系統(例如:習知通用的電腦系統)來加以執行。替代地,亦可使用特殊用途電腦,其設計成或程式化為僅執行一種功能。電腦系統包含中央處理單元(CPU, central processing unit)1004,其經由匯流排1010耦接至隨機存取記憶體(RAM, random access memory)1006、唯讀記憶體(ROM, read-only memory)1012、及大量儲存裝置1014。系統控制器程式1008存在於隨機存取記憶體(RAM)1006中,但亦可存在於大量儲存裝置1014中。
大量儲存裝置1014代表永久性資料儲存裝置,例如:軟碟機或固定式磁碟機,其可為本地的或遠端的。網路介面1030透過網路1032提供連結而容許與其他裝置通訊。應察知,CPU 1004可以通用型處理器、特殊用途型處理器、或特殊程式化的邏輯裝置來加以實施。輸入/輸出(I/O, Input/Output)介面提供與不同周邊設備的通訊,且係透過匯流排1010而與CPU 1004、RAM 1006、ROM 1012、及大量儲存裝置1014連接。示例性的周邊設備包含顯示器1018、鍵盤1022、滑鼠1024、可卸除式媒體裝置1034等。
顯示器1018係配置以顯示本說明中所述之使用者介面。鍵盤1022、滑鼠1024、可卸除式媒體裝置1034、及其他周邊設備係耦接至I/O介面1020,以便以指令選擇方式與CPU 1004交流資訊。應察知,往返外部裝置的資料可透過I/O介面1020而傳遞。亦可在分散式計算環境中執行該等實施例,其中在該分散式計算環境中,工作係藉由透過有線或無線網路所連結的遠端處理裝置來執行。
可利用各種電腦系統結構(包含手持裝置、微處理器系統、微處理器型或可程式化之消費電子產品、微電腦、大型電腦、及類似物)來實施實施例。亦可在分散式計算環境中執行該等實施例,其中在該分散式計算環境中,工作係藉由透過網路連結的遠端處理裝置來執行。
鑑於以上實施例,應理解,實施例可使用涉及儲存於電腦系統中之資料的各種電腦實行之操作。此等操作係為需要物理量的物理性操控者。任何在本說明書中所述之形成實施例之部分的操作係為有用的機械操作。實施例亦關於用於執行此等操作的裝置或設備。該設備可針對所需用途而加以特別建構,例如特殊用途電腦。當定義為特殊用途電腦時,該電腦亦可執行非該特殊用途的部分之其他處理、程式執行、或例行程序,同時仍能夠為該特殊用途而進行操作。或者,可藉由通用型電腦來處理該等操作,其中該通用型電腦係藉由儲存於電腦記憶體或快取記憶體、或透過網路取得之一或更多電腦程式而選擇性地致能或配置。當透過網路取得資料時,可藉由網路上的其他電腦(例如雲端的計算資源)來處理該資料。
亦可將一或更多實施例製作為電腦可讀媒體上的電腦可讀碼。電腦可讀媒體係為可儲存之後可藉由電腦系統讀取之資料的任何資料儲存裝置。電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS, network attached storage)、唯讀記憶體、隨機存取記憶體、CD-ROMs、CD-Rs、CD-RWs、磁帶、及其他光學的與非光學的資料儲存裝置。電腦可讀媒體可包含電腦可讀的有形媒體,其分散於網路連接之電腦系統,俾以分散的方式儲存及執行電腦可讀碼。
儘管以特定順序描述方法操作,但應理解,可在操作之間執行其他庶務操作,或可調整操作以使其在略為不同的時間發生,或可將操作分散於系統中,其中該系統只要以期望之方式執行重疊操作之處理,則允許處理操作發生在與處理相關的不同區間。
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些變更及修改。因此,應將本發明實施例視為說明性的,而非限制性的,且不將該等實施例限於本說明書中所提出的細節,而是可在所附請求項的範圍及均等物之內加以修改。
102‧‧‧匹配電路
104‧‧‧注入器
106‧‧‧介電窗
108‧‧‧控制器
110‧‧‧注入器
112‧‧‧基板
114‧‧‧腔室體
116‧‧‧卡盤
118‧‧‧偏壓匹配器
120‧‧‧射頻產生器
122‧‧‧岐管
124‧‧‧排放裝置
126‧‧‧閥
128‧‧‧氣體源
130‧‧‧真空泵浦
132‧‧‧腔室
134‧‧‧線圈
202‧‧‧中央氣體流
204‧‧‧邊緣氣體流
206‧‧‧側部氣體流
304‧‧‧管道/出口
306‧‧‧管道/出口
402‧‧‧氣體箱
422‧‧‧氣體箱
502‧‧‧氣體箱
504‧‧‧可調性箱體
506‧‧‧第一混合器
508‧‧‧第二混合器
522‧‧‧氣體箱
602‧‧‧圖表
604‧‧‧圖表
606‧‧‧圖表
608‧‧‧圖表
610‧‧‧圖表
612‧‧‧圖表
614‧‧‧線條
616‧‧‧線條
618‧‧‧線條
620‧‧‧線條
702‧‧‧中央圖案
704‧‧‧邊緣圖案
722‧‧‧中央圖案
724‧‧‧邊緣圖案
802‧‧‧操作
804‧‧‧操作
806‧‧‧操作
808‧‧‧操作
810‧‧‧操作
812‧‧‧操作
902‧‧‧操作
904‧‧‧操作
906‧‧‧操作
1004‧‧‧中央處理單元
1008‧‧‧程式
1010‧‧‧匯流排
1012‧‧‧唯讀記憶體
1014‧‧‧大量儲存裝置
1018‧‧‧顯示器
1020‧‧‧I/O介面
1022‧‧‧鍵盤
1024‧‧‧滑鼠
1028‧‧‧隨機存取記憶體
1030‧‧‧網路介面
1032‧‧‧網路
1034‧‧‧可卸除式媒體裝置
參考以下敘述並結合隨附圖式能最有效地理解本發明之實施例。
圖1依據本發明之一實施例,係為顯示用於蝕刻操作之電漿處理系統的示意性橫剖面圖。
圖2依據一實施例,繪示流入腔室中的氣體流動。
圖3A依據一實施例,係為氣體注入器的剖視圖。
圖3B依據一實施例,係為氣體注入器的仰視圖。
圖4A依據一實施例,繪示氣體注入腔室中的情形。
圖4B依據一實施例,繪示利用獨立氣體管線將氣體注入至內部饋送器、外部饋送器、及側部饋送器的情形。
圖5A及圖5B繪示用於將氣體注入腔室中之不同氣體控制的實施例。
圖6依據一實施例,繪示顯示整個晶圓表面之蝕刻均勻性的試驗結果。
圖7A及圖7B繪示不同實施例的蝕刻試驗結果。
圖8依據一實施例,係為用於在半導體生產腔室中處理晶圓之演算法的流程圖。
圖9依據一實施例,係為用於控制導入半導體生產腔室中之複數氣體的氣體流動之演算法的流程圖。
圖10係為用於實施本發明之實施例的電腦系統之簡化示意圖。
102‧‧‧匹配電路
104‧‧‧注入器
106‧‧‧介電窗
108‧‧‧控制器
110‧‧‧注入器
112‧‧‧基板
114‧‧‧腔室體
116‧‧‧卡盤
118‧‧‧偏壓匹配器
120‧‧‧射頻產生器
122‧‧‧岐管
124‧‧‧排放裝置
126‧‧‧閥
128‧‧‧氣體源
130‧‧‧真空泵浦
132‧‧‧腔室
134‧‧‧線圈

Claims (20)

  1. 一種用於將氣體輸送至電漿處理腔室的方法,該方法包含下列步驟: 該電漿處理腔室包含壁體、基板支撐體、設置於該基板支撐體之上的介電窗,該介電窗之中央區域包含氣體饋送注入器,其具有內部饋送器與圍繞該內部饋送器的外部饋送器,電極係設置於該介電窗之上,以透過該介電窗將功率提供至電漿區域,該電漿區域係設置於該介電窗與配置以支撐基板的該基板支撐體之間; 使反應物氣體流至該內部饋送器,該反應物氣體之流動係設定為對流性流動,以使該反應物氣體具有第一流率,並導向為垂直於該基板; 使調節氣體流至該外部饋送器,在該調節氣體與該反應物氣體兩者皆導入該電漿區域中之前,該調節氣體流至該外部饋送器之流動並不使該調節氣體與該反應物氣體混合,該調節氣體之流動係設定為擴散性流動,以使該調節氣體具有第二流率,並以垂直於該基板與平行於該介電窗之間兩者的角度而導向,在進一步與該反應物氣體混合前,該角度提供該調節氣體較接近該射頻功率的輸送;及 將射頻功率提供至該電極,俾使用該反應物氣體及該調節氣體在該基板之上的該電漿區域中引燃電漿; 其中以該角度導向之該調節氣體的該擴散性流動係使該調節氣體能藉由該射頻功率而解離,以使與該反應物氣體的混合及解離在空間上與時間上分開; 其中,在未預先混合的情況下將該反應物氣體及該調節氣體導入該電漿處理腔室中,得以在該基板之材料的活性蝕刻期間控制該基板整個表面之蝕刻均勻性; 其中對蝕刻均勻性的控制係部分藉由將該反應物氣體及該調節氣體其中一者或兩者設定成包含鈍化成分。
  2. 如申請專利範圍第1項之用於將氣體輸送至電漿處理腔室的方法,其中該調節氣體為用以管控該基板之該表面上之蝕刻效果的氣體,而該調節氣體係用以控制注入該電漿處理腔室中之反應物氣體的解離形式,其中該調節氣體為鈍化或反應物部分其中一者。
  3. 如申請專利範圍第1項之用於將氣體輸送至電漿處理腔室的方法,更包含: 使側部氣體流經位於該電漿處理腔室之側部的側部饋送器,該側部氣體為另一調節氣體。
  4. 如申請專利範圍第1項之用於將氣體輸送至電漿處理腔室的方法,更包含: 其中該第二流率小於該第一流率。
  5. 如申請專利範圍第1項之用於將氣體輸送至電漿處理腔室的方法,更包含: 調節該反應物氣體之體積流量與該調節氣體之體積流量間的比例。
  6. 如申請專利範圍第1項之用於將氣體輸送至電漿處理腔室的方法,其中來自該調節氣體之該擴散性流動連接至該介電窗正下方且非常接近該外部饋送器的沉積區域中。
  7. 如申請專利範圍第1項之用於將氣體輸送至電漿處理腔室的方法,其中該外部饋送器包含界定於與該內部饋送器同心之圓上的複數孔洞。
  8. 如申請專利範圍第1項之用於將氣體輸送至電漿處理腔室的方法,其中藉由調整該對流性流動及該擴散性流動而控制該電漿處理腔室中的解離速率。
  9. 如申請專利範圍第1項之用於將氣體輸送至電漿處理腔室的方法,其中當以一或更多處理器執行時,本方法之操作係藉由電腦程式而實施,其中該電腦程式係嵌入非暫態電腦可讀儲存媒體中。
  10. 一種用於將氣體輸送至電漿處理腔室的方法,該方法包含下列步驟: 該電漿處理腔室包含壁體、基板支撐體、設置於該基板支撐體之上的介電窗,該介電窗之中央區域包含氣體饋送注入器,其具有內部饋送器與圍繞該內部饋送器的外部饋送器,電極係設置於該介電窗之上,以透過該介電窗將功率提供至電漿區域,該電漿區域係設置於該介電窗與配置以支撐基板的該基板支撐體之間; 使第一氣體類型流至該內部饋送器; 使第二氣體類型流至該外部饋送器,該第二氣體類型與該第一氣體類型不同,俾使該第一氣體類型及該第二氣體類型在該電漿區域內混合;及 將射頻功率提供至該電極,俾使用該第一氣體類型及該第二氣體類型在該基板上方的該電漿區域中引燃電漿,其中當該電漿引燃時,在該電漿區域內之混合界定該第一氣體類型與該第二氣體類型之間的電漿解離形式; 其中該第一氣體類型包含反應物成分; 其中該第二氣體類型包含調節成分; 其中該反應物成分及該調節成分界定該基板之上的該電漿解離形式,其中在未預先混合的情況下將該第一氣體類型及該第二氣體類型導入該電漿處理腔室中,得以在當該電漿引燃而引起對該基板之材料的蝕刻時控制該基板整個表面之蝕刻均勻性; 其中蝕刻均勻性的控制係部分藉由將該第一氣體類型及該第二氣體類型其中一者或兩者設定成包含鈍化成分。
  11. 如申請專利範圍第10項之用於將氣體輸送至電漿處理腔室的方法,其中該第一氣體類型之該反應物成分係為用於蝕刻該基板之物種的來源。
  12. 如申請專利範圍第10項之用於將氣體輸送至電漿處理腔室的方法,其中該第一氣體類型係選自由氯、或溴化氫、或六氟化硫所構成的群組。
  13. 如申請專利範圍第10項之用於將氣體輸送至電漿處理腔室的方法,其中該第二氣體類型係選自由氧、氦、氬、甲烷、或二氧化碳所構成的群組。
  14. 一種用於在腔室中處理基板的方法,該方法包含下列步驟: 設定用於氣體箱的參數,該參數界定第一氣體流及第二氣體流,該第一氣體流包含一或更多第一氣體,該第二氣體流包含一或更多第二氣體,其中該第一氣體流包含反應物氣體、或調節氣體、或反應氣體與調節氣體之混合物三者其中之一,其中該第二氣體流包含反應物氣體、或調節氣體、或反應氣體與調節氣體之混合物三者其中之一,其中該第一氣體流及該第二氣體流係藉由控制器而獨立設定; 使該第一氣體流透過內部饋送器而流至該腔室中,該內部饋送器係界定於該腔室之頂表面的中央上; 使該第二氣體流透過外部饋送器而流至該腔室中,該外部饋送器係界定於該腔室之該頂表面上且圍繞該內部饋送器,其中該第一氣體流及該第二氣體流在流至該腔室中之前不被混合;及 在該腔室中將射頻功率提供至電極,以使用該第一氣體流及該第二氣體流在該基板上方引燃電漿; 其中對於將何種反應物氣體與調節氣體導入該腔室中、以及將該等反應物氣體與該等調節氣體導入該腔室中之何處的界定,提供了對該腔室中解離形式之控制,從而獲得該基板之表面上的蝕刻均勻性。
  15. 如申請專利範圍第14項之用於在腔室中處理基板的方法,其中該第一氣體流包含至少一反應物氣體,而該第二氣體流包含至少一調節氣體。
  16. 如申請專利範圍第14項之用於在腔室中處理基板的方法,其中該第一氣體流包含溴化氫、氧、及氦,而該第二氣體流包含溴化氫及氦。
  17. 如申請專利範圍第14項之用於在腔室中處理基板的方法,其中該調節氣體為用以管控該基板之表面上之蝕刻效果的氣體,而該調節氣體係用以控制注入該腔室中之反應物氣體的解離形式,其中該調節氣體為鈍化或反應物部分其中一者。
  18. 如申請專利範圍第14項之用於在腔室中處理基板的方法,其中該第一氣體流為對流性流,而該第二氣體流為擴散性流。
  19. 如申請專利範圍第14項之用於在腔室中處理基板的方法,其中該內部饋送器以第一方向將該第一氣體流注入該腔室中,該第一方向為垂直的,而該外部饋送器以與該第一方向不同的第二方向注入該第二氣體流。
  20. 如申請專利範圍第14項之用於在腔室中處理基板的方法,其中設定用於該氣體箱的參數之該步驟更包含設定該第一氣體流及該第二氣體流的流速。
TW105109653A 2015-03-31 2016-03-28 用於晶圓副產物分佈及蝕刻特徵部輪廓均勻性之透過可調式電漿解離的氣體反應軌跡控制 TWI697952B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/675,659 2015-03-31
US14/675,659 US9966270B2 (en) 2015-03-31 2015-03-31 Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity

Publications (2)

Publication Number Publication Date
TW201703134A true TW201703134A (zh) 2017-01-16
TWI697952B TWI697952B (zh) 2020-07-01

Family

ID=57017438

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105109653A TWI697952B (zh) 2015-03-31 2016-03-28 用於晶圓副產物分佈及蝕刻特徵部輪廓均勻性之透過可調式電漿解離的氣體反應軌跡控制

Country Status (3)

Country Link
US (2) US9966270B2 (zh)
KR (1) KR102516377B1 (zh)
TW (1) TWI697952B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114501764A (zh) * 2022-01-26 2022-05-13 江苏神州半导体科技有限公司 基于多线圈耦合的气体解离电路控制装置及系统
US11522050B2 (en) 2020-01-30 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US12002855B2 (en) 2022-12-05 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US9865471B2 (en) * 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11944988B2 (en) * 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
CN112204167B (zh) * 2018-05-24 2023-06-20 东京毅力科创株式会社 用于气相自由基的控制的多区气体注入
US11486038B2 (en) * 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
US11398369B2 (en) * 2019-06-25 2022-07-26 Applied Materials, Inc. Method and apparatus for actively tuning a plasma power source
US11282711B2 (en) * 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
US20230057145A1 (en) * 2021-08-23 2023-02-23 Applied Materials, Inc. Plasma chamber with a multiphase rotating cross-flow with uniformity tuning

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
DE59914708D1 (de) * 1998-12-24 2008-05-08 Atmel Germany Gmbh Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4833778B2 (ja) 2006-02-13 2011-12-07 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7879250B2 (en) 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
KR101565432B1 (ko) * 2010-03-31 2015-11-03 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치용 유전체창, 플라즈마 처리 장치 및 플라즈마 처리 장치용 유전체창의 장착 방법
JP5851899B2 (ja) * 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11522050B2 (en) 2020-01-30 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
TWI786521B (zh) * 2020-01-30 2022-12-11 台灣積體電路製造股份有限公司 包括鰭式場效電晶體的半導體裝置及其製造方法
CN114501764A (zh) * 2022-01-26 2022-05-13 江苏神州半导体科技有限公司 基于多线圈耦合的气体解离电路控制装置及系统
CN114501764B (zh) * 2022-01-26 2024-02-09 江苏神州半导体科技有限公司 基于多线圈耦合的气体解离电路控制装置及系统
US12002855B2 (en) 2022-12-05 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Also Published As

Publication number Publication date
TWI697952B (zh) 2020-07-01
US20180240677A1 (en) 2018-08-23
KR102516377B1 (ko) 2023-03-30
US20160293431A1 (en) 2016-10-06
US10460951B2 (en) 2019-10-29
KR20160117231A (ko) 2016-10-10
US9966270B2 (en) 2018-05-08

Similar Documents

Publication Publication Date Title
TW201703134A (zh) 用於晶圓副產物分佈及蝕刻特徵部輪廓均勻性之透過可調式電漿解離的氣體反應軌跡控制
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
JP7313528B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
TWI731031B (zh) 用以提高基板處理系統之電漿中的電子密度位準之系統及方法
KR102484362B1 (ko) 효과적인 혼합 및 퍼징을 위한 유입부
CN107017147B (zh) 包括多个注气点和双注射器的衬底处理室
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
CN107452590A (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
JP2016105466A (ja) 半導体基板処理装置において半導体基板を均一に処理するためのガス注入方法
TW201438103A (zh) 具有多個電漿配置構件之半導體處理系統
US20210287909A1 (en) Integrated atomic layer passivation in tcp etch chamber and in-situ etch-alp method
US20160013020A1 (en) Systems and methods for producing energetic neutrals
CN111247619B (zh) 用于控制等离子体室中的等离子体辉光放电的方法和系统
KR20180105072A (ko) Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭
KR102261127B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들