TW201633371A - 用於電子束直寫(ebdw)微影之下方吸收或導電層 - Google Patents

用於電子束直寫(ebdw)微影之下方吸收或導電層 Download PDF

Info

Publication number
TW201633371A
TW201633371A TW104141301A TW104141301A TW201633371A TW 201633371 A TW201633371 A TW 201633371A TW 104141301 A TW104141301 A TW 104141301A TW 104141301 A TW104141301 A TW 104141301A TW 201633371 A TW201633371 A TW 201633371A
Authority
TW
Taiwan
Prior art keywords
layer
absorbing
electron beam
conducting
resist
Prior art date
Application number
TW104141301A
Other languages
English (en)
Inventor
夏庫 坦登
顏 柏若朵斯基
查理斯 沃蘭斯
保羅 奈赫斯
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201633371A publication Critical patent/TW201633371A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

適用於互補式電子束微影術(CEBL)之微影裝置和關於互補式電子束微影術之方法被描述。具體實施例係有關於用於電子束直寫(EBDW)微影之下方吸收和/或導電層的實施。

Description

用於電子束直寫(EBDW)微影之下方吸收或導電層
本發明實施例係在微影領域,且特別是涉及到互補式電子束微影(CEBL)的微影。
於過去幾十年,積體電路中特徵的縮放(scaling)一直是不斷增長的半導體產業背後的驅動力。縮放至更小及更小的特徵能夠在半導體晶片的有限面積增加功能單元的密度。
積體電路一般包括導電微電子結構,其為本領域所知的通孔(vias)。通孔可被使用來電連接在通孔之上的金屬線至通孔之下的金屬線。通孔一般由微影(lithographic)製程形成。代表性地,光阻劑(photoresist)層可被旋轉塗佈在介電層(dielectric layer)上,光阻劑層可經由圖案化遮罩(mask)曝光於圖案化光輻射(actinic radiation),且然後曝光層被顯影(developed)為了在光阻劑層中形成開口。接著,藉由光 阻劑層中的開口作為蝕刻遮罩的使用,用於通孔之開口可蝕刻進介電層。這個開口被稱為通孔開口。最終,通孔開口被填充有一或多個金屬或其它導電材料,用以形成通孔。
在過去,通孔的大小和間隔(spacing)逐漸地減小,並且預計未來的通孔的尺寸和間隔將繼續逐步減小,對於至少一些類型的積體電路(例如,先進微處理器、晶片組組件、繪圖晶片等等)。通孔之大小的一種量度是通孔開口的臨界尺寸。通孔之間隔的一種量度是通孔節距(via pitch)。通孔節距代表最接近的相鄰通孔之間中心至中心的距離。當藉由此種微影製程圖案化具有非常小節距之非常小的通孔時,將出現一些挑戰。
此種挑戰之一是通孔和覆蓋(overlying)金屬線之間的重疊以及通孔和下層金屬線之間的重疊,一般需在通孔節距之四分之一的數量級上控制為高容差。當通孔節距隨時間越來越小,重疊容差趨向於和它們以比微影設備能夠縮放更大的速率縮放。
此種挑戰之另一個是通孔開口之臨界尺寸一般趨向比微影掃描器之解析能力縮放更快。縮小技術存在著將通孔開口的臨界尺寸縮小。然而,縮小量常受限於最小通孔節距、以及縮小程序之能力而無法為足夠地免於光學鄰近校正(OPC),且無法顯著地折衷線寬粗糙度(LWR)和/或臨界尺寸均勻度(CDU)。
此種挑戰之又一個是光阻劑之LWR和/或 CDU特性通常需要隨著通孔開口之臨界尺寸減少而改良以維持臨界尺寸預算之相同的整體片段。然而,目前大部分光阻劑之LWR和/或CDU特性並未如通孔開口之臨界尺寸減少般快速地改良。此種挑戰之再一個是極小通孔節距通常傾向為低於極端紫外線(EUV)微影掃描器之解析能力。結果,通常二、三或更多不同的微影遮罩可能需被使用,其傾向於增加成本。在某些時候,假如節距持續減小,則有可能無法(甚至以多重遮罩)使用傳統掃描器來印刷這些極小節距之通孔開口。
類似地,在與金屬通孔關聯之金屬線結構中的切割(亦即,破裂)之製造面臨了類似的縮小問題。
因此,在微影處理技術和能力領域中是需要改良的。
100‧‧‧開始結構
102‧‧‧層間電介質(ILD)層
104‧‧‧硬遮罩材料層
106‧‧‧圖案化遮罩
108‧‧‧間隔物
110‧‧‧圖案化硬遮罩
400‧‧‧電子束行
402‧‧‧電子源
404‧‧‧電子之束
406‧‧‧限制孔徑
408‧‧‧照明光學裝置
410‧‧‧輸出束
412‧‧‧狹縫
414‧‧‧薄透鏡
416‧‧‧成型孔徑
418‧‧‧消除器孔徑陣列(BAA)
420、508、608‧‧‧部分
421‧‧‧束部分
422‧‧‧最後孔徑
424‧‧‧級回饋偏轉器
426‧‧‧所得的電子束
428‧‧‧點
430‧‧‧晶圓
432‧‧‧級掃描
434、512、612、617‧‧‧箭號
502、602‧‧‧阻劑層
504、604‧‧‧下方層
506、606‧‧‧電子
510、610‧‧‧孔徑
514、614‧‧‧曝光的區域
516‧‧‧反向散射電子
603‧‧‧下方吸收或導電層
699‧‧‧上層電荷散逸層
700、702‧‧‧圖
800、902‧‧‧結構
802、802’‧‧‧金屬線
804‧‧‧層間介電質
900‧‧‧半導體結構或裝置
904‧‧‧突出鰭片部分
904A‧‧‧源極區
904B‧‧‧汲極區
906‧‧‧絕緣區域
908‧‧‧閘極線
914‧‧‧閘極接觸
916‧‧‧覆蓋閘極接觸通孔
950‧‧‧閘極電極
952‧‧‧閘極介電質層
954‧‧‧介電質帽層
960‧‧‧覆蓋金屬互連
970‧‧‧層間介電質堆疊或層
1000‧‧‧運算裝置
1002‧‧‧電路板
1004‧‧‧處理器
1006‧‧‧通訊晶片
1100‧‧‧電腦系統
1102‧‧‧處理器
1104‧‧‧主記憶體
1106‧‧‧靜態記憶體
1108‧‧‧網路介面裝置
1110‧‧‧視頻顯示器
1112‧‧‧文數輸入裝置
1114‧‧‧游標控制裝置
1116‧‧‧訊號產生裝置
1118‧‧‧附屬記憶體
1120‧‧‧網路
1122‧‧‧軟體
1130‧‧‧匯流排
1132‧‧‧機器可存取儲存媒體
1200‧‧‧插入物
1202‧‧‧第一基板
1204‧‧‧第二基板
1206‧‧‧球格陣列
1208‧‧‧金屬互連
1210‧‧‧通孔
1212‧‧‧通過矽通孔
1214‧‧‧嵌入式裝置
1300‧‧‧運算裝置
1302‧‧‧積體電路晶粒
1304‧‧‧處理器
1306‧‧‧晶粒上記憶體
1308‧‧‧通訊晶片
1310‧‧‧揮發性記憶體
1312‧‧‧非揮發性記憶體
1314‧‧‧繪圖處理器
1316‧‧‧數位訊號處理器
1320‧‧‧晶片組
1322‧‧‧天線
1324‧‧‧觸控螢幕
1326‧‧‧觸控螢幕控制器
1328‧‧‧全球定位系統
1329‧‧‧電池
1332‧‧‧動態感測器
1334‧‧‧揚聲器
1336‧‧‧相機
1338‧‧‧輸入裝置
1340‧‧‧大容量儲存裝置
1342‧‧‧密碼處理器
圖1A示出接續於層間介電質(ILD)層上所形成之硬遮罩材料層的沈積後(但在圖案化前)之開始結構的橫斷面視圖。
圖1B示出接續於藉由節距減半的硬遮罩層之圖案化後的圖1A之結構的橫斷面視圖;圖2示出在一種涉及六之因數的節距分割之間隔物為基的六倍圖案化(SBSP)處理技術中之橫斷面視圖。
圖3示出在一種涉及九之因數的節距分割之 間隔物為基的九倍圖案化(SBNP)處理技術中之橫斷面視圖。
圖4為一種電子束微影設備之電子束行(column)的橫斷面概略圖示。
圖5示出反向散射電子(backscattered electrons)效應,這表明提供本發明實施例的背景問題。
圖6依據本發明實施例示出使用用於EBDW微影之吸收和/或導電下層的優點。
圖7A及7B包括依據本發明實施例表示在圖案化金屬之下方吸收和/或導電層之晶圓(圖7A)相比於用於不同孔徑(aperture)尺寸之沒有圖案化此種層之晶圓(圖7B)上收集的資料的圖。
圖8示出依據本發明實施例之前一層金屬化結構之平面圖及相應的橫斷面視圖。
圖9A依據本發明實施例示出具有鰭片(fins)之非平面半導體裝置的橫斷面視圖。
圖9B依據本發明實施例示出沿著圖9A之半導體裝置之a-a’軸擷取的平面圖。
圖10依據本發明之實施方式示出一種運算裝置。
圖11依據本發明之實施例示出一範例電腦系統之方塊圖。
圖12示出一種實施本發明一或多個實施例之插入物。
圖13示出依據本發明之實施例所建造之運算裝置。
【發明內容及實施方式】
描述了適於互補式電子束微影(CEBL)之微影設備以及其相關的方法。於下列描述中,提出多項特定細節,例如特定工具、集成及材料狀態,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實施而無這些特定細節。於其他例子中,眾所周知的特徵(例如單或雙金屬鑲嵌處理)未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解其圖中所示之各個實施例為說明性表示且不一定依比例繪示。於一些情況下,各個操作將被描述為數個離散的操作,依序地,以一種最有助於瞭解本發明之方式,然而,描述之順序不應被視為暗示這些操作必定為順序相依的。特別地,這些操作無須以所提呈之順序來執行。
本文所述之一或多個實施例係有關微影方式及工具,其係涉及或適於互補式電子束微影(CEBL),包括當實施此類方式及工具時之半導體處理考量。具體實施例係有關用於電子束直寫(EBDW)微影之下方吸收和/或導電層之實施方式。
互補式微影利用兩種微影技術之優點(互相合作)於大量製造(HVM)時用來降低以20nm半節距及以下圖案化邏輯裝置中之關鍵層的成本。用以實施互補 式微影之成本效率最高的方式是結合光學微影(optical lithography)與電子束微影(e-beam lithography,EBL)。將積體電路(integrated circuit,IC)設計轉移至晶圓之製程詳述如下:光學微影,用來以預定義節距印刷單向線(嚴格單向或主要單向);節距分割技術,用來增加線密度;及EBL,用來「切割」線。EBL亦用來圖案化其他關鍵層,特別是接點及通孔。光學微影可被單獨用來圖案化其他層。當用來補充光學微影時,EBL被稱為CEBL,或互補式EBL。CEBL係針對切割線及孔洞。藉由不嘗試圖案化所有層,CEBL扮演互補但關鍵的角色以滿足工業上之圖案化需求,在先進的(較小的)技術節點(例如,10nm或更小,例如7nm或5nm技術節點)上。CEBL亦延伸當前光學微影技術、工具及設施之使用。
如上所述,節距分割技術可被用來增加線密度,在使用EBL以切割此等線以前。於第一範例中,節距減半可被實施以使製得的光柵結構之線密度變兩倍。圖1A示出接續於層間介電質(ILD)層上所形成之硬遮罩材料層的沈積後(但在圖案化前)之開始結構的橫斷面視圖。圖1B示出接續於藉由節距減半的硬遮罩層之圖案化後的圖1A之結構的橫斷面視圖。
參照圖1A,開始結構100具有硬遮罩材料層104,其係形成於層間介電質(ILD)層102上。圖案化遮罩106被配置於硬遮罩材料層104之上。圖案化遮罩106具有沿著其特徵(線)之側壁所形成的間隔物108,於硬遮罩材 料層104上。
參照圖1B,硬遮罩材料層104係以節距減半方式被圖案化。明確地,圖案化遮罩106被首先移除。間隔物108之所得圖案具有遮罩106之密度的兩倍、或者其節距或特徵的一半。間隔物108之圖案係(例如)藉由蝕刻製程而被轉移至硬遮罩材料層104以形成圖案化硬遮罩110,如圖1B中所示。於一此類實施例中,圖案化硬遮罩110被形成以具有單向線之光柵圖案。圖案化硬遮罩110之光柵圖案可為緊密節距光柵結構。例如,緊密節距可能無法直接透過習知的微影技術來達成。甚至,雖然未顯示,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖1B的圖案化硬遮罩110之光柵狀圖案可具有以恆定節距來分隔並具有相互間的恆定寬度之硬遮罩線。所獲得的尺寸可能甚小於已利用之微影技術的臨界尺寸。
因此,當作CEBL集成技術之第一部分,覆蓋膜可使用微影及蝕刻處理(其可涉及,例如,間隔物為基的雙倍圖案化(SBDP)或節距減半、或間隔物為基的四倍圖案化(SBQP)或節距四分之一化)而被圖案化。應理解其他的節距分割方式亦可被實施。
例如,圖2示出在一種涉及六之因數的節距分割之間隔物為基的六倍圖案化(SBSP)處理技術中之橫斷面視圖。參照圖2,於操作(a),顯示於微影、減薄及蝕刻處理後之犧牲圖案X。於操作(b),顯示於沈 積和蝕刻後之間隔物A及B。於操作(c),顯示於間隔物A移除後之操作(b)的圖案。於操作(d),顯示於間隔物C沈積後之操作(c)的圖案。於操作(e),顯示於間隔物C蝕刻後之操作(d)的圖案。於操作(f),於犧牲型態X移除及間隔物B移除後獲得節距/6圖案。
於另一範例中,圖3示出在一種涉及九之因數的節距分割之間隔物為基的九倍圖案化(SBNP)處理技術中之橫斷面視圖。參照圖3,於操作(a),顯示於微影、減薄及蝕刻處理後之犧牲圖案X。於操作(b),顯示於沈積和蝕刻後之間隔物A及B。於操作(c),顯示於間隔物A移除後之操作(b)的圖案。於操作(d),顯示於間隔物C及D沈積和蝕刻後之操作(c)的圖案。於操作(e),於間隔物C移除後獲得節距/9圖案。
於任何情況下,於一實施例中,如本文所述之互補式微影涉及藉由習知或最新微影,例如193nm浸入微影(193i),以首先製造具柵格的佈局。節距分割可被實施以增加具柵格佈局中之線的密度以n之因數。利用193i微影將節距分割以n之因數加上之具柵格佈局形成可被指定為193i+P/n節距分割。節距分割的具柵格佈局之圖案化可接著使用電子束直接寫入(EBDW)「切割」而被圖案化,如以下更詳細地描述。於一此類實施例中,193nm浸入縮放可利用成本效益高的節距分割而被延伸於許多世代。互補式EBL被用以打斷光柵連續性並將通孔圖案化。
更明確地,本文所述之實施例係有關於積體電路之製造期間圖案化特徵。於一實施例中,CEBL被用來圖案化開口以供形成通孔。通孔為用以將通孔上方之金屬線電連接至通孔下方之金屬線的金屬結構。於另一實施例中,CEBL被用以形成沿著金屬線之非導電間隔或中斷。傳統上,此類中斷已被稱為「切割」,因為該程序涉及移除或切掉金屬線之部分。然而,於金屬鑲嵌方式中,中斷可被稱為「插塞」(在本領域亦知為阻塞遮罩),其為沿著金屬線軌跡之區,其在製造技術之任何階段實際上不是金屬,反而是其中無法形成金屬之保留區。然而,於任何情況下,切割或插塞等術語可被交換地使用。通孔開口及金屬線切割或插塞形成常被稱為積體電路之後段製程(BEOL)處理。於另一實施例中,CEBL被用於前段製程(FEOL)處理。例如,有效區尺寸(例如鰭片尺寸)之縮放和/或相關的閘極結構可使用如本文所述之CEBL技術來執行。
如上所述,電子束(ebeam)微影可被實施來補充標準微影技術,以獲得積體電路製造之特徵的所欲縮放。電子束微影工具可被用以執行電子束微影。於一範例實施例中,圖4為一種電子束微影設備之電子束行(column)的橫斷面概略圖示。
參照圖4,電子束行400包括用以提供電子之束404的電子源402。電子之束404通過限制孔徑406,而接著,通過高長寬比照明光學裝置408。輸出束410接 著通過狹縫412並可由薄透鏡414(例如,其可為磁性的)所控制。最後,束404通過成型孔徑416(其可為一維(1-D)成型孔徑)並接著通過消除器孔徑陣列(BAA)418。BAA 418包括複數實體孔徑於其中,例如矽之薄片中所形成的開口。有可能其於既定時刻BAA 418之僅一部分被曝光於電子束。替代地,或結合地,僅有通過BAA 418之電子束404的一部分420被容許通過最後孔徑422(例如,束部分421被顯示為阻擋)以及(可能地)級回饋偏轉器424。
再次參照圖4,所得的電子束426最終撞擊為晶圓430(例如用於IC製造之矽晶圓)之表面上的一點428。明確地,所得的電子束可撞擊於晶圓上之光阻劑層上,但實施例不限於此。級掃描432相對於束426而移動晶圓430,沿著圖4中所示之箭號434的方向。應理解電子束工具完整地可包括圖4中所示之類型的數個行400。同時,如以下之一些實施例中所述,電子束工具可具有相關的基礎電腦,且各行可進一步具有相應的行電腦。
最先進電子束微影之一項缺點在於其並非輕易地可採用於先進積體電路製造之大量製造(HVM)環境中。今日的電子束及相關方法已被證明其針對HVM晶圓處理之通量需求是太慢的。本文所述之實施例係有關致能EBL之使用於HVM環境中。特別地,本文所述之許多實施例致能EBL工具中之增進的通量以容許EBL之使用於HVM環境中。
應理解,當以下參照消除器孔徑陣列(BAA)中之開口或孔徑時,BAA之所有或部分開口或孔徑可被切換為開或「關」(例如,藉由束偏轉),隨著晶圓/晶粒於底下沿著晶圓行進或掃描方向而移動。於一實施例中,BAA可被獨立地控制,針對各開口是否通過電子束而至樣本或者將電子束偏轉入(例如)法拉第杯(Faraday cup)或遮蔽(blanking)孔徑。包括此一BAA之電子束行或設備可被建立以偏轉整體束覆蓋至BAA之僅一部分,且接著BAA中之個別開口被電氣地組態成使電子束通過(「開」)或不通過(「關」)。例如,未偏轉的電子通過至晶圓並曝光阻劑層,同時偏轉的電子被捕集於法拉第杯或遮蔽孔徑中。應理解其對於「開口」或「開口高度」之參照指的是撞擊在接收晶圓上之點尺寸而非BAA中之實體開口,因為實體開口是實質上大於(例如,微米等級)最終從BAA所產生之點尺寸(例如,奈米等級)。因此,當本文描述為BAA之節距或者BAA中之開口行被說成「相應於」金屬線之節距時,此描述實際上指的是介於如從BAA所產生之撞擊點的節距與被切割之線的節距之間的關係。
也應理解到在一些實施例中,如上面所述之電子束行也包括除了配合圖4所述之那些特徵以外的其他特徵。例如,於一實施例中,樣本級可被旋轉90度以容納交替的金屬化層,其可被相互正交地印刷(例如,旋轉於X與Y掃描方向之間)。於另一實施例中,電子束工 具能夠在將晶圓載至該級上之前旋轉晶圓90度。
依據本發明實施例,下方吸收和/或導電層被實施用於電子束直寫(EBDW)微影。為了提供背景,在電子束直寫(EBDW)中,影響圖案化性能的關鍵現象是基板和傳統下方層之電子的反向散射(backscatter;BS)。反向散射電子可降低電子束微影製程之解析度及曝光寬容度(exposure latitude)。二次電子(Secondary electrons)也可能產生影響。為了解決這些問題,一或多個實施例涉及一或多個下層的使用,其藉由反向散射電子的吸收或遠離電子束光阻劑之電子的傳導,減少至光阻劑內之反向散射電子的吸收。應理解到前一解決辦法提供用於補償這種現象的效應,而不是減少或改變它。
圖5示出反向散射電子(backscattered electrons)效應,這表明提供本發明實施例的背景問題。參照圖5,阻劑(resist)層502形成在傳統下方層504(例如,包括在半導體結構中的材料層,例如但不限制於形成在金屬化結構之上的硬遮罩層)上。電子束行提供複數個電子506,它的一部分508被允許通過的孔徑510。最初撞擊在阻劑的電子被稱為前向電子,如參照箭頭512。允許通過孔徑510之前向電子的一部分508提供阻劑層502之曝光的區域514。允許通過孔徑510之前向電子的一部分508可進一步進行通過阻劑層502並進入下方層504,如圖5所示。再次參照圖5,來自傳統下層之反向散射電子516導致一個直流狀現象曝光從而降低由入射 電子束定義的空中影像(aerial image)的清晰度(sharpness)。即,反向散射的電子可以導致曝光的阻劑層502之降低的影像對比度。
如本文所述之實施概念之優點的範例,圖6依據本發明實施例示出使用用於EBDW微影之吸收和/或導電下層的優點。參照圖6,阻劑層602形成在下方吸收或導電層603上。下方吸收或導電層603形成在傳統下方層604(例如,包括在半導體結構中的材料層,例如但不限制於形成在金屬化結構之上的硬遮罩層)上。電子束行提供複數個電子606,它的一部分608被允許通過的孔徑610。最初撞擊在阻劑602的電子被稱為前向電子,如參照箭頭612。允許通過孔徑610之前向電子的一部分608提供阻劑層602之曝光的區域614。允許通過孔徑610之前向電子的一部分608可進一步進行通過阻劑層602並進入下方吸收或導電層603,如圖6所示。
參照圖6,藉由合適的下方吸收和/或導電層或吸收和/或也可吸收(反射(非彈性或彈性散射)或進行入射電子遠離而不是反向散射他們)之導電層之組合的使用,反向散射的電子之影響被減小。在特定範例中,電子被傳導遠離其入射的初始位置,如由箭頭617指示。其結果,影像對比度增加,增進曝光寬容度。此外,為了曝光,增進的臨界尺寸(CD)均勻性以及更高解析度可以達到。
應理解到當使用下層來提升電子束圖案化時 一或多個現象可被利用。在一實施例中,再次參照圖6,下方層603為吸收層或導電層或為導電層和吸收層兩者。在一個此種實施例中,下方層603為一吸收層在於撞擊在該層上的電子在撞擊處或靠近撞擊的位置最終被吸收。在其它實施例中,下方層603為導電層且沿著該層傳導撞擊電子,例如沿著箭頭617之方向。在其它實施例中,下方層603為呈現吸收(例如,由原子序(atomic number)(Z)調製)和導電特性兩者。
在一實施例中,圖6之下方層603可表示單一層或多層的堆疊。在多層的堆疊之情況下,堆疊可由多個吸收層、多個導電層或導電和吸收層的組合(例如交替的導電和吸收層)。應理解到(不論下方層603最終形式),下方層603可被包括在材料層堆疊中(例如下方硬遮罩層),材料堆疊在阻劑層602顯影後被蝕刻。下方層603可以為犧牲層或為接續圖案化製程之後被去除的堆疊層。
因此,在一實施例中,使用電子束工具的圖案化阻劑層的方法包括提供具有阻劑層602在下方吸收或導電層603上的晶圓。電子束直寫微影被執行以入射電子圖案化阻劑層。吸收或導電層603吸收、反射或傳導入射電子之實質部分遠離阻劑層602以減少反向散射。在其它實施例中,在其中反向散射無法完全地減少的情況下,吸收或導電層603可以以被容許的任何反向散射是受控制的方式、以高對比度被保留用於影像曝光的方式,被使用來 調整反向散射。在一此種實施例中,反向散射從吸收或導電層603被傳導或反射回朝向阻劑層602,但其以比傳統反向散射更少漫散(diffuse)的方式達成。
在一實施例中,吸收或導電層603為材料層,例如但不限制於鉻(Cr)層、矽化鉬(MoSi)層、氮化鈦(TiN)層、氮化鉭(TaN)層、矽(Si)層、例如石墨烯層的碳旋轉塗佈層、碳CVD沉積層、玻璃旋轉塗佈層、鎢(W)層、銅(Cu)層、鈷(Co)層、氮化矽(SiN)層、碳化矽(SiC)層或二氧化矽(SiO2)層。在其它實施例中,吸收或導電層603為材料層,例如但不限制於釕(Ru)層、鈦(Ti)層、鎳(Ni)層、鋁(Al)層、鉿(Hf)層、鉭(Ta)層、鋯(Zr)層或它們的合金層。如上所述,吸收或導電層603為材料層可被沉積為單一層或多層。在又一其它實施例中,吸收或導電層603為材料層可被形成為夾在介電質材料層之間的多層堆疊層。
在一實施例中,吸收或導電層603係使用例如旋轉塗佈(spin-on)、化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、電鍍(electro deposition)、濺鍍(sputtering)等等的技術沉積。在一實施例中,吸收或導電層603具有大約1-200奈米範圍內的厚度。在此種具體實施例中,吸收或導電層603具有大約20-50奈米範圍內的厚度。應理解到具有其它功能之其它層也可被包括作為在阻劑層602下的附加下層,這些層表現出幫助阻劑層 602之圖案化及平坦化。在一實施例中,阻劑層602為正或負阻劑,這取決於預期的應用。
再次參照圖6,在一實施例中,上層電荷散逸層699(charge dissipation layer)被包括在阻劑層602之上。在一實施例中,電荷散逸層699為一導電層。在一具體實施例中,電荷散逸層699為一導電聚合物材料層,例如但不限制於聚苯(polyphenylene)層、聚吡咯(polypyrrole)層、聚噻吩(polythiophene)層或例如摻雜的聚苯胺之聚苯胺(polyaniline)層。
在一示範實施例中,被使用來圖案化阻劑層602之電子束條件大約在10千電子福特(kEV)至200千電子福特或更高的範圍內。電子束可以被成形(例如,使用孔徑)或高斯光束(Gaussian beam)。電流的範圍可以從幾微庫侖/平方公分(uC/cm2)至幾百微庫侖/平方公分。在一此種具體實施例中,約為50奈米之阻劑層602之厚度被使用,且阻劑層602被具有厚度約為50奈米的電荷散逸層699覆蓋。氮化鈦(TiN)之下層603被包括且具有約為25奈米的厚度。具有成行的孔徑之50千電子福特的電子束用來在阻劑602上印刷圖案,在尺寸變化從約15奈米至60奈米。
圖7A為依據本發明實施例揭露在圖案化金屬之下方吸收和/或導電層的晶圓上收集的資料的圖700。相反的,圖7B為揭露在沒有圖案化此種吸收和/或導電層之晶圓上收集的資料的圖702。資料被收集用於各種孔徑尺 寸以及被繪製成在晶圓上達到的CD尺寸(單位為奈米)如劑量函數(單位為庫侖/平方公分,C/cm2)。共同參考圖7A和7B,具有下方吸收和/或導電金屬層的晶圓顯示出較高的解析度(基於較佳對比度)以及增進的劑量寬容度。為了避免電子束上的散粒雜訊(shot noise)導致CD變化,劑量寬容度是非常重要的。
應理解到為了找出用於使用EBDW(電子束直寫)高解析圖案化的最佳組合,具有不同熱和電傳導性的下方層可被評估。本文所述之實施例可為了增進EBDW圖案化製程之解析度和劑量寬容度使基板之設計能夠被實施。總體而言,增進的解析度及更小的CD變化提高了晶圓上的特徵密度,從而降地了製造晶片的相關成本。
更一般地,參照本發明實施例之上述所有方面,應理解到具有線與線切割(或插塞)以及具有相關通孔的金屬化層可被製造在基板之上,以及在一實施例中可被製造在前一金屬化層之上。作為一範例,圖8示出依據本發明實施例之前一層金屬化結構之平面圖及相應的橫斷面視圖。參照圖8,開始結構800包括金屬線802之圖案以及層間介電質(ILD)線804。開始結構800可被圖案化為以固定的節距間隔開且具有固定寬度的金屬線之光柵狀圖案,如圖8所示。雖然沒有顯示,線802在沿著線不同位置上具有中斷(即,切割或插塞)。例如,圖案可由節距減半或節距四分之一化方案製造,如上所述。一些線可與下方通孔關聯,例如在橫斷面視圖中顯示作為範例之 線802’。
在一實施例中,在圖8之前一金屬化結構上金屬化層的製造開始於在結構800之上的層間介電質(ILD)的形成。硬遮罩材料層可接著被形成在結構800之上。硬遮罩材料層可被圖案化以形成單一方向現之光柵正交於800之線802。在一實施例中,單一方向硬遮罩線之光柵使用傳統微影(例如,光阻劑和其它關聯層)製造,且具有由如上所述之節距減半、節距四分之一化等等的方案定義的線密度。硬遮罩線之光柵留下曝光的下方ILD層之光柵區域。也就是這些ILD層之曝光的部分最終地圖案化用於金屬線的形成、通孔的形成及插塞的形成。例如,在一實施例中,通孔的位置使用如上述之EBL圖案化在曝光的ILD區域。圖案化可包括阻劑層的形成及藉由EBL圖案化阻劑層用以提供通孔開口位置,其可被蝕刻至ILD區域內。覆蓋硬遮罩之線可被使用來侷限通孔僅在曝光的ILD區域,由硬遮罩線容納重疊可有效地作為蝕刻停止。在分開EBL處理操作中,插塞(或切割)位置也被圖案化在ILD之曝光部分(如由覆蓋硬遮罩線侷限)。切割和插塞的製造有效地保留將最終中斷金屬線在其中製造的ILD區域。金屬線可接著使用鑲嵌方式製造,其中ILD之曝光部分(那些部分在硬遮罩線之間且沒有由插塞保留層保護,例如在「切割」期間阻劑層圖案化)被部分凹陷。凹陷可進一步延伸通孔的位置以從下方金屬化結構開放金屬線。部分地凹陷ILD區域接著填充金屬(製 程也可以包括填充通孔的位置),例如藉由電鍍和CMP處理,用以在覆蓋硬遮罩線之間提供金屬線。硬遮罩線可最終地被去除以完成金屬化結構。應理解到上面之線切割、通孔形成和最終線形成的順序被提供僅作為範例。各種處理方案可容納如本文所述之使用EBL切割和通孔。
在一實施例中,如在整個說明書中使用的,層間介電質(ILD)材料可由介電質或絕緣材料層組成或包括介電質或絕緣材料層。合適的介電質材料的範例包括但不限制於矽氧化物(例如二氧化矽(SiO2))、矽的摻雜氧化物、矽氟摻雜氧化物、矽碳摻雜氧化物、各種本領域已知的低k介電質材料以及它們的組合。層間介電質材料可由傳統技術形成,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)或藉由其它沉積方法。
在一實施例中,如在整個說明書中使用的,互連材料由一或多個金屬或其它導電結構組成。常見範例係銅線和可包括可不包括阻障層在銅和包圍ILD材料之間的結構的使用。如本文所使用,用語金屬包括合金、堆疊或其它多金屬的組合。例如,金屬互連線可包括阻障層、不同金屬之堆疊或合金等等。互連線在本領域有時也稱為跡線、導線、線、金屬或簡單地稱為互連。
在一實施例中,如也在整個說明書中使用的,硬遮罩層由不同於層間介電質材料的介電質材料組成。在一些實施例中,硬遮罩層包括矽之氮化物(例如,氮化矽)層或矽的氧化物層、或兩者或它們的組合。其它 合適的材料包括碳基材料。在其它實施例中,硬遮罩材料包括金屬種類。例如,硬遮罩或其它覆蓋材料可包括鈦或其它金屬的氮化物層(例如,氮化鈦)。潛在較少量的其它材料(例如摻雜劑或表面氧化)可以包括在一個或多個這些層。替代地,本領域已知的其它硬遮罩層可根據特定的實施被使用。硬遮罩層可由ALD、CVD、PVD或由其它沉積方式形成。
應理解到本文有關圖8敘述的層和材料一般地形成在下方半導體基板或結構上或之上,例如積體電路之下方裝置層。在一實施例中,下方半導體基板表示用於製造積體電路的一般工件物體。半導體基板通常包括晶圓或矽之其它矽片或其它半導體材料。合適的半導體基板包括但不限制於單晶(single crystal)矽、多晶(polycrystalline)矽和絕緣層上覆矽(silicon-on-insulator,SOI),以及其它半導體材料形成的類似基板。半導體基板(依據製造的階段)通常包括電晶體、積體電路及相似物。基板也可以包括半導體材料、金屬、介電質、摻雜劑和其它通常在半導體基板發現的材料。此外,在圖8所示的結構可以在較低階層之互連層下方來製造。
在其它實施例中,EBL切割可被使用來製造半導體裝置,例如積體電路之PMOS或NMOS。在一此種實施例中,EBL切割被使用來圖案化最終用於形成鰭片基或三閘極結構之主動區的光柵。在其它此種實施例中,EBL切割被使用來圖案化閘極層’(例如多晶層)、最終 使用於閘極電極製造。作為一個完成裝置的範例,圖9A和9B依據本發明實施例分別示出具有複數個鰭片之非平面半導體裝置的橫斷面視圖和平面圖(其沿橫斷面視圖之a-a’軸截取)。
參照圖9A,半導體結構或裝置900包括從基板902形成的且在絕緣區域906中的非平面主動區(例如,包括突出鰭片部分904和子鰭區域905的鰭片結構)。閘極線908設置在非平面主動區之突出鰭片部分904上以及在絕緣區域906之一部分上。如所示,閘極線908包括閘極電極950以及閘極介電質層952。在一實施例中,閘極線908也可包括介電質帽層954。閘極接觸914和覆蓋閘極接觸通孔916也從這角度被看到(沿著覆蓋金屬互連960),它們全部設置在層間介電質堆疊或層970內。也從圖9A之角度看到,在一實施例中,閘極接觸914設置在絕緣區域906上,但不超過非平面主動區。
參照圖9B,閘極線908被顯示如設置在突出鰭片部分904上。突出鰭片部分904之源極和汲極區904A和904B可以從這角度被看到。在一實施例中,源極和汲極區904A和904B為突出鰭片部分904之原材料之摻雜部分。在其它實施例中,突出鰭片部分904之材料被去除或以其它半導體取代(例如,藉由磊晶沉積)。在這兩種情況下,源極和汲極區904A和904B可以延伸至介電質層906之高度下(即,進入子鰭區域905內)。
在一實施例中,半導體結構或裝置900為非 平面裝置,例如但不限制於鰭片式場效電晶體(fin-FET)或三閘極(tri-gate)裝置。在此種實施例中,相應的半導體通道區係在三維本體中組成或形成。在一此種實施例中,閘極線908之閘極電極堆疊至少包圍三維本體之頂表面及一對側壁。
本文揭露之實施可被使用來製造各種不同類型之積體電路和/或微電子裝置。此種積體電路的範例包括但不限制於處理器、晶片組組件、繪圖處理器、數位訊號處理器、微控制器以及相似物。在其它實施例中,半導體記憶體可被製造。此外,積體電路或其它微電子裝置可被使用在本領域已知的各種電子裝置。例如,在電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子設備等等。積體電路可與系統中的匯流排和其它組件耦接。例如,處理器可由一或多個匯流排耦接至記憶體、晶片組等等。處理器、記憶體和晶片組的每一者可潛在地使用本文揭示的方法製造。
圖10示出一運算裝置1000,依據本發明之一實施方式。運算裝置1000含有電路板1002。電路板1002可包括數個組件,包括(但不限制於)處理器1004及至少一通訊晶片1006。處理器1004被實體地及電氣地耦接至電路板1002。於一些實施方式中,至少一通訊晶片1006亦被實體地及電氣地耦接至電路板1002。於進一步實施方式中,通訊晶片1006為處理器1004之部分。
依據其應用,運算裝置1000可包括其他組 件,其可被或可不被實體地及電氣地耦接至電路板1002。這些其他組件包括但不限定於揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、繪圖處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大容量儲存裝置(例如硬碟機、光碟(CD)、數位光碟(DVD),等等)。
通訊晶片1006能夠無線通訊,以供資料之轉移至及自運算裝置1000。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可藉由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於一些實施例中其可能不含有。通訊晶片1006可實施數種無線標準或協定之任一者,包括但不限定於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。運算裝置1000可包括複數通訊晶片1006。例如,第一通訊晶片1006可專用於較短距離無線通訊,例如Wi-Fi及藍牙;而第二通訊晶片1006可專用於較長距離無線通訊,例如GPS、 EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
運算裝置1000之處理器1004包括封裝於處理器1004內之積體電路晶粒。於本發明之一些實施方式中,處理器之積體電路晶粒包括一或更多使用CEBL所製造的結構,依據本發明之實施例的實施。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器和/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器和/或記憶體中之其他電子資料。
通訊晶片1006亦包括封裝於通訊晶片1006內之積體電路晶粒。依據本發明之實施例的另一實施,通訊晶片之積體電路晶粒包括一或更多使用CEBL所製造的結構,依據本發明之實施例的實施。
於進一步實施方式中,容置在運算裝置1000內所包括之另一組件可含有積體電路晶粒,其包括一或更多使用CEBL所製造的結構,依據本發明之實施例的實施。
於各種實施方式中,運算裝置1000可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、平板電腦、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,運算裝置1000可為處理資料之任何其他電子裝置。
本發明之實施例可被提供為電腦程式產品或 軟體,其可包括其上儲存有指令之機器可讀取媒體,其可被用以編程電腦系統(或其他電子裝置)來執行依據本發明之實施例的程序。於一實施例中,電腦系統被與電子束工具耦接,例如配合圖4所描述者。機器可讀取媒體包括任何用以儲存或傳輸可由機器(例如,電腦)讀取之形式的資訊之機制。例如,機器可讀取(例如,電腦可讀取)媒體包括機器(例如,電腦)可讀取儲存媒體(例如,唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置,等等);機器(例如,電腦)可讀取傳輸媒體(電、光、聲或其他形式的傳播訊號(例如,紅外線訊號、數位訊號等等)),等等。
圖11示出以電腦系統1100之範例形式的機器之圖形表示,於該系統內可執行一組指令以致使機器執行本文所述之任何一或更多方法(例如端點檢測)。於替代實施例中,機器可被連接(例如,連網)至區域網路(LAN)、內部網路、外部網路、或網際網路中之其他機器。機器可操作於用戶伺服器網路環境下之伺服器或用戶機器之範圍中、或者當作點對點(或分散式)網路環境下之同級機器。機器可為個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、行動電話、網路器具、伺服器、網路路由器、開關或橋、或者能夠執行其指明由該機器所採取之行動的一組指令(序列或其他)的任何機器。再者,雖僅顯示單一機器,但術語「機器」亦應 被視為包括其獨立地或聯合地履行一組(或多組)用來執行本文所述之任何一或更多方法的指令之機器(例如,電腦)的任何集合。
範例電腦系統1100包括處理器1102、主記憶體1104(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),例如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)等等)、靜態記憶體1106(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等等)、以及附屬記憶體1118(例如,資料儲存裝置),其係經由匯流排1130而彼此通連。
處理器1102代表一或更多通用處理裝置,例如微處理器、中央處理單元,等等。更特別地,處理器1102可為複雜指令組計算(CISC)微處理器、減少指令組計算(RISC)微處理器、極長指令字元(VLIW)微處理器、實施其他指令組的處理器、或實施指令組之組合的處理器。處理器1102亦可為一或更多特殊用途處理裝置,例如特定應用積體電路(ASIC)、場可編程閘極陣列(FPGA)、數位訊號處理器(DSP)、網路處理器,等等。處理器1102組態成履行處理邏輯1126,用以執行本文所述之操作。
電腦系統1100可進一步包括網路介面裝置1108。電腦系統1100亦可包括視頻顯示單元1110(例如,液晶顯示器(LCD)、發光二極體顯示器(LED)、或陰極射線管(CRT))、文數輸入裝置1112(例如,鍵 盤)、游標控制裝置1114(例如,滑鼠)、及訊號產生裝置1116(例如,揚聲器)。
附屬記憶體1118可包括機器可存取儲存媒體(或更明確地,電腦可讀取儲存媒體)1132,於其上儲存有一或更多實施本文所述之任何一或更多方法或功能的指令組(例如,軟體1122)。軟體1122亦可駐存(完全地或至少部分地)於主記憶體1104內和/或於處理器1102內,在藉由電腦系統1100之其執行期間,主記憶體1104及處理器1102亦構成機器可讀取儲存媒體。軟體1122可進一步透過網路1120而被傳輸或接收,經由網路介面裝置1108。
雖然機器可存取儲存媒體1132被顯示於範例實施例中為單一媒體,術語「機器可讀取儲存媒體」應被視為包括單一媒體或多重媒體(例如,集中式或分散式資料庫、和/或相關快取及伺服器),其係儲存一或更多指令集。術語「機器可讀取媒體」亦應被視為包括能夠儲存或編碼供由機器所履行的指令集之任何媒體,且該媒體致使該機器執行本發明之一或更多方法。術語「機器可讀取儲存媒體」應因此被視為包括(但不限定於)固態記憶體、及光學和磁性媒體。
本發明之實施例的實施方式可被形成或執行於基板(例如半導體基板)上。於一實施方式中,半導體基板可為使用大塊矽所形成的結晶基板或矽絕緣體基板。於其他實施方式中,半導體基板可使用替代材料而被形 成,該些材料可或可不與矽結合,其包括(但不限定於)鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、銻化鎵、或III-V族或IV族材料之其他組合。雖然於此描述了可用來形成基板之材料的些許例子,但任何可作用為基礎以便可於其上建立半導體裝置之材料均落入本發明之精神及範圍內。
複數電晶體,例如金氧半導體場效電晶體(MOSFET或僅稱為MOS電晶體),可被製造於基板上。於本發明之各個實施方式中,MOS電晶體可為平面電晶體、非平面電晶體、或兩者之組合。非平面電晶體包括FinFET電晶體(例如雙閘極電晶體或三閘極電晶體)、及圍繞或包圍閘極電晶體(例如奈米帶及奈米線電晶體)。雖然本文所述之實施方式可僅顯示平面電晶體,但應注意:本發明亦可使用非平面電晶體來執行。
各MOS電晶體包括由至少兩層(閘極介電質層及閘極電極層)所形成的閘極堆疊。閘極介電質層可包括一層或層之堆疊。一或更多層可包括氧化矽、二氧化矽(SiO2)和/或高k介電質材料。高k介電質材料可包括元件,例如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮、及鋅。可用於閘極介電質層之高k材料的範例包括(但不限定於)氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭、及鈮酸鉛鋅。於一些實施例中,退火製程可被執行在 閘極介電質層上以增進其品質,當使用高k材料時。
閘極電極層被形成於閘極介電質層上,並可由至少一P型工作函數金屬或N型工作函數金屬所組成,根據電晶體將是PMOS或NMOS電晶體。於一些實施方式中,閘極電極層可包括二或更多金屬層之堆疊,其中一或更多金屬層為工作函數金屬層且至少一金屬層為填充金屬層。
針對PMOS電晶體,其可用於閘極電極之金屬包括(但不限定於)釕、鈀、鉑、鈷、鎳、及導電金屬氧化物,例如,氧化釕。P型金屬層將致能一種具有介於約4.9eV與約5.2eV間之工作函數的PMOS閘極電極之形成。針對NMOS電晶體,可用於閘極電極之金屬包括(但不限定於)鉿、鋯、鈦、鉭、鋁、這些金屬之合金、及這些金屬之碳化物,例如碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁。N型金屬層將致能一種具有介於約3.9eV與約4.2eV間之工作函數的NMOS閘極電極之形成。
於一些實施方式中,閘極電極可包括「U」狀結構,其包括實質上平行於基板之表面的底部部分及實質上垂直於基板之頂部表面的兩側壁部分。於另一實施方式中,形成閘極電極之金屬層的至少一者可僅為平面層,其係實質上平行於基板之頂部表面而不包括實質上垂直於基板之頂部表面的側壁部分。於本發明之進一步實施方式中,閘極電極可包括U狀結構及平面、非U狀結構之組合。例如,閘極電極可包括一或更多U狀金屬層,其係形 成於一或更多平面、非U狀層之頂部上。
於本發明之一些實施方式中,一對側壁間隔物可被形成於其包圍閘極堆疊之閘極堆疊的相對側上。側壁間隔物可被形成自一種材料,例如氮化矽、氧化矽、碳化矽、摻雜碳之氮化矽、及氧氮化矽。用以形成側壁間隔物之程序為本技術中眾所周知的,且通常包括沈積及蝕刻製程步驟。於替代實施方式中,複數間隔物對可被使用,例如,兩對、三對、或四對側壁間隔物可被形成於閘極堆疊之相對側上。
如本技術中眾所周知者,源極和汲極區被形成於鄰近各MOS電晶體之閘極堆疊的基板內。源極和汲極區通常係使用植入/擴散程序或者蝕刻/沈積程序來形成。於前者之程序中,例如硼、鋁、銻、磷或砷等摻雜物可被離子植入基板以形成源極和汲極區。一種啟動摻雜物並致使其進一步擴散入基板之退火程序通常係接續於離子植入程序之後。於後者之程序中,基板可首先被蝕刻以形成凹陷於源極和汲極區之位置上。外延沈積程序可接著被執行而利用一種用來製造源極和汲極區之材料以填充該些凹陷。於一些實施方式中,源極和汲極區可使用例如矽鍺或碳化矽等矽合金來製造。於一些實施方式中,外延地沈積的矽合金可被原處摻雜以例如硼、砷、或磷等摻雜物。於進一步實施例中,源極和汲極區可使用一或更多替代的半導體材料(例如鍺)或III-V族材料或合金而被形成。且於進一步實施例中,一或更多層金屬和/或金屬合金可 被用以形成源極和汲極區。
一或更多層間介電質(ILD)被沈積於MOS電晶體之上。ILD層可使用已知其在積體電路結構中之可應用性的介電質材料而被形成,例如低k介電質材料。可被使用之介電質材料的範例包括(但不限定於)氧化矽(SiO2)、碳摻雜的氧化物(CDO)、氮化矽、有機聚合物(例如全氟環丁烷或聚四氟乙烯)、氟矽酸鹽玻璃(FSG)、及有機矽酸鹽(例如半矽氧烷、矽氧烷、或有機矽酸鹽玻璃)。ILD層可包括孔洞或空氣間隙以進一步減少其介電質常數。
圖12示出一種包括本發明一或多個實施例之插入物1200。插入物1200是一種用於將第一基板1202橋接至第二基板1204之插入的基板。例如,第一基板1202可以為例如積體電路晶粒。例如,第二基板1204可以為例如記憶體模組、電腦主機板或其它積體電路晶粒。一般而言,插入物1200的目的是要擴散連接到更寬的節距或改變路線至不同連接。例如,插入物1200可耦接積體電路晶粒至隨後將耦接至第二基板1204之球格陣列(ball grid array,BGA)1206。在一些實施例中,第一和第二基板1202/1204附著在插入物1200之相對側。在其它實施例中,第一和第二基板1202/1204附著在插入物1200之相同側。且在進一步實施例中,三個或多個基板由插入物1200方式被相互連接。
插入物1200可以由環氧樹脂、玻璃纖維增強 環氧樹脂、陶瓷材料或例如聚酰亞胺之聚合物材料形成。在進一步實施中,插入物可以由交替的剛性或撓性的材料形成,撓性的材料可以包括上述使用在半導體基板之相同材料,例如矽、鍺及其它III-V族和IV族的材料。
插入物可包括金屬互連1208和通孔1210,通孔包括但不限制於通過矽通孔(through-silicon vias,TSVs)1212。插入物1200可更包括嵌入式裝置1214,其包括被動及主動裝置兩者。此種裝置包括,但不限制於,電容、解耦合電容、電阻、電桿、保險絲、二極體、變壓器、感測器及靜電放電(electrostatic discharge,ESD)裝置。如射頻(radio-frequency,RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器及MEMS裝置之更複雜得裝置也可以形成在插入物1200上。
在依據本發明之實施例中,本文所揭露之裝置和製程可被使用於插入物1200的製造。
圖13示出依據本發明之實施例之運算裝置1300。運算裝置1300可包括數個組件。在一實施例中,這些組件附著到一或多個主機板上。在一替代實施例中,這些組件被製造在單一系統晶片(system-on-a-chip,SoC)晶粒上而不是在主機板上。運算裝置1300之組件包括,但不限制於,積體電路晶粒1302及至少一通訊晶片1308。在一些實施中,通訊晶片1308被製造作為積體電路晶粒1302的一部分。積體電路晶粒1302可包括CPU 1304以及通常作為快取記憶體(cache memory)之晶粒上 記憶體1306,其可以由例如嵌入式DRAM(embedded DRAM,eDRAM)或自旋轉移力矩記憶體(spin-transfer torque memory,STTM或STTM-RAM)技術提供。
運算裝置1300可以包括透過或沒透過實體和電性耦接至電路板或製造在SoC晶粒(die)中的其它組件。這些其它組件包括,但不限制於,揮發性記憶體1310(例如:DRAM)、非揮發性記憶體1312(即,ROM或快閃記憶體)、繪圖處理器1314(graphics processor,GPU)、數位訊號處理器1316(digital signal processor)、密碼處理器1342(crypto processor)(一種在硬體中執行加密演算法之專用處理器)、晶片組1320(chipset)、天線1322(antenna)、顯示器或觸控螢幕4024(display)、觸控螢幕控制器1326(touchscreen controller)、電池1329(battery)或其它功率源、功率放大器(power amplifier)(未圖示)、全球定位系統(global positioning system,GPS)裝置1328、羅盤(campass)1330、動態共處理器或感測器1332(其可以包括加速度計(accelerometer)、迴轉儀(gyroscope)和羅盤)、揚聲器(speaker)1334、相機(camera)1336、使用者輸入裝置1338(例如鍵盤、滑鼠、觸控筆(stylus))和觸控板)以及大容量儲存裝置(mass storage device)1340(例如:硬碟機(hard disk drive)、光碟(compact disk,CD)、數位影音光碟(digital versatile disk,DVD)等等。
通訊晶片1308實現用於傳送資料到運算裝置1300和從運算裝置1300傳送資料之無線通訊。用語"無線"及其衍生可用於描述電路、裝置、系統、方法、技術、通訊通道等等,其可以通訊資料透過使用調製電磁波於非固體介質。該用語不是暗示相關裝置不包含有線,儘管一些實施方式可能沒有包含有線。通訊晶片1308可以實現任何數目的無線標準或協議,包括但不限制於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(long term evolution,LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽(Bluetooth)、它們的衍生物、以及被指定為3G、4G、5G和超越任何其它無線協議。運算裝置1300可包括複數個通訊晶片1308。例如,第一通訊晶片1308可專用於較短距離無線通訊例如Wi-Fi和藍芽以及第二通訊晶片4008可專用於較長範圍的無線通訊如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO、和其它。
運算裝置1300的處理器1304包括一或多個依據本發明實施例之實施,使用CEBL製造的結構裝置。用語"處理器"可以指任何用來處理來自暫存器和/或記憶體電子資料以轉換該電子資料成可儲存於暫存器和/或記憶體的其它電子資料的裝置或裝置的一部分。
通訊晶片1308也可依據本發明實施例之實施,包括一或多個使用CEBL製造的結構。
在進一步實施例中,容納在運算裝置1300之其它組件可依據本發明實施例之實施,含有一或多個使用CEBL製造的結構。
在各種實施例中,運算裝置1300可以為膝上型電腦(laptop computer)、簡易筆記型電腦(netbook computer)、筆記型電腦(notebook computer)、極薄筆記型電腦(ultrabook computer)、智慧型手機(smartphone)、平板電腦(tablet)、個人數位助理(personal digital assistant,PDA)、超級行動個人電腦(ultra mobile PC)、行動電話(mobile phone)、桌上型電腦(desktop computer)、伺服器(server)、印表機(printer)、掃描器(scanner)、監視器(monitor)、機上盒(set-top box)、娛樂控制單元(entertainment control unit)、數位相機(digital camera)、隨身音樂播放器(portable music player)或數位錄影機(digital video recorder)。在進一步實施中,運算裝置1300可為處理資料之任何其他電子裝置。
以上說明本發明實施例的實現之描述,包括摘要中所描述的,並不意在窮舉或限制本發明為所揭露的精確形式。而本文中描述本發明具體的實施方式和示例,用於說明性目的,同時相關領域中的那些技術人員將理解各種等同修改可能在本發明的範圍之內。
這些對於本發明之修飾可以依照以上的詳細描述來完成。在隨後的申請專利範圍中使用的用語不應當 被解釋為限制本發明於說明書和申請專利範圍中所揭露的具體實施方式。相反地,本發明的範圍將完全由以下的申請專利範圍來決定,其應根據申請專利範圍解釋的既定原則來解釋。
在一實施例中,一種使用電子束工具圖案化阻劑層的方法包括提供具有阻劑層在下方吸收或導電層上的晶圓。該方法也包括執行電子束直寫微影,以入射電子圖案化該阻劑層。該吸收或導電層吸收、反射或傳導遠離該入射電子之實質部分以減少反向散射。
在一實施例中,該下方吸收或導電層為選自由鉻(Cr)層、矽化鉬(MoSi)層、氮化鈦(TiN)層、氮化鉭(TaN)層、矽(Si)層、例如石墨烯層的碳旋轉塗佈層、碳CVD沉積層、玻璃旋轉塗佈層、鎢(W)層、銅(Cu)層、鈷(Co)層、氮化矽(SiN)層、碳化矽(SiC)層及二氧化矽(SiO2)層所組成的群組的材料層。
在一實施例中,該下方吸收或導電層為選自由釕(Ru)層、鈦(Ti)層、鎳(Ni)層、鋁(Al)層、鉿(Hf)層、鉭(Ta)層、鋯(Zr)層或它們的合金層所組成的群組的材料層。
在一實施例中,該下方吸收或導電層為單一材料層。
在一實施例中,該下方吸收或導電層為多材料層之堆疊。
在一實施例中,該下方吸收或導電層具有大約1-200奈米範圍內的厚度。
在一實施例中,該晶圓更被提供具有設置在該阻劑層之上的上層電荷散逸層。
在一實施例中,該方法更包括接著執行該電子束直寫微影後,顯影該阻劑層以及蝕刻該吸收或導電層和在該吸收或導電層之下的層。
在一實施例中,執行該電子束直寫微影包含使用大約在10千電子福特至200千電子福特範圍內的電子束,與大約在幾微庫侖/平方公分至幾百微庫侖/平方公分範圍內的電流。
在一實施例中,一種使用電子束工具圖案化阻劑層的方法包括提供具有阻劑層在下方吸收或導電層上的晶圓。該方法也包括執行電子束直寫微影,以入射電子圖案化該阻劑層。該吸收或導電層吸收、反射或傳導該入射電子之實質部分以調整反向散射。
在一實施例中,該下方吸收或導電層為選自由鉻(Cr)層、矽化鉬(MoSi)層、氮化鈦(TiN)層、氮化鉭(TaN)層、矽(Si)層、例如石墨烯層的碳旋轉塗佈層、碳CVD沉積層、玻璃旋轉塗佈層、鎢(W)層、銅(Cu)層、鈷(Co)層、氮化矽(SiN)層、碳化矽(SiC)層及二氧化矽(SiO2)層所組成的群組的材料層。
在一實施例中,該下方吸收或導電層為選自 由釕(Ru)層、鈦(Ti)層、鎳(Ni)層、鋁(Al)層、鉿(Hf)層、鉭(Ta)層、鋯(Zr)層或它們的合金層所組成的群組的材料層。
在一實施例中,該下方吸收或導電層為單一材料層。
在一實施例中,該下方吸收或導電層為多材料層之堆疊。
在一實施例中,該下方吸收或導電層具有大約1-200奈米範圍內的厚度。
在一實施例中,該晶圓更被提供具有設置在該阻劑層之上的上層電荷散逸層。
在一實施例中,該方法更包含接著執行該電子束直寫微影後,顯影該阻劑層以及蝕刻該吸收或導電層和在該吸收或導電層之下的層。
在一實施例中,執行該電子束直寫微影包含使用大約在10千電子福特至200千電子福特範圍內的電子束,與大約在幾微庫侖/平方公分至幾百微庫侖/平方公分範圍內的電流。
在一實施例中,一種用於使用電子束工具圖案化之材料堆疊包括具有用於圖案化之層的晶圓。設置在用於圖案化之該層之上的下方吸收或導電層。設置在該下方吸收或導電層之上的阻劑層。
在一實施例中,該下方吸收或導電層為選自由鉻(Cr)層、矽化鉬(MoSi)層、氮化鈦(TiN)層、 氮化鉭(TaN)層、矽(Si)層、例如石墨烯層的碳旋轉塗佈層、碳CVD沉積層、玻璃旋轉塗佈層、鎢(W)層、銅(Cu)層、鈷(Co)層、氮化矽(SiN)層、碳化矽(SiC)層及二氧化矽(SiO2)層所組成的群組的材料層。
在一實施例中,該下方吸收或導電層為選自由釕(Ru)層、鈦(Ti)層、鎳(Ni)層、鋁(Al)層、鉿(Hf)層、鉭(Ta)層、鋯(Zr)層或它們的合金層所組成的群組的材料層。
在一實施例中,該下方吸收或導電層為單一材料層。
在一實施例中,該下方吸收或導電層為多材料層之堆疊。
在一實施例中,該下方吸收或導電層具有大約1-200奈米範圍內的厚度。
在一實施例中,材料堆疊更包括設置在該阻劑層之上的上層電荷散逸層。
602‧‧‧阻劑層
603‧‧‧下方吸收或導電層
604‧‧‧下方層
606‧‧‧電子
608‧‧‧部分
610‧‧‧孔徑
612、617‧‧‧箭號
614‧‧‧曝光的區域
699‧‧‧上層電荷散逸層

Claims (25)

  1. 一種使用電子束工具圖案化阻劑層的方法,該方法包含:提供具有阻劑層在下方吸收或導電層上的晶圓;以及執行電子束直寫微影,以入射電子圖案化該阻劑層,其中該吸收或導電層吸收、反射或傳導遠離該入射電子之實質部分以減少反向散射。
  2. 如申請專利範圍第1項所述之方法,其中該下方吸收或導電層為選自由鉻(Cr)層、矽化鉬(MoSi)層、氮化鈦(TiN)層、氮化鉭(TaN)層、矽(Si)層、例如石墨烯層的碳旋轉塗佈層、碳CVD沉積層、玻璃旋轉塗佈層、鎢(W)層、銅(Cu)層、鈷(Co)層、氮化矽(SiN)層、碳化矽(SiC)層及二氧化矽(SiO2)層所組成的群組的材料層。
  3. 如申請專利範圍第1項所述之方法,其中該下方吸收或導電層為選自由釕(Ru)層、鈦(Ti)層、鎳(Ni)層、鋁(Al)層、鉿(Hf)層、鉭(Ta)層、鋯(Zr)層或它們的合金層所組成的群組的材料層。
  4. 如申請專利範圍第1項所述之方法,其中該下方吸收或導電層為單一材料層。
  5. 如申請專利範圍第1項所述之方法,其中該下方吸收或導電層為多材料層之堆疊。
  6. 如申請專利範圍第1項所述之方法,其中該下方吸收或導電層具有大約1-200奈米範圍內的厚度。
  7. 如申請專利範圍第1項所述之方法,其中該晶圓更被提供具有設置在該阻劑層之上的上層電荷散逸層。
  8. 如申請專利範圍第1項所述之方法,更包含:接著執行該電子束直寫微影後,顯影該阻劑層以及蝕刻該吸收或導電層和在該吸收或導電層之下的層。
  9. 如申請專利範圍第1項所述之方法,其中執行該電子束直寫微影包含使用大約在10千電子福特至200千電子福特範圍內的電子束,與大約在幾微庫侖/平方公分至幾百微庫侖/平方公分範圍內的電流。
  10. 一種使用電子束工具圖案化阻劑層的方法,該方法包含:提供具有阻劑層在下方吸收或導電層上的晶圓;以及執行電子束直寫微影,以入射電子圖案化該阻劑層,其中該吸收或導電層吸收、反射或傳導該入射電子之實質部分以調整反向散射。
  11. 如申請專利範圍第10項所述之方法,其中該下方吸收或導電層為選自由鉻(Cr)層、矽化鉬(MoSi)層、氮化鈦(TiN)層、氮化鉭(TaN)層、矽(Si)層、例如石墨烯層的碳旋轉塗佈層、碳CVD沉積層、玻璃旋轉塗佈層、鎢(W)層、銅(Cu)層、鈷(Co)層、氮化矽(SiN)層、碳化矽(SiC)層及二氧化矽(SiO2)層所組成的群組的材料層。
  12. 如申請專利範圍第10項所述之方法,其中該下方吸收或導電層為選自由釕(Ru)層、鈦(Ti)層、鎳 (Ni)層、鋁(Al)層、鉿(Hf)層、鉭(Ta)層、鋯(Zr)層或它們的合金層所組成的群組的材料層。
  13. 如申請專利範圍第10項所述之方法,其中該下方吸收或導電層為單一材料層。
  14. 如申請專利範圍第10項所述之方法,其中該下方吸收或導電層為多材料層之堆疊。
  15. 如申請專利範圍第10項所述之方法,其中該下方吸收或導電層具有大約1-200奈米範圍內的厚度。
  16. 如申請專利範圍第10項所述之方法,其中該晶圓更被提供具有設置在該阻劑層之上的上層電荷散逸層。
  17. 如申請專利範圍第10項所述之方法,更包含:接著執行該電子束直寫微影後,顯影該阻劑層以及蝕刻該吸收或導電層和在該吸收或導電層之下的層。
  18. 如申請專利範圍第10項所述之方法,其中執行該電子束直寫微影包含使用大約在10千電子福特至200千電子福特範圍內的電子束,與大約在幾微庫侖/平方公分至幾百微庫侖/平方公分範圍內的電流。
  19. 一種用於使用電子束工具圖案化之材料堆疊,該材料堆疊包含:具有用於圖案化之層的晶圓;設置在用於圖案化之該層之上的下方吸收或導電層;以及設置在該下方吸收或導電層之上的阻劑層。
  20. 如申請專利範圍第19項所述之材料堆疊,其中 該下方吸收或導電層為選自由鉻(Cr)層、矽化鉬(MoSi)層、氮化鈦(TiN)層、氮化鉭(TaN)層、矽(Si)層、例如石墨烯層的碳旋轉塗佈層、碳CVD沉積層、玻璃旋轉塗佈層、鎢(W)層、銅(Cu)層、鈷(Co)層、氮化矽(SiN)層、碳化矽(SiC)層及二氧化矽(SiO2)層所組成的群組的材料層。
  21. 如申請專利範圍第19項所述之材料堆疊,其中該下方吸收或導電層為選自由釕(Ru)層、鈦(Ti)層、鎳(Ni)層、鋁(Al)層、鉿(Hf)層、鉭(Ta)層、鋯(Zr)層或它們的合金層所組成的群組的材料層。
  22. 如申請專利範圍第19項所述之材料堆疊,其中該下方吸收或導電層為單一材料層。
  23. 如申請專利範圍第19項所述之材料堆疊,其中該下方吸收或導電層為多材料層之堆疊。
  24. 如申請專利範圍第19項所述之材料堆疊,其中該下方吸收或導電層具有大約1-200奈米範圍內的厚度。
  25. 如申請專利範圍第19項所述之材料堆疊,更包含:設置在該阻劑層之上的上層電荷散逸層。
TW104141301A 2015-01-14 2015-12-09 用於電子束直寫(ebdw)微影之下方吸收或導電層 TW201633371A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562103459P 2015-01-14 2015-01-14
PCT/US2015/036502 WO2016114815A1 (en) 2015-01-14 2015-06-18 Underlying absorbing or conducting layer for ebeam direct write (ebdw) lithography

Publications (1)

Publication Number Publication Date
TW201633371A true TW201633371A (zh) 2016-09-16

Family

ID=56406198

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104141301A TW201633371A (zh) 2015-01-14 2015-12-09 用於電子束直寫(ebdw)微影之下方吸收或導電層

Country Status (6)

Country Link
US (1) US10338474B2 (zh)
EP (1) EP3245666A4 (zh)
KR (1) KR102385705B1 (zh)
CN (1) CN107004576A (zh)
TW (1) TW201633371A (zh)
WO (1) WO2016114815A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI776630B (zh) * 2017-06-21 2022-09-01 美商高通公司 中段製程之佈局技術

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6854215B2 (ja) * 2017-08-02 2021-04-07 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
KR102374206B1 (ko) * 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
CN110119072B (zh) * 2018-02-06 2021-05-14 志圣科技(广州)有限公司 曝光组件及曝光装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5852641A (ja) * 1981-09-25 1983-03-28 Toshiba Corp 描画用マスクブランク
US4810617A (en) * 1985-11-25 1989-03-07 General Electric Company Treatment of planarizing layer in multilayer electron beam resist
JPS62257726A (ja) * 1986-04-30 1987-11-10 Fujitsu Ltd ホトマスクの製造方法
US6017658A (en) 1992-05-13 2000-01-25 The United States Of America As Represented By The Secretary Of The Navy Lithographic mask and method for fabrication thereof
IL108590A0 (en) * 1993-02-08 1994-05-30 Yeda Res & Dev Method for fabricating sub-0.1um t-gates for fieldeffect transistors
JPH07169675A (ja) * 1993-12-16 1995-07-04 Natl Res Inst For Metals 電子線リソグラフィー用基板材料
US6261938B1 (en) * 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
US6635393B2 (en) * 2001-03-23 2003-10-21 Numerical Technologies, Inc. Blank for alternating PSM photomask with charge dissipation layer
JP4167904B2 (ja) 2003-01-06 2008-10-22 株式会社日立ハイテクノロジーズ 電子ビーム描画装置及び電子ビーム描画方法
JP4675697B2 (ja) 2005-07-06 2011-04-27 株式会社東芝 マスクパターン検査方法、露光条件検証方法、および半導体装置の製造方法
CN101452203A (zh) * 2007-12-05 2009-06-10 中国科学院微电子研究所 基于双层胶工艺制作x射线曝光掩膜的方法
US7842437B2 (en) 2007-12-31 2010-11-30 Hitachi Global Storage Technologies, Netherlands, B.V. High-resolution, patterned-media master mask
CN101677231B (zh) * 2008-09-17 2012-05-23 中国科学院微电子研究所 一种采用x射线曝光制作声表面波器件的方法
JP5428513B2 (ja) * 2009-05-14 2014-02-26 大日本印刷株式会社 ナノインプリントモールド用基材の処理方法およびそれを用いたナノインプリントモールドの製造方法
US8101530B2 (en) 2009-09-25 2012-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography patterning method
US8470515B2 (en) 2011-09-15 2013-06-25 Nanya Technology Corp. Method of forming an etch mask
JP5737242B2 (ja) * 2012-08-10 2015-06-17 信越化学工業株式会社 単量体、高分子化合物、レジスト組成物及びパターン形成方法
US9081312B2 (en) 2013-05-14 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns with a single exposure by E-beam lithography

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI776630B (zh) * 2017-06-21 2022-09-01 美商高通公司 中段製程之佈局技術

Also Published As

Publication number Publication date
EP3245666A4 (en) 2018-08-22
US10338474B2 (en) 2019-07-02
KR20170105488A (ko) 2017-09-19
CN107004576A (zh) 2017-08-01
EP3245666A1 (en) 2017-11-22
KR102385705B1 (ko) 2022-04-12
US20170338105A1 (en) 2017-11-23
WO2016114815A1 (en) 2016-07-21

Similar Documents

Publication Publication Date Title
KR102459585B1 (ko) E 빔 범용 커터를 이용한 교차 스캔 근접 보정
KR102377771B1 (ko) E 빔 스태거형 빔 애퍼처 어레이
CN106463352B (zh) 借助于电子束的层上单向金属
KR102457089B1 (ko) E 빔 3 빔 애퍼처 어레이
JP6526718B2 (ja) 電子ビームの非ユニバーサルカッタ
JP6677368B2 (ja) 電子ビームのユニバーサルカッタ
TW201633371A (zh) 用於電子束直寫(ebdw)微影之下方吸收或導電層
CN106463347B (zh) 即时电子束对准
KR102562134B1 (ko) 전자 빔 노광 시스템을 위한 미세 정렬 시스템
KR102386548B1 (ko) 전자 빔(e 빔) 직접 기입 시스템을 위한 코너 라운딩 보정
KR102389005B1 (ko) E 빔 스루풋을 위한 데이터 압축
WO2019066827A1 (en) CHARACTERISTICS AND APPROACHES TO GRID ASSISTANCE FOR ELECTRON BEAM DIRECT WRITE LITHOGRAPHY (EBDW)