TW201631407A - 判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法 - Google Patents

判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法 Download PDF

Info

Publication number
TW201631407A
TW201631407A TW105110610A TW105110610A TW201631407A TW 201631407 A TW201631407 A TW 201631407A TW 105110610 A TW105110610 A TW 105110610A TW 105110610 A TW105110610 A TW 105110610A TW 201631407 A TW201631407 A TW 201631407A
Authority
TW
Taiwan
Prior art keywords
dose
substrate
target
focus
grating
Prior art date
Application number
TW105110610A
Other languages
English (en)
Other versions
TWI597583B (zh
Inventor
彼得 席門特 保羅 凡諾本
艾德瑞納 法蘭西卡斯 佩勒斯 安捷倫
保羅 克利絲丁安 希尼
貝司登 珍 亨瑞柯 丹
雨果 奧格斯提納斯 約瑟夫 克瑞馬
愛力克 喬思 安東 布羅爾
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201631407A publication Critical patent/TW201631407A/zh
Application granted granted Critical
Publication of TWI597583B publication Critical patent/TWI597583B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4738Diffuse reflection, e.g. also for testing fluids, fibrous materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

本發明揭示一種判定用於一微影程序中之一微影裝置在一基板上之曝光劑量的方法。使用該微影程序以在該基板上產生一第一結構,該第一結構具有一劑量敏感特徵,該劑量敏感特徵具有取決於該微影裝置在該基板上之曝光劑量之一形式。使用該微影程序以在該基板上產生一第二結構,該第二結構具有一劑量敏感特徵,該劑量敏感特徵具有取決於該微影裝置之該曝光劑量之一形式,但該第二結構對該曝光劑量之一敏感度不同於該第一結構對該曝光劑量之敏感度。在運用輻射來照明該第一結構及該第二結構時偵測散射輻射以獲得第一散射計信號及第二散射計信號。使用該第一散射計信號及該第二散射計信號以判定用以產生該第一結構及該第二結構中至少一者之一曝光劑量值。

Description

判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法
本發明係關於用於判定可(例如)在藉由微影技術進行元件製造時用於光瞳平面偵測或暗場散射量測之微影裝置之曝光劑量及聚焦的方法及裝置,且係關於使用微影技術來製造元件之方法。
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於(例如)積體電路(IC)製造中。在彼情況下,圖案化元件(其或者被稱作光罩或比例光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包括晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。已知微影裝置包括:所謂步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化元件轉印至基板。
在微影程序中,經常需要(例如)出於程序控制及驗證起見而進行所建立結構之量測。用於進行此等量測之各種工具為吾人所知,包括常常用以量測臨界尺寸(CD)之掃描電子顯微鏡,及用以量測微影裝置之疊對(元件中之兩個層之對準準確度)及散焦之特殊化工具。近來,已開發各種形式之散射計以供微影領域中使用。此等元件將輻射光束引導至目標上且量測散射輻射之一或多個屬性--例如,作為波長之函數的在單一反射角下之強度;作為反射角之函數的在一或多個波長下之強度;或作為反射角之函數的偏振--以獲得可供判定目標之所關注屬性的「光譜(spectrum)」。可藉由各種技術而執行所關注屬性之判定:例如,藉由諸如嚴密耦合波分析或有限元素方法、庫搜尋及主成份分析之反覆途徑進行目標結構之重新建構。
由習知散射計使用之目標為相對大(例如,40微米乘40微米)光柵,且量測光束產生小於該光柵之光點(亦即,該光柵填充不足)。此情形簡化目標之數學重新建構,此係因為目標可被視為無限。然而,為了將目標之大小縮減至(例如)10微米乘10微米或更小(例如,因此,目標可定位於產品特徵之中,而非定位於切割道中),已提議使光柵小於量測光點(亦即,光柵填充過度)的度量衡。通常,使用暗場散射量測來量測此等目標,在暗場散射量測中,阻擋零階繞射(對應於鏡面反射),且僅處理高階。
使用繞射階之暗場偵測的以繞射為基礎之疊對實現對較小目標之疊對量測。此等目標可小於照明光點,且可由晶圓上之產品結構環繞。可在一個影像中量測多個目標。
在已知度量衡技術中,藉由在某些條件下量測目標達兩次,同時旋轉目標或改變照明模式或成像模式以分離地獲得-1繞射階強度及+1繞射階強度,而獲得疊對量測結果。針對給定光柵而比較此等強度會提供該光柵中之不對稱性之量測。
一對堆疊式光柵中之不對稱性可用作疊對誤差之指示符。相似地,聚焦敏感光柵中之不對稱性可用作散焦之指示符。
然而,導致散射計光瞳之不對稱性改變之任何效應將歸因於掃描器散焦。一種此類效應為曝光劑量之效應。曝光劑量變化難以量測,尤其是對於小晶粒內目標。
起因於微影裝置、光罩及處理之組合之有效曝光劑量通常係經由臨界產品結構之線寬(臨界尺寸,CD)而量測。用於此等量測之檢驗裝置包括諸如CD-SEM(掃描電子顯微鏡)及散射計之度量衡工具。
然而,CD-SEM相對慢且具有通常為0.25奈米至1奈米之3標準差之雜訊位準。此外,儘管散射計為極敏感度量衡工具,但敏感度將為廣泛範圍之特徵參數。需要細緻的散射計設置配方建立及最佳化以使CD變化與構成目標之底層材料堆疊之變化分離。此外,用於CD量測之散射量測通常需要大目標(例如,40微米×40微米)。
需要更直接地量測曝光劑量且改良聚焦量測之準確度。此外,需要使此情形可應用於可運用以暗場影像為基礎之技術而讀出之小目標結構。
根據一第一實例,提供一種判定用於一微影程序中之一微影裝置在一基板上之曝光劑量的方法,該方法包含如下步驟:(a)收納包含使用該微影程序而產生之第一結構及第二結構之一基板;(b)在運用輻射來照明該第一結構時偵測散射輻射以獲得一第一散射計信號;(c)在運用輻射來照明該第二結構時偵測散射輻射以獲得一第二散射計信號;及(d)基於如下情形而使用該第一散射計信號及該第二散射計信號以判定用以產生該第一結構之一曝光劑量值:該第一結構具有至少一特徵,該至少一特徵具有取決於該微影裝置在該基板上之曝光劑量之一形式;且該第二結構具有至少一特徵,該至少一特徵具有取 決於該微影裝置在該基板上之該曝光劑量之一形式,但該第二結構對該微影裝置在該基板上之該曝光劑量之一敏感度不同於該第一結構對該曝光劑量之敏感度。
根據另一實例,提供一種判定用於一微影程序中之一微影裝置在一基板上之曝光劑量的方法,該方法包含如下步驟:收納包含使用該微影程序而產生之一第三結構之一基板;在運用輻射來照明該第三結構時偵測散射輻射以獲得一第三散射計信號;及基於該第三結構具有至少一特徵,以針對該微影裝置在該基板上之聚焦,而使用該第三散射計信號來校正使用該第一實例之該方法而獲得之該曝光劑量值,該至少一特徵具有一剖面,該剖面具有取決於該微影裝置在該基板上之聚焦之一形式。
根據一另外實例,提供一種判定用於一微影程序中之一微影裝置在一基板上之聚焦的方法,該方法包含如下步驟:收納包含所產生之一第三結構之一基板;在運用輻射來照明該第三結構時偵測散射輻射以獲得一第三散射計信號;及基於該第三結構具有至少一特徵而使用藉由使用該第一實例之該方法而獲得之該曝光劑量值及該第三散射計信號以判定用以產生該第三結構之一聚焦值,該至少一特徵具有一剖面,該剖面具有取決於該微影裝置在該基板上之聚焦之一形式。
根據又一實例,提供一種用於判定用於一微影程序中之一微影裝置在一基板上之曝光劑量的檢驗裝置,該檢驗裝置包含:一照明系統,其經組態以運用輻射來照明該基板上使用該微影程序而產生之第一結構及第二結構;一偵測系統,其經組態以偵測起因於該第一結構之照明之散射輻射以獲得一第一散射計信號,且經組態以偵測起因於該第二結構之照明之散射輻射以獲得一第二散射計信號;及一處理器,其經組態以基於如下情形而使用該第一散射計信號及該第二散射計信號以判定用以產生該第一結構之一曝光劑量值:該第一結構具有 至少一特徵,該至少一特徵具有取決於該微影裝置在該基板上之曝光劑量之一形式;且該第二結構具有至少一特徵,該至少一特徵具有取決於該微影裝置在該基板上之該曝光劑量之一形式,但該第二結構對該微影裝置在該基板上之該曝光劑量之一敏感度不同於該第一結構對該曝光劑量之敏感度。
根據另一實例,提供一種用於判定用於一微影程序中之一微影裝置在一基板上之曝光劑量的圖案化元件,該圖案化元件包含一目標圖案,該目標圖案包含:一第一子圖案,其經組態以使用該微影程序來產生一第一結構,該第一結構具有至少一特徵,該至少一特徵具有取決於該微影裝置在該基板上之曝光劑量之一形式;及一第二子圖案,其經組態以使用該微影程序來產生一第二結構,該第二結構具有至少一特徵,該至少一特徵具有取決於該微影裝置在該基板上之該曝光劑量之一形式,但該第二結構對該微影裝置在該基板上之該曝光劑量之一敏感度不同於該第一結構對該曝光劑量之敏感度。
根據又一實例,提供一種基板,該基板用於判定用於一微影程序中之一微影裝置在該基板上之曝光劑量,該基板包含一目標,該目標包含:一第一結構,其具有至少一特徵,該至少一特徵具有一剖面,該剖面具有取決於該微影裝置在該基板上之聚焦及該曝光劑量之一不對稱性;及一第二結構,其具有至少一特徵,該至少一特徵具有一剖面,該剖面具有取決於該微影裝置在該基板上之該聚焦及該曝光劑量之一形式,但與該第一結構相比,該第二結構對該微影裝置在該基板上之該聚焦較不敏感,且與該第一結構相比,該第二結構對該微影裝置之該曝光劑量較敏感。
根據另一實例,提供一種製造元件之方法,其中使用一微影程序將一元件圖案施加至一系列基板,該方法包括:在使用根據該第一實例之一方法的情況下使用該等基板中至少一者來判定該微影裝置之 曝光劑量;及根據判定曝光劑量之該方法之結果而針對稍後基板來控制該微影程序。
下文參看隨附圖式來詳細地描述本發明之另外特徵及優點,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文所描述之特定實施例。本文僅出於說明性目的而呈現此等實施例。基於本文所含有之教示,額外實施例對於熟習相關技術者將顯而易見。
0‧‧‧零階射線/繞射射線
+1‧‧‧一階射線/繞射射線
+1(N)‧‧‧+1繞射射線
-1‧‧‧一階射線/繞射射線
-1(S)‧‧‧-1繞射射線
11‧‧‧源
12‧‧‧透鏡
13‧‧‧孔徑板
13E‧‧‧孔徑板
13N‧‧‧孔徑板
13NW‧‧‧孔徑板
13S‧‧‧孔徑板
13SE‧‧‧孔徑板
13W‧‧‧孔徑板
14‧‧‧透鏡
15‧‧‧光束分裂器
16‧‧‧接物鏡/透鏡
17‧‧‧第二光束分裂器
18‧‧‧光學系統
19‧‧‧第一感測器/光瞳平面影像感測器
20‧‧‧光學系統
21‧‧‧孔徑光闌/場光闌/光瞳光闌
22‧‧‧光學系統
23‧‧‧影像感測器
31‧‧‧量測光點/經照明光點
32‧‧‧目標光柵
33‧‧‧目標光柵
34‧‧‧目標光柵
35‧‧‧目標光柵
41‧‧‧圓形區域
42‧‧‧影像
43‧‧‧影像
44‧‧‧影像
45‧‧‧影像
702‧‧‧圖案
1002‧‧‧圖案
1004‧‧‧圖案
1202‧‧‧圖案
1402‧‧‧組合式聚焦敏感及差異劑量敏感目標
1404‧‧‧組合式聚焦敏感及差異劑量敏感目標
1502‧‧‧組合式聚焦敏感及差異劑量敏感目標
1602‧‧‧步驟
1604‧‧‧步驟
1606‧‧‧步驟
1608‧‧‧步驟
1610‧‧‧步驟
1612‧‧‧步驟
1614‧‧‧步驟
1702‧‧‧步驟
1710‧‧‧步驟
1712‧‧‧步驟
1804‧‧‧步驟
1806‧‧‧步驟
1906‧‧‧步驟
1912‧‧‧步驟
2202‧‧‧步驟
2203‧‧‧步驟
2207‧‧‧步驟
2212‧‧‧步驟
2304‧‧‧步驟
2306‧‧‧步驟
2307‧‧‧步驟
2407‧‧‧步驟
AD‧‧‧調整器
AS‧‧‧對準感測器
B‧‧‧輻射光束
BD‧‧‧光束遞送系統
BK‧‧‧烘烤板
C‧‧‧目標部分
CH‧‧‧冷卻板
CO‧‧‧聚光器
DE‧‧‧顯影器
DS1‧‧‧差異劑量敏感光柵/疊對/聚焦類型光柵
DS2‧‧‧差異劑量敏感光柵
DS3‧‧‧差異劑量敏感目標/劑量敏感對稱光柵
DS4‧‧‧差異劑量敏感目標/劑量敏感對稱光柵
FSH‧‧‧聚焦敏感光柵/聚焦敏感不對稱目標
FSV‧‧‧光柵/聚焦敏感不對稱目標
I‧‧‧照明射線/入射射線
IF‧‧‧位置感測器
IL‧‧‧照明系統/照明器
IN‧‧‧積光器
I/O1‧‧‧輸入/輸出埠
I/O2‧‧‧輸入/輸出埠
LA‧‧‧微影裝置
LACU‧‧‧微影控制單元
LB‧‧‧裝載匣
LC‧‧‧微影製造單元
LS‧‧‧位階感測器
M1‧‧‧光罩對準標記
M2‧‧‧光罩對準標記
MA‧‧‧圖案化元件
MT‧‧‧圖案化元件支撐件/支撐結構/光罩台
O‧‧‧光軸
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
P1‧‧‧位置
P2‧‧‧位置
P3‧‧‧位置
P4‧‧‧位置
PM‧‧‧第一定位器
PS‧‧‧投影系統
PU‧‧‧影像處理器及控制器
PW‧‧‧第二定位器
RO‧‧‧基板處置器/機器人
ROI‧‧‧所關注區
SC‧‧‧旋塗器
SCS‧‧‧監督控制系統
SO‧‧‧輻射源
T‧‧‧度量衡目標光柵
TCU‧‧‧塗佈顯影系統控制單元
W‧‧‧基板
WTa‧‧‧基板台
WTb‧‧‧基板台
併入本文中且形成本說明書之部分之隨附圖式說明本發明,且連同【實施方式】進一步用以解釋本發明之原理且使熟習相關技術者能夠進行及使用本發明。
圖1描繪根據本發明之一實施例之微影裝置。
圖2描繪根據本發明之一實施例之微影製造單元(lithographic cell)或叢集(cluster)。
圖3a至圖3d展示(a)用於根據本發明之實施例而使用第一對照明孔徑來量測目標之暗場散射計的示意圖、(b)用於給定照明方向之目標光柵之繞射光譜的細節、(c)在針對以繞射為基礎之疊對量測來使用該散射計時提供另外照明模式之第二對照明孔徑,及(d)組合第一對孔徑及第二對孔徑之第三對照明孔徑。
圖4描繪基板上的已知形式之多重光柵目標及量測光點之輪廓。
圖5描繪圖3之散射計中獲得的圖4之目標之影像。
圖6為展示使用圖3之散射計且可經調適以形成本發明之一實施例的散焦量測方法之步驟的流程圖。
圖7說明聚焦敏感不對稱光柵圖案。
圖8為說明用於圖7之光柵圖案之曝光的側壁角差對聚焦設定之相依性的曲線圖。
圖9為用於相似於圖7之光柵圖案的光柵圖案之曝光的微影裝置 之不對稱性(運用散射計予以量測)相對於散焦的曲線圖。
圖10a及圖10b說明具有不同劑量敏感度之劑量敏感對稱光柵圖案。
圖11為說明用於圖10a之光柵圖案之曝光的微影裝置之臨界尺寸對聚焦及劑量設定之相依性的曲線圖。
圖12說明劑量敏感不對稱光柵圖案。
圖13為說明用於圖12之光柵圖案之曝光的微影裝置之側壁角差對聚焦及劑量設定之相依性的曲線圖。
圖14a、圖14b及圖15示意性地說明適合於暗場影像偵測散射量測之組合式聚焦敏感及差異劑量敏感目標。
圖16為根據本發明之一實施例而在運用暗場散射量測之情況下使用不對稱差異劑量敏感光柵來判定劑量及聚焦之方法的流程圖。
圖17為根據本發明之另一實施例而在運用暗場散射量測之情況下使用對稱差異劑量敏感光柵來判定劑量及聚焦之方法的流程圖。
圖18為根據本發明之實施例而在運用光瞳平面偵測散射量測之情況下使用不對稱差異劑量敏感光柵來判定劑量及聚焦之方法的流程圖。
圖19為根據本發明之另一實施例而在運用光瞳平面偵測散射量測之情況下使用對稱差異劑量敏感光柵來判定劑量及聚焦之方法的流程圖。
圖20a及圖20b為針對分別具有80奈米及100奈米之間距之一對差異劑量敏感光柵的用於三個不同劑量之聚焦相對於CD的曲線圖(Bossung標繪圖)。
圖21為自用於圖20a及圖20b之資料導出的用於三個不同劑量之聚焦相對於有效CD差的曲線圖。
圖22為根據本發明之一實施例而使用對稱差異劑量敏感光柵來 判定劑量之方法的流程圖。
圖23為根據本發明之另一實施例而在運用暗場及影像平面偵測散射量測之情況下使用對稱差異劑量敏感光柵來判定劑量及聚焦之方法的流程圖。
圖24為根據本發明之另一實施例而在運用光瞳平面偵測散射量測之情況下使用對稱差異劑量敏感光柵來判定劑量及聚焦之方法的流程圖。
本發明之特徵及優點將自下文在結合圖式時闡述之【實施方式】變得更顯而易見,在該等圖式中,類似元件符號始終識別對應器件。在該等圖式中,類似元件符號通常指示相同、功能上相似及/或結構上相似之器件。一器件第一次出現時之圖式係在對應器件符號中由最左側數位指示。
本說明書揭示併入本發明之特徵之一或多項實施例。所揭示實施例僅僅例示本發明。本發明之範疇不限於所揭示實施例。本發明係由附加於此處之申請專利範圍界定。
所描述實施例及在本說明書中對「一實施例」、「一實例實施例」等等之參考指示所描述實施例可包括一特定特徵、結構或特性,但每一實施例可未必包括該特定特徵、結構或特性。此外,此等片語未必係指同一實施例。另外,當結合一實施例來描述一特定特徵、結構或特性時,應理解,無論是否予以明確地描述,結合其他實施例來實現此特徵、結構或特性皆係在熟習此項技術者之認識範圍內。
本發明之實施例可以硬體、韌體、軟體或其任何組合予以實施。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,該等指令可由一或多個處理器讀取及執行。機器可讀媒體可包括用於儲存或傳輸呈可由機器(例如,計算元件)讀取之形式之資訊的任何機 構。舉例而言,機器可讀媒體可包括:唯讀記憶體(ROM);隨機存取記憶體(RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體元件;電學、光學、聲學或其他形式之傳播信號(例如,載波、紅外線信號、數位信號,等等);及其他者。另外,韌體、軟體、常式、指令可在本文被描述為執行某些動作。然而,應瞭解,此等描述僅僅係出於方便起見,且此等動作事實上係由計算元件、處理器、控制器或執行韌體、軟體、常式、指令等等之其他元件引起。
然而,在更詳細地描述此等實施例之前,有指導性的是呈現可供實施本發明之實施例的實例環境。
圖1示意性地描繪微影裝置LA。該裝置包括:照明系統(照明器)IL,其經組態以調節輻射光束B(例如,UV輻射或DUV輻射);圖案化元件支撐件或支撐結構(例如,光罩台)MT,其經建構以支撐圖案化元件(例如,光罩)MA,且連接至經組態以根據某些參數而準確地定位該圖案化元件之第一定位器PM;基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以根據某些參數而準確地定位該基板之第二定位器PW;及投影系統(例如,折射投影透鏡系統)PS,其經組態以將由圖案化元件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包括一或多個晶粒)上。
照明系統可包括用於引導、塑形或控制輻射的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
圖案化元件支撐件以取決於圖案化元件之定向、微影裝置之設計及其他條件(諸如,圖案化元件是否被固持於真空環境中)的方式來固持圖案化元件。圖案化元件支撐件可使用機械、真空、靜電或其他夾持技術以固持圖案化元件。圖案化元件支撐件可為(例如)框架或 台,其可根據需要而固定或可移動。圖案化元件支撐件可確保圖案化元件(例如)相對於投影系統處於所要位置。可認為本文對術語「比例光罩」或「光罩」之任何使用皆與更一般之術語「圖案化元件」同義。
本文所使用之術語「圖案化元件」應被廣泛地解譯為係指可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中建立圖案的任何元件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中建立之元件(諸如,積體電路)中之特定功能層。
圖案化元件可為透射的或反射的。圖案化元件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合式光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
本文所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。
如此處所描繪,裝置屬於透射類型(例如,使用透射光罩)。或者,裝置可屬於反射類型(例如,使用如上文所提及之類型之可程式化鏡面陣列,或使用反射光罩)。
微影裝置可屬於具有兩個(雙載物台)或兩個以上基板台(及/或兩個或兩個以上光罩台)之類型。在此等「多載物台」機器中,可並行 地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。
微影裝置亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如,水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影裝置中之其他空間,例如,在光罩與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增加投影系統之數值孔徑。如本文所使用之術語「浸潤」不意謂諸如基板之結構必須浸沒於液體中,而僅意謂液體在曝光期間位於投影系統與基板之間。
參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而言,當輻射源為準分子雷射時,輻射源及微影裝置可為分離實體。在此等狀況下,不認為輻射源形成微影裝置之部件,且輻射光束係憑藉包括(例如)合適引導鏡面及/或光束擴展器之光束遞送系統BD而自輻射源SO傳遞至照明器IL。在其他狀況下,舉例而言,當輻射源為水銀燈時,輻射源可為微影裝置之整體部件。輻射源SO及照明器IL連同光束遞送系統BD(在需要時)可被稱作輻射系統。
照明器IL可包括用於調整輻射光束之角度強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包括各種其他組件,諸如,積光器IN及聚光器CO。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於圖案化元件支撐件(例如,光罩台MT)上之圖案化元件(例如,光罩)MA上,且係藉由該圖案化元件而圖案化。在已橫穿圖案化元件(例如,光罩)MA的情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF(例如,干涉量測元件、線性 編碼器、2-D編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑來準確地定位圖案化元件(例如,光罩)MA。一般而言,可憑藉形成第一定位器PM之部件之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現圖案化元件支撐件(例如,光罩台)MT之移動。相似地,可使用形成第二定位器PW之部件之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之狀況下,圖案化元件支撐件(例如,光罩台)MT可僅連接至短衝程致動器,或可固定。
可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化元件(例如,光罩)MA及基板W。儘管所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在一個以上晶粒提供於圖案化元件(例如,光罩)MA上之情形中,光罩對準標記可位於該等晶粒之間。在元件特徵之中,小對準標記亦可包括於晶粒內,在此狀況下,需要使該等標記儘可能地小且無需任何不同於鄰近特徵之成像或程序條件。下文進一步描述偵測對準標記之對準系統。
所描繪裝置可用於以下模式中至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使圖案化元件支撐件(例如,光罩台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中成像之目標部分C之大小。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描圖案化元件支撐件(例如,光罩台)MT及基板台 WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性而判定基板台WT相對於圖案化元件支撐件(例如,光罩台)MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使圖案化元件支撐件(例如,光罩台)MT保持基本上靜止,從而固持可程式化圖案化元件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化元件。此操作模式可易於應用於利用可程式化圖案化元件(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。
微影裝置LA屬於所謂雙載物台類型,其具有兩個基板台WTa、WTb及兩個站--曝光站及量測站--在該兩個站之間可交換該等基板台。在曝光站處曝光一個基板台上之一個基板的同時,可在量測站處將另一基板裝載至另一基板台上且可進行各種預備步驟。該等預備步驟可包括使用位階感測器LS來映射基板之表面控制,及使用對準感測器AS來量測基板上之對準標記之位置。此情形實現裝置之產出率之實質增加。若位置感測器IF在基板台處於量測站以及處於曝光站時不能夠量測基板台之位置,則可提供第二位置感測器以使能夠在兩個站處追蹤基板台之位置。
如圖2所展示,微影裝置LA形成微影製造單元LC(有時亦被稱作叢集)之部件,微影製造單元LC亦包括用以對基板執行曝光前程序及曝光後程序之裝置。通常,此等裝置包括用以沈積抗蝕劑層之旋塗器 SC、用以顯影經曝光抗蝕劑之顯影器DE、冷卻板CH,及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板、在不同程序裝置之間移動基板,且接著將基板遞送至微影裝置之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等元件係在塗佈顯影系統控制單元TCU之控制下,塗佈顯影系統控制單元TCU自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。
可在國際專利申請案WO 2009/078708及WO 2009/106279中找到暗場度量衡之實例,此等文件之全文係據此以引用方式併入。已在專利公開案US20110027704A、US20110043791A及US20120123581A中描述該技術之進一步開發。所有此等申請案之內容亦係以引用方式併入本文中。美國專利公開案第US20110249247A號揭示使用來自聚焦敏感不對稱目標設計之測定散射計信號以量測微影裝置之散焦。彼申請案之內容係以引用方式併入本文中。在此方法中,使用如可在散射計光瞳中以-1繞射階強度與+1繞射階強度之間的差之形式得到的不對稱資訊以自測定散射計信號推斷掃描器散焦。
圖3(a)中展示適合供本發明之實施例中使用之暗場度量衡裝置。圖3(b)中更詳細地說明目標光柵T及繞射射線。暗場度量衡裝置可為單機元件,或併入於微影裝置LA中(例如,在量測站處)抑或併入於微影製造單元LC中。貫穿該裝置具有若干分支之光軸係由點線O表示。在此裝置中,由源11(例如,氙氣燈)發射之光係由包含透鏡12、14及接物鏡16之光學系統經由光束分裂器15而引導至基板W上。此等透鏡係以4F配置之雙重序列而配置。可使用不同透鏡配置,其限制條件為:該不同透鏡配置仍將基板影像提供至偵測器上,且同時地允許接取用於空間頻率濾光之中間光瞳平面。因此,可藉由在呈現基板平面 之空間光譜的平面(此處被稱作(共軛)光瞳平面)中界定空間強度分佈而選擇輻射入射於基板上之角程。詳言之,可藉由在為接物鏡光瞳平面之背部投影式影像的平面中將合適形式之孔徑板13插入於透鏡12與透鏡14之間而進行此選擇。在所說明實例中,孔徑板13具有被標註為13N及13S之不同形式,從而允許選擇不同照明模式。本實例中之照明系統形成離軸照明模式。在第一照明模式中,孔徑板13N提供自僅出於描述起見而被指明為「北」之方向的離軸。在第二照明模式中,孔徑板13S用以提供相似照明,但提供自被標註為「南」之相對方向的照明。藉由使用不同孔徑,其他照明模式係可能的。光瞳平面之其餘部分理想地暗,此係因為在所要照明模式外部之任何不必要光將干涉所要量測信號。
如圖3(b)所展示,目標光柵T經置放為使基板W垂直於接物鏡16之光軸O。與軸線O成一角度而照射於光柵T上之照明射線I引起一個零階射線(實線0)及兩個一階射線(點鏈線+1及雙點鏈線-1)。應記住,在運用填充過度之小目標光柵的情況下,此等射線僅僅為覆蓋包括度量衡目標光柵T及其他特徵之基板區域的許多平行射線中之一者。因為板13中之孔徑具有有限寬度(為接納有用量之光所必要),所以入射射線I事實上將佔據一角度範圍,且繞射射線0及+1/-1將稍微散開。根據小目標之點散佈函數(point spread function),每一階+1及-1將遍及一角度範圍而進一步散佈,而非如所展示之單一理想射線。應注意,光柵間距及照明角可經設計或調整成使得進入接物鏡之一階射線與中心光軸緊密地對準。圖3(a)及圖3(b)所說明之射線經展示為稍微離軸,以純粹地使其能夠在圖解中被更容易地區分。
由基板W上之目標繞射之至少0階及+1階係由接物鏡16收集,且被返回引導通過光束分裂器15。返回至圖3(a),藉由指明被標註為北(N)及南(S)之完全相對孔徑而說明第一照明模式及第二照明模式兩 者。當入射射線I係來自光軸之北側時,亦即,當使用孔徑板13N來施加第一照明模式時,被標註為+1(N)之+1繞射射線進入接物鏡16。與此對比,當使用孔徑板13S來施加第二照明模式時,-1繞射射線(被標註為-1(S))為進入透鏡16之繞射射線。
第二光束分裂器17將繞射光束劃分成兩個量測分支。在第一量測分支中,光學系統18使用零階繞射光束及一階繞射光束在第一感測器19(例如,CCD或CMOS感測器)上形成目標之繞射光譜(光瞳平面影像)。每一繞射階射中感測器上之一不同點,使得影像處理可比較及對比若干階。由感測器19俘獲之光瞳平面影像可用於聚焦度量衡裝置及/或正規化一階光束之強度量測。根據本發明之實施例,用於填充不足目標之光瞳平面影像可用作劑量及聚焦度量衡之輸入。
在第二量測分支中,光學系統20、22在感測器23(例如,CCD或CMOS感測器)上形成基板W上之目標之影像。在第二量測分支中,在與光瞳平面共軛之平面中提供孔徑光闌21。孔徑光闌21用以阻擋零階繞射光束,使得形成於感測器23上的目標之影像係僅由-1或+1一階光束形成。將由感測器19及23俘獲之影像輸出至影像處理器及控制器PU,影像處理器及控制器PU之功能將取決於正被執行之量測之特定類型。應注意,此處在廣泛意義上使用術語「影像」。因而,若存在-1階及+1階中僅一者,則將不形成光柵線之影像。
圖3所展示之孔徑板13及場光闌21之特定形式純粹地為實例。在本發明之另一實施例中,使用目標之同軸照明,且使用具有離軸孔徑之孔徑光闌以將實質上僅一個一階繞射光傳遞至感測器。在又其他實施例中,代替一階光束或除了一階光束以外,二階光束、三階光束及高階光束(圖3中未繪示)亦可用於量測中。
為了使照明可適應於此等不同類型之量測,孔徑板13可包含圍繞一盤碟而形成之數個孔徑圖案,該盤碟旋轉以使所要圖案處於適當 位置。或者或另外,可提供及調換一組板13,以達成相同效應。亦可使用諸如可變形鏡面陣列或透射空間視線調變器之可程式化照明元件。可使用移動鏡面或稜鏡作為用以調整照明模式之另一方式。
如剛才關於孔徑板13所解釋,或者,藉由變更光瞳光闌21,或藉由取代具有不同圖案之光瞳光闌,或藉由運用可程式化空間光調變器來替換固定場光闌,可達成用於成像之繞射階之選擇。在彼狀況下,量測光學系統之照明側可保持恆定,而成像側具有第一模式及第二模式。因此,在本發明中,實際上存在三種類型之量測方法,每一類型具有其自有優點及缺點。在一方法中,改變照明模式以量測不同階。在另一方法中,改變成像模式。在第三方法中,照明模式及成像模式保持不變,但使目標旋轉達180度。在每一狀況下,所要效應相同,即,用以選擇在目標之繞射光譜中彼此對稱地相對的非零階繞射輻射之第一部分及第二部分。原則上,可藉由同時地改變照明模式與改變成像模式之組合而獲得諸階之所要選擇,但彼情形很可能由於無優點而帶來缺點,因此,將不對其進行進一步論述。
雖然在本實例中用於成像之光學系統具有受到場光闌21限定之寬入口光瞳,但在其他實施例或應用中,成像系統自身之入口光瞳大小可足夠小以限定至所要階,且因此亦用作場光闌。圖3(c)及圖3(d)中展示不同孔徑板,該等孔徑板可如下文進一步所描述予以使用。
通常,目標光柵將與其向南北或東西延行之光柵線對準。亦即,光柵將在基板W之X方向或Y方向上對準。應注意,孔徑板13N或13S可僅用以量測在一個方向(取決於設置而為X或Y)上定向之光柵。為了量測正交光柵,可能實施達90°及270°之目標旋轉。然而,更方便地,在使用圖3(c)所展示之孔徑板13E或13W的情況下,在照明光學件中提供來自東或西之照明。可分離地形成及互換孔徑板13N至13W,或孔徑板13N至13W可為可旋轉90度、180度或270度之單一孔 徑板。如已經提及,可在場光闌21中而非在照明孔徑板13中提供圖3(c)所說明之離軸孔徑。在彼狀況下,照明將同軸。
圖3(d)展示可用以組合第一對及第二對之照明模式的第三對孔徑板。孔徑板13NW具有處於北及西之孔徑,而孔徑板13SE具有處於南及東之孔徑。倘若此等不同繞射信號之間的串擾不太大,則可執行X光柵及Y光柵兩者之量測,而不改變照明模式。
圖4描繪根據已知實務而形成於基板上之複合目標。該複合目標包含四個光柵32至35,該等光柵緊密地定位在一起,使得其將皆在藉由度量衡裝置之照明光束而形成之量測光點31內。四個目標因此皆被同時地照明且同時地成像於感測器19及23上。在專用於散焦量測之實例中,光柵32至35自身為藉由在形成於基板W上之半導體元件層中圖案化之不對稱光柵而形成的聚焦敏感光柵。光柵32至35可在其定向方面不同(如所展示),以便使入射輻射在X方向及Y方向上繞射。在一實例中,光柵32及34為X方向光柵。光柵33及35為Y方向光柵。可在由感測器23俘獲之影像中識別此等光柵之分離影像。
圖5展示在使用來自圖3(d)之孔徑板13NW或13SE的情況下在圖3之裝置中使用圖4之目標而可形成於感測器23上且由感測器23偵測的影像之實例。雖然光瞳平面影像感測器19不能解析不同個別光柵32至35,但影像感測器23可解析不同個別光柵32至35。暗矩形表示感測器上之影像之場,在此場內,基板上之經照明光點31成像至對應圓形區域41中。在此圓形區域內,矩形區域42至45表示小目標光柵32至35之影像。若該等光柵位於產品區域中,則在此影像場之周邊中亦可看見產品特徵。影像處理器及控制器PU使用圖案辨識來處理此等影像以識別光柵32至35之分離影像42至45。以此方式,該等影像不必在感測器框架內之特定部位處極精確地對準,此情形整體上極大地改良量測裝置之產出率。然而,若成像程序經受橫越影像場之非均一性,則仍 需要準確對準。在本發明之一實施例中,識別四個位置P1至P4,且使光柵與此等已知位置儘可能地對準。
一旦已識別光柵之分離影像,就可(例如)藉由對經識別區域內之選定像素強度值進行平均化或求和而量測彼等個別影像之強度。可將影像之強度及/或其他屬性彼此進行比較。可組合此等結果以量測微影程序之不同參數,諸如,聚焦。
圖6說明在使用(例如)全文以引用方式併入本文中之申請案US20110027704A中描述之方法的情況下如何經由一或多個聚焦敏感光柵之不對稱性(如藉由比較該等光柵在+1階暗場影像及-1階暗場影像中之強度所揭露)而量測散焦。在步驟S1處,經由圖2之微影製造單元而處理基板(例如,半導體晶圓)達一次或多次,以建立包括光柵之結構。在S2處,在使用圖3之度量衡裝置的情況下,使用一階繞射光束中僅一者(比如,-1)來獲得光柵之影像。接著,無論藉由改變照明模式或改變成像模式抑或藉由在度量衡裝置之視場中使基板W旋轉180°,皆可獲得使用另一個一階繞射光束(+1)的光柵之第二影像(步驟S3)。
應注意,藉由在每一影像中包括一階繞射輻射之僅一半,此處所提及之「影像」不為習知暗場顯微術影像。將不解析個別光柵線。每一光柵將僅僅由具有某一強度位準之區域表示。在步驟S4中,在每一組件光柵之影像內細緻地識別所關注區(ROI),將自該ROI量測強度位準。之所以進行此識別係因為:特別是在個別光柵影像之邊緣周圍,強度值通常可高度地取決於諸如抗蝕劑厚度、組合物、線形狀以及邊緣效應之程序變數。
在已識別用於每一個別光柵之ROI且已量測其強度的情況下,接著可判定光柵結構之不對稱性且因此判定散焦。此判定係由影像處理器及控制器PU在步驟S5中比較針對每一光柵32至35之+1階及-1階所 獲得之強度值以識別其強度之任何差且(S6)判定目標T附近之散焦而進行。
雖然上文所描述之目標結構為出於量測之目的而特定地設計及形成之度量衡目標,但在其他實施例中,可在為形成於基板上之元件之功能部件的目標上量測屬性。許多元件具有規則的類光柵結構。如本文所使用之術語「目標光柵」及「目標結構」並不要求已特定地針對正被執行之量測來提供該結構。
與如在基板及圖案化元件上實現的目標之實體光柵結構相關聯地,一實施例可包括含有機器可讀指令之一或多個序列的電腦程式,該等機器可讀指令描述在基板上產生目標、在基板上量測目標及/或分析量測以獲得關於微影程序之資訊的方法。此電腦程式可執行於(例如)圖3之裝置中之單元PU及/或圖2之控制單元LACU內。亦可提供經儲存有此電腦程式之資料儲存媒體(例如,半導體記憶體,磁碟或光碟)。在屬於(例如)圖3所展示之類型之現有度量衡裝置已經在生產中及/或在使用中的情況下,可藉由供應經更新電腦程式產品來實施本發明,該等經更新電腦程式產品用於使處理器執行本文所描述之方法且因此在對曝光劑量之敏感度縮減的情況下演算曝光劑量且亦演算散焦。該程式可視情況經配置以控制光學系統、基板支撐件及其類似者以執行該等步驟以量測複數個合適目標結構。
圖7說明聚焦敏感不對稱光柵圖案。在圖7中,展示光柵之僅三個週期之有限區段。在完整光柵中,圖案702在垂直方向及水平方向上重複。圖案702可為(例如)光罩上之鉻圖案。參數w1/w2/w3可連同諸如間距之其他參數一起用以描述光柵之態樣。當圖7之圖案702用於微影裝置中以在晶圓上產生聚焦敏感光柵結構時,較小水平投影可被或可未被解析,但給出一剖面,其中每一經印刷線之右側與左側之間的差(亦即,不對稱性)取決於聚焦。側壁角差為此不對稱性之一實 例。右側的對聚焦之此側壁角相依性不同於針對不具有投影之左側的對聚焦之側壁角相依性。因此,圖案702在每一線之左側與右側之間導致不對稱性差(諸如,經印刷側壁角差)△SWA,其取決於微影裝置在光柵圖案之曝光期間之聚焦。
圖8為說明使用圖7之光柵圖案之曝光的微影裝置之側壁角差△SWA(以度為單位)對聚焦設定F(以奈米為單位)之相依性的曲線圖。被標註為100%之黑色曲線表示100%曝光劑量。展示SWA聚焦敏感度FS之粗略度量,且其指示隨著聚焦變化之△SWA範圍。對於95%劑量及105%劑量中每一者,展示另外兩個曲線。該等不同劑量係自100%劑量曲線分別向下及向上移位。展示此劑量敏感度DS,且其指示隨著劑量變化之△SWA範圍。儘管聚焦敏感度及劑量敏感度具有不同單位且因此必須予以慎重地比較,但對於圖7之光柵圖案,遍及選定聚焦範圍(-75奈米至+75奈米)之聚焦敏感度FS似乎比遍及選定劑量範圍(95%至105%)之劑量敏感度DS大得多。然而,劑量敏感度仍足以造成關於使用不對稱性之聚焦量測之準確度的問題。圖9中說明此問題。
圖9為使用相似於圖7之光柵圖案的光柵圖案之曝光的微影裝置之不對稱性AS(運用散射計予以量測)相對於散焦DF(以奈米為單位)的曲線圖。展示五個劑量,其分別被標註有劑量20mJ/cm2至24mJ/cm2。因此,圖9展示依據聚焦及劑量之測定不對稱性曲線。使用來自不對稱聚焦目標之不對稱資訊會遭受劑量串擾效應,其係運用黑色箭頭予以指示。在此實例中,若劑量按1mJ/cm2(~5%)而自21mJ/cm2改變至22mJ/cm2(如由箭頭所展示),則串擾(聚焦誤差)為~20奈米(如由虛線所展示)。本文所描述之實施例使用差異劑量敏感散射計光柵之資訊以判定曝光劑量,且使劑量串擾項之影響自使用不對稱聚焦敏感光柵(諸如,圖7所展示之不對稱聚焦敏感光柵)而量測之實際微影裝置散焦值解耦。
本文所描述之實例可提供用以借助於使用暗場成像方法而量測之目標(例如,晶粒內目標)在一晶圓上及在若干晶圓之間量測有效掃描器劑量變化的方法。
本文所描述之實例可包括藉由俘獲暗場影像平面中之強度而基於劑量敏感目標之反射強度來量測劑量。此情形將間距範圍限於用於一階或高階場偵測之可允許範圍。
本文所描述之實例可使用具有不同劑量敏感度(對比度或曝光寬容度差)之兩個目標之組合以避免程序之改變敏感度。可同時地偵測該兩個目標(作為(例如)疊對或不對稱性量測中之兩個偏置值),且可藉由影像處理(所關注區選擇)而使該兩個目標分離。藉由同時偵測,可假定到影響強度之所有誤差源以相等方式應用於兩個目標。圖10a及圖10b一起說明差異劑量敏感對稱光柵圖案之實例。
在圖10a及圖10b中,與針對圖7一樣,展示每一光柵之僅三個週期之有限區段。在完整光柵中,圖10a及圖10b之圖案1002及1004分別在垂直方向及水平方向上重複。圖案1002及1004可為(例如)光罩上之鉻圖案。參數w連同間距一起界定光柵圖案。
當圖10a或圖10b之圖案用於微影裝置中以在晶圓上產生劑量敏感光柵結構時,該結構具有一剖面,其中在每一經印刷線之左側及右側處之側壁角同樣地取決於聚焦。舉例而言,圖10a之圖案1002導致取決於聚焦之線寬或臨界尺寸CD。然而,與針對圖7之圖案702的情形相比,CD更取決於劑量。此情形係由圖11說明。圖10b之圖案1004具有不同於圖11所展示之劑量敏感度的劑量敏感度。
圖11為說明針對不同劑量使用圖10a之光柵圖案之曝光的微影裝置之臨界尺寸CD對聚焦設定F(以奈米為單位)之相依性的曲線圖。被標註為100%之黑色曲線表示100%曝光劑量。展示CD聚焦敏感度FS之粗略度量,且其指示隨著聚焦變化之CD範圍。對於95%劑量及105% 劑量中每一者,展示另外兩個曲線。該等不同劑量係自100%劑量曲線分別向上及向下移位。展示此劑量敏感度DS,且其指示隨著劑量變化之CD範圍。與由圖7之圖案702引起之經印刷光柵相比,由圖10a之圖案1002引起之經印刷光柵具有對微影裝置之聚焦較不敏感但對微影裝置之曝光劑量較敏感的形式。藉由改變線寬w及間距p,可改變劑量敏感度。因此,圖10a之光柵1002具有不同於圖10b之光柵1004之劑量敏感度的劑量敏感度。
圖12說明劑量敏感不對稱光柵圖案。在圖12中,展示光柵之僅三個週期之有限區段。在完整光柵中,圖12之光柵之圖案1202在垂直方向及水平方向上重複。圖案1202可為(例如)光罩上之鉻圖案。當圖12之圖案用於微影裝置中以在晶圓上產生劑量敏感光柵結構時,較小水平投影未被解析,但給出一剖面,其中在每一經印刷線之右側處之側壁角取決於聚焦。右側的對聚焦之此側壁角相依性不同於針對不具有投影之左側的對聚焦之側壁角相依性。圖案1202在每一線之左側與右側之間導致經印刷光柵側壁角差△SWA,其取決於微影裝置在光柵圖案之曝光期間之聚焦,但與針對由圖7之圖案702印刷之光柵相比,對聚焦較不敏感。在比圖7之圖案702更劑量敏感且具有較接近圖10之圖案1002之劑量敏感度的情況下,圖案1202導致具有一形式之經印刷光柵,其與由圖案702引起之光柵相比,對微影裝置之曝光劑量較敏感。此情形係由圖13說明。
圖12所展示之參數w1、w2及w3界定不對稱目標之形狀之態樣。藉由改變參數w1、w2及w3,可獲得不同劑量敏感度。因此,可調整使用散射計而量測之參數(在此實例中為側壁角)之劑量敏感度。對於圖7所說明之目標,參數w1/w2/w3經選擇以達成比圖12所說明之目標之劑量敏感度小得多的劑量敏感度。
圖13為說明針對不同劑量使用圖12之光柵圖案之曝光的微影裝 置之側壁角差△SWA(以度為單位)對聚焦設定F(以奈米為單位)之相依性的曲線圖。被標註為100%之黑色曲線表示100%曝光劑量。展示SWA聚焦敏感度FS之粗略度量,且其指示隨著聚焦變化之△SWA範圍。對於95%劑量及105%劑量中每一者,展示另外兩個曲線。該等不同劑量係自100%劑量曲線分別向下及向上移位。展示此劑量敏感度DS,且其指示隨著劑量變化之△SWA範圍。以與參看圖10及圖11所論述之方式相同的方式,與由圖7之圖案702引起之經印刷光柵相比,由圖12之圖案1202引起之經印刷光柵具有對微影裝置之曝光劑量較敏感之形式。差異劑量敏感度微弱地取決於聚焦,因此,有利的是將暗場不對稱聚焦光柵組合於同一目標設計中。此情形允許校正針對掃描器實際聚焦之差異劑量敏感度。在運用絕對劑量目標、差異劑量目標及不對稱聚焦目標之組合的情況下,可解析以下參數:在使用不對稱聚焦敏感目標的情況下之程序不敏感聚焦,如專利公開案US20110027704A所描述。程序不敏感意謂暗場量測對由構成基板及目標之層之處理引起的串擾變化不敏感。在使用本文所描述之實例以判定劑量的情況下之劑量校正聚焦,其接著用以校正使用不對稱聚焦敏感目標而獲得之聚焦量測,如專利公開案US20110027704A所描述。在使用本文所描述之實例以判定劑量的情況下之程序不敏感劑量。
可在單一聚焦曝光矩陣(Focus-Exposure Matrix,FEM)中曝光目標之組合,且可自此FEM獲得聚焦敏感度及差異劑量敏感度。
圖14a示意性地說明適合於暗場影像偵測散射量測之組合式聚焦敏感及差異劑量敏感目標1402。儘管展示每一光柵之僅三個週期,但此情形僅用以表示光柵類型。圖案未按比例且實務上將較小,且在垂直方向及水平方向上重複。被標註為FSH及FSV之光柵為聚焦敏感不對稱光柵(如參看圖7所描述),其中線分別在水平方向及垂直方向 上。因此,FSH及FSV具有至少一特徵,該至少一特徵具有一剖面,該剖面具有取決於微影裝置在基板上之聚焦之形式。被標註為DS1及DS2之光柵為差異劑量敏感光柵。因此,DS1具有至少一特徵,該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式,且DS2具有至少一特徵,該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式,但與DS1相比,其具有對微影裝置在基板上之曝光劑量之不同敏感度。
產生差異劑量敏感度係經由操控典型疊對/聚焦類型光柵DS1之對比度而達成。在此實例中,此情形係藉由應用規則光柵之次解析度分段從而引起光柵DS2而進行。
圖14b示意性地說明相對於聚焦敏感目標適合於暗場影像偵測散射量測之組合式聚焦敏感及差異劑量敏感目標1404。對於差異劑量敏感目標而非暗場,鏡面反射(零階)空間上分離且使其強度被量測。因此,圖14b之目標相對於差異劑量敏感目標DS3及DS4適合於影像平面偵測散射量測。再次,儘管展示每一光柵之僅三個週期,但此情形僅用以表示光柵類型。圖案未按比例且實務上將較小,且在垂直方向及水平方向上重複。被標註為FSH及FSV之光柵為聚焦敏感不對稱光柵(如參看圖7所描述),其中線分別在水平方向及垂直方向上。因此,FSH及FSV具有至少一特徵,該至少一特徵具有一剖面,該剖面具有取決於微影裝置在基板上之聚焦之形式。被標註為DS3及DS4之光柵為差異劑量敏感光柵,其具有不同間距,但具有相同填充因數(線寬對間距比率)。因此,DS3具有至少一特徵(其光柵之每一線),該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式,且DS4具有至少一特徵(其光柵之每一線),該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式,但與第一結構相比,其具有對微影裝置在基板上之曝光劑量之不同敏感度。
產生差異劑量敏感度係經由操控光柵DS3及DS4之間距及線寬而達成。在此實例中,此情形係藉由改變光柵DS4相對於光柵DS3之間距同時保持與DS3相同的填充因數而進行。下文參看圖20至圖23來論述特定實例。
參看圖14a及圖14b,因此達成相似於參看圖4之目標所描述之複合目標的複合目標。因此,圖14a及圖14b(及亦針對圖15)中每一者之四個目標皆可在藉由度量衡裝置之照明光束而形成之量測光點內。因此,圖14a之四個目標皆可在暗場散射量測中被同時地照明且同時地成像。圖14b之四個目標皆可在影像平面偵測散射量測中被同時地照明且同時地成像,其限制條件為:自劑量敏感目標DS3及DS4散射之分離零階輻射可與自聚焦敏感不對稱目標FSH及FSV散射之一階(+1或-1)輻射同時地被偵測。此並行量測將需要圖3(a)之裝置之修改(諸如,光束分裂器及額外偵測器),該裝置按現實情況將能夠依序地而非同時地量測聚焦敏感目標及劑量敏感目標,如下文參看圖23所描述。
圖15示意性地說明適合於暗場影像偵測散射量測之組合式聚焦敏感及差異劑量敏感目標1502。如針對圖14a及圖14b所描述,儘管展示每一光柵之僅三個週期,但此情形僅用以表示光柵類型。被標註為FSH及FSV之光柵再次為聚焦敏感不對稱光柵(如參看圖7所描述),其中線分別在水平方向及垂直方向上。被標註為DS3及DS4之光柵為差異劑量敏感光柵(如參看圖12所描述),其具有參數w1/w2/w3中之一或多者之不同值。因此,DS3具有至少一特徵,該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式,且DS4具有至少一特徵,該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式,但與第一結構相比,其具有對微影裝置在基板上之曝光劑量之不同敏感度。
圖16為根據本發明之一實施例之方法的流程圖,該方法在運用 暗場散射量測的情況下使用不對稱差異劑量光柵及不對稱聚焦敏感光柵以判定散焦。此實例中之方法使用如圖15所說明之不對稱目標。對於圖16,將僅提及水平光柵,但亦可使用垂直光柵,以便分離地量測X聚焦行為及Y聚焦行為。
在步驟1602中:使用微影裝置來處理晶圓以在晶圓上產生不同劑量敏感度光柵DS3及DS4以及聚焦敏感光柵FSH。
在步驟1604中:使用第一照明模式來量測-1階散射量測影像。
在步驟1606中:使用第二照明模式來量測+1階散射量測影像。
在步驟1608中:自每一影像辨識及提取每一光柵所關注區(ROI)。
在步驟1610中:演算每一光柵之差(-1階量測與+1階量測之間)影像以判定不對稱性。
在步驟1612中:使用不同劑量敏感度光柵DS3及DS4之不對稱性以演算劑量值,該劑量值又用以選擇用於聚焦敏感光柵FSH之校準曲線。
在步驟1614中:使用選定校準曲線及經判定聚焦敏感光柵FSH之不對稱性以判定散焦。
如上文所提及,圖16之實例中之方法使用如圖15所說明之不對稱目標。然而,應瞭解,劑量敏感目標可對稱,如在圖14a中被展示為DS1及DS2,及如在圖14b中被展示為DS3及DS4。圖17中說明此狀況,圖17為根據本發明之其他實施例之方法的流程圖,該方法在運用暗場散射量測的情況下使用對稱差異劑量光柵及不對稱聚焦敏感光柵以判定散焦。步驟1702為圖16之步驟1602之經修改版本,但其具有對稱差異劑量光柵,而非不對稱差異劑量光柵。步驟1710為圖16之步驟1610之經修改版本,使得僅針對聚焦敏感目標FSH及FSV來判定不對稱性。在步驟1712中,使用劑量敏感對稱光柵DS1及DS2之量測以判 定用於選擇用於聚焦敏感光柵之校準曲線之劑量。可自強度值之間的差獲得劑量值,該等強度值係自對應於目標DS1及DS2之所關注區而獲得。測定強度係與用於目標之曝光中之劑量有關。可(例如)藉由首先經由FEM依據劑量而判定用於DS1目標及DS2目標中每一者之強度之強度相對於劑量校準曲線來獲得關係。隨後(例如,在不同晶圓上),執行用於DS1目標結構及DS2目標結構之強度之量測,且使用強度相對於劑量校準曲線而自強度推斷劑量值。
或者,可(例如)藉由首先經由FEM依據劑量而判定DS1目標與DS2目標之間的強度差之強度差相對於劑量校準曲線來獲得關係。隨後(例如,在不同晶圓上),執行用於DS1目標結構及DS2目標結構之強度差之量測,且使用強度差相對於劑量校準曲線而自強度差推斷劑量值。
如參看圖14及圖15所描述,聚焦敏感光柵及劑量敏感光柵不必實體地隔開。其可為一個實體目標。諸如參看圖16及圖17所描述之偵測方法允許隨著檢驗裝置之一個遍次而使劑量敏感資訊與聚焦敏感資訊分離。亦可(例如)藉由兩個目標結構類型之正交置放(劑量敏感目標在水平方向上;聚焦敏感目標在垂直方向上)而將此等目標組合於晶圓上之單一部位上。此組合亦適合於下文參看圖18所論述之光瞳偵測散射量測模式。
亦可單獨地、作為對暗場影像偵測散射量測(如針對圖6、圖16及圖17所描述)之替代例抑或結合該暗場影像偵測散射量測而使用光瞳平面偵測散射量測(如由圖18所說明),以獲得根據本發明之實施例而使用之散射量測信號。
圖18為根據本發明之一實施例之方法的流程圖,該方法在運用光瞳平面偵測散射量測的情況下使用不對稱差異劑量光柵及不對稱聚焦敏感光柵以判定散焦。此實例中之方法可使用如圖7及圖12所說明 之填充不足目標。圖18中之步驟1602、1612及1614係與參看圖16所描述之步驟相同。然而,步驟1604至1610係運用光瞳平面偵測步驟予以替換。在步驟1804中:量測光瞳平面中之-1階強度及+1階強度,例如,使用圖3a中之感測器19進行量測。在步驟1806中:演算-1階強度與+1階強度之間的差以判定不對稱性,例如,使用圖3a中之處理單元PU進行演算。
圖19為根據本發明之另一實施例之方法的流程圖,該方法在運用光瞳平面偵測散射量測的情況下使用對稱差異劑量光柵及不對稱聚焦敏感光柵以判定散焦。與針對圖18一樣,此實例中之方法可使用如圖7及圖12所說明之填充不足目標。圖19中之步驟1702及1712係與參看圖17所描述之步驟相同。然而,與圖17相比,步驟1604至1712係運用參看圖18所描述之光瞳平面偵測步驟1804予以替換,步驟1906僅判定用於聚焦敏感光柵之不對稱性,且步驟1912使用劑量敏感對稱光柵量測。
可使用CD重新建構來執行步驟1912以判定用於選擇用於聚焦敏感光柵之校準曲線之劑量。對於該實例,可使用完整重新建構循環而自散射量測信號演算CD。或者,可運用諸如CD-SEM(掃描電子顯微術)之另一度量衡方法來進行劑量敏感對稱或不對稱目標之CD量測,之後自測定目標推算劑量資訊。然而,如上文所提及,CD-SEM慢且重新建構亦耗時。
可使用在最佳程序工作點處不同地印刷但在最佳程序工作點處調用相似散射量測信號之劑量敏感對稱目標對來獲得曝光劑量。藉由適當目標設計,可使散射量測信號差僅取決於有效曝光劑量。
此實例之操作係基於以下觀測:
(1)對於小間距p<200奈米,大多數散射計操作次解析度。彼隱含器具不能直接地解析目標之間距。
(2)詳言之,緻密線/空間目標之散射量測信號受到目標結構(例如,經圖案化抗蝕劑層)之有效媒介行為支配。
(3)經圖案化層表現為具有方向相依折射率nx及ny之材料。該等折射率很大程度上取決於線/空間比率且幾乎不取決於間距。
若工作點經選擇成處於等焦,則緻密線/空間目標之CD受到劑量支配,亦即,其中CD在最小程度上取決於聚焦。
作為一實例,可使用以下目標對:目標1,間距80奈米,CD 33奈米,填充因數33奈米/80奈米=0.41;及目標2,間距100奈米,CD 41奈米,填充因數41奈米/100奈米=0.41。
圖10a及圖10b(未按比例)展示適合於光瞳平面偵測散射量測之此目標對。又,在圖14b中,DS3及DS4示意性地表示適合於影像平面偵測散射量測之此目標對。對於此等目標對,觀測到,儘管存在顯著間距差,但散射量測信號實際上相同。舉例而言,在針對每一目標具有光瞳強度I的情況下, 小於1%。
對目標對中每一者之CD變化之散射量測信號敏感度極相似,但具有稍微尺度差。其同樣地適用於對底層堆疊變化之敏感度。因此,即使目標對中每一者彼此不同地印刷,散射量測信號之行為針對CD及底層堆疊變化亦相似。另一方面,劑量敏感度在目標對之間不同。
圖20a及圖20b說明目標對之劑量及聚焦敏感度。圖20a及圖20b展示針對兩個目標(圖20a:間距80奈米;圖20b:間距100奈米)的在水平軸線上具有聚焦及在垂直軸線上具有CD之Bossung標繪圖。標繪圖係針對三個不同曝光劑量(BE(最佳曝光)、BE+1%及BE-1%)予以展 示。顯而易見,對於兩個目標,劑量敏感度極不同,且聚焦敏感度相當低。
圖21展示圖20a及圖20b所展示之資料之「有效」CD差,亦即,運用散射計之敏感度差而加權之CD差。顯而易見,如使用散射計所量測之有效CD差非常取決於劑量,且幾乎不聚焦於聚焦。
目標對設計之進一步最佳化係可能的。有可能設計或經由模擬或實驗而找到其他目標,其在相同劑量下具有基本上相似散射量測信號,但具有不同CD及間距且因此具有極不同劑量敏感度。
儘管本文提及目標對,但應瞭解,可使用具有不同劑量敏感度之三個或三個以上目標以藉由僅僅組合該三個或三個以上目標之量測結果而演算劑量。
圖22為根據本發明之一實施例而使用對稱差異劑量敏感光柵來判定劑量之方法的流程圖。圖22所展示的判定用於微影程序中之微影裝置在基板上之曝光劑量的實例方法如下。
在步驟2202中:使用微影裝置來處理晶圓以產生一對對稱不同劑量敏感度光柵,例如,如圖10a及圖10b所展示,及亦(例如)在圖14b中被展示為DS3及DS4。使用微影程序以在基板上產生第一結構,該第一結構具有至少一特徵,該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式;且使用微影程序以在基板上產生第二結構,該第二結構具有至少一特徵,該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式,但與該第一結構相比,該第二結構具有對微影裝置在基板上之曝光劑量之不同敏感度。
在步驟2203中:將基板收納至檢驗裝置中。
在步驟2207中:在運用輻射來照明第一結構時偵測散射輻射以獲得第一散射計信號,且在運用輻射來照明第二結構時偵測散射輻射以獲得第二散射計信號。此步驟可包含使零階散射輻射與任何高階散 射輻射分離,且偵測零階散射輻射以獲得每一各別散射計信號。此情形確保不量測起因於目標對之不同間距的不同量之高階繞射光。照明輻射可具有一波長,該波長經選擇成使得第一結構及第二結構中每一者之間距為使用該選定波長之次解析度。此情形亦確保不量測起因於目標對之不同間距的不同量之高階繞射光。
在步驟2212中:基於如下情形而使用第一散射計信號及第二散射計信號以判定用以產生第一結構之曝光劑量值:第一結構具有至少一特徵,該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式;且第二結構具有至少一特徵,該至少一特徵具有取決於微影裝置在基板上之曝光劑量之形式,但對微影裝置在基板上之該曝光劑量之敏感度不同於第一結構對曝光劑量之敏感度。此步驟可由執行電腦程式指令之處理單元(諸如,圖3(a)中之PU)執行。此步驟可進一步基於:已經選擇第一結構及第二結構之形式,以針對相同曝光劑量來最小化第一散射計信號與第二散射計信號之間的差。此情形允許散射計信號之差受到劑量敏感度支配。第一結構之至少一特徵及第二結構之至少一特徵可包含具有不同各別間距但具有相似線寬對間距比率之光柵。此情形具有藉由使方向相依折射率針對目標對中每一者相同或相似而針對相同曝光劑量來最小化第一散射計信號與第二散射計信號之間的差之效應。
圖22所說明的量測劑量之方法可應用於量測聚焦之方法。將參看圖23及圖24來說明此情形。
圖23中說明諸如在圖14b中被說明為DS3及DS4之一對差異劑量敏感目標之使用,圖23為根據本發明之實施例之方法的流程圖,該方法在運用暗場散射量測的情況下使用對稱差異劑量敏感光柵及不對稱性聚焦敏感光柵以判定散焦。步驟係與針對圖17所描述之步驟相同,惟步驟2304及2306為步驟1604及1606之經修改版本而使得僅針對聚焦敏 感光柵來量測一階(或高階)散射量測影像除外。此外,插入步驟2307以針對不同劑量敏感對稱光柵DS3及DS4中每一者而使用第三照明模式來量測零階強度。此步驟對應於圖22中之步驟2207。此第三照明模式選擇零階,同時阻擋來自偵測器(圖3a中之23)之任何高階散射輻射。熟習此項技術者應瞭解,此情形可(例如)藉由使用合適孔徑13及/或場光闌21(參看圖3a)而達成。專利公開案US2010201963A1中描述用於使零繞射階與高繞射階分離之此等配置。彼申請案之內容係以引用方式併入本文中。
根據圖22之描述,在圖23之步驟1712中,可自零階輻射強度值之間的差獲得劑量值,該等零階輻射強度值係自對應於目標DS3及DS4之所關注區而獲得。測定強度係與用於目標之曝光中之劑量有關。可(例如)藉由首先經由FEM依據劑量而判定用於DS3及DS4目標中每一者之強度之強度相對於劑量校準曲線來獲得關係。隨後(例如,在不同晶圓上),執行用於DS3目標結構及DS4目標結構之強度之量測,且使用強度相對於劑量校準曲線而自強度推斷劑量值。
如參看圖14b所描述,聚焦敏感光柵及劑量敏感光柵不必實體地隔開。其可為一個實體目標。諸如參看圖16、圖17及圖23所描述之偵測方法允許隨著檢驗裝置之一個遍次而使劑量敏感資訊與聚焦敏感資訊分離。亦可(例如)藉由兩個目標結構類型之正交置放(劑量敏感目標在水平方向上;聚焦敏感目標在垂直方向上)而將諸如圖14b所展示之此等目標組合於晶圓上之單一部位上。此組合亦適合於下文參看圖24所論述之光瞳偵測散射量測模式。
亦可單獨地、作為對暗場影像偵測散射量測(如針對圖6、圖16、圖17及圖23所描述)之替代例抑或結合該暗場影像偵測散射量測而使用光瞳平面偵測散射量測(如由圖24所說明),以獲得根據本發明之實施例而使用之散射量測信號。
圖24為根據本發明之另一實施例之方法的流程圖,該方法在運用光瞳平面偵測散射量測的情況下使用對稱差異劑量敏感光柵及不對稱聚焦敏感光柵以判定散焦。如針對圖19所描述,此實例中之方法可使用如圖7(針對聚焦敏感目標)以及圖10a及圖10b(針對劑量敏感目標對)所說明之填充不足目標。圖24中之步驟1702、1804、1906、1912及1614係與參看圖19及先前圖式所描述之步驟相同。然而,與圖19相比,插入步驟2407以針對不同劑量敏感對稱光柵DS3及DS4中每一者來量測零階強度。此步驟對應於圖22中之步驟2207。照明輻射可具有一波長,該波長經選擇成使得第一結構及第二結構中每一者之間距為使用選定波長之次解析度。此情形確保在光瞳中未發現起因於該對之不同間距的不同高階繞射。因此,在使用參看圖22及圖24所描述之方法的情況下,可使用完整光瞳信號以判定CD或劑量差。此情形增加量測之精確度。此外,因為經偵測差僅受到一個參數(亦即,劑量)支配,所以沒有必要具有詳細光瞳平面影像。
儘管一繞射階強度信號差用於上文所描述之實例中以判定不對稱性,但不對稱性資訊存在於高繞射階中。給出聚焦或劑量與不對稱性之間的清晰關係之任何散射計信號產生及處理將合適。相似地,但關於對稱目標,當暗場之一繞射階強度信號差用於上文所描述之實例中以判定強度時,強度資訊可存在於高繞射階中。給出聚焦或劑量與強度之間的清晰關係之任何散射計信號產生及處理將合適。因此,本發明之實施例不限於使用一階散射量測信號差。
本文所描述之實例改良劑量及聚焦量測準確度且縮減對目標設計之約束(放寬對最小化用於劑量串擾之成本函數之要求)。實務上,可在晶圓上之僅幾個點處量測此等劑量敏感目標。
本文所描述之實例之可能應用係在掃描器控制迴路中產生儘可能平坦的總劑量變化,藉此補償非想要掃描器劑量變化、光罩貢獻及 程序貢獻。
本文所描述之實例允許傳統聚焦劑量度量衡至晶粒內順應式目標大小之轉變。此係因為暗場影像偵測散射量測允許自小目標散射之繞射階分離。
本文所描述之實例允許經由差異敏感度目標設計而對劑量進行「正交」偵測,亦即,劑量量測對起因於構成目標之材料堆疊之處理的變化不敏感。此係因為任何此等變化為不同劑量敏感目標兩者所共有。
本文所描述之實例允許高取樣密度,此係因為可使用小的晶粒內目標。
此外,當使用物件平面影像偵測時(如參看圖22及圖23所描述),可使目標小於散射計之光點大小,從而出於目標大小縮減而取捨量測精確度。在使用參看圖20至圖24所描述之方法的情況下,無需完整重新建構,且因此簡化散射計設置配方建立程序。
本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為或為約365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米之範圍內之波長),以及粒子束(諸如,離子束或電子束)。
術語「透鏡」在內容背景允許時可指各種類型之光學組件中任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
特定實施例之前述描述將充分地揭露本發明之一般性質,使得在不脫離本發明之一般概念的情況下,其他人可藉由應用熟習此項技術者之認識針對各種應用而易於修改及/或調適此等特定實施例,而無不當實驗。因此,基於本文所呈現之教示及指導,此等調適及修改意欲係在所揭示實施例之等效者的涵義及範圍內。應理解,本文中之 措辭或術語係出於(例如)描述而非限制之目的,使得本說明書之術語或措辭待由熟習此項技術者按照該等教示及該指導進行解譯。
應瞭解,【實施方式】章節而非【發明內容】及【中文發明摘要】章節意欲用以解譯申請專利範圍。【發明內容】及【中文發明摘要】章節可闡述如由本發明之發明人所預料的本發明之一或多個而非所有例示性實施例,且因此,不意欲以任何方式來限制本發明及附加申請專利範圍。
上文已憑藉說明特定功能及該等功能之關係之實施之功能建置區塊來描述本發明。為了便於描述,本文已任意地界定此等功能建置區塊之邊界。只要適當地執行指定功能及其關係,便可界定替代邊界。
特定實施例之前述描述將充分地揭露本發明之一般性質,使得在不脫離本發明之一般概念的情況下,其他人可藉由應用熟習此項技術者之認識針對各種應用而易於修改及/或調適此等特定實施例,而無不當實驗。因此,基於本文所呈現之教示及指導,此等調適及修改意欲係在所揭示實施例之等效者的涵義及範圍內。應理解,本文之措辭或術語係出於描述而非限制之目的,使得本說明書之術語或措辭待由熟習此項技術者按照該等教示及該指導進行解譯。
本發明之廣度及範疇不應受到上述例示性實施例中任一者限制,而應僅根據以下申請專利範圍及其等效者進行界定。
2202‧‧‧步驟
2203‧‧‧步驟
2207‧‧‧步驟
2212‧‧‧步驟

Claims (1)

  1. 一種判定用於一微影程序中之一微影裝置在一基板上之曝光劑量的方法,該方法包含如下步驟:收納包含使用該微影程序而產生之第一結構、第二結構及第三結構之一基板;在運用輻射來照明該第一結構時偵測散射輻射以獲得一第一散射計信號;在運用該輻射來照明該第二結構時偵測散射輻射以獲得一第二散射計信號;在運用該輻射來照明該第三結構時偵測散射輻射以獲得一第三散射計信號;基於如下情形而使用該第一散射計信號及該第二散射計信號以判定用以產生該第一結構之一曝光劑量值:該第一結構具有至少一特徵,該至少一特徵具有取決於該微影裝置在該基板上之曝光劑量之一形式;且該第二結構具有至少一特徵,該至少一特徵具有取決於該微影裝置在該基板上之該曝光劑量之一形式,但該第二結構對該微影裝置在該基板上之該曝光劑量之一敏感度不同於該第一結構對該曝光劑量之敏感度;及基於該第三結構具有至少一特徵,以針對該微影裝置在該基板上之聚焦,而使用該第三散射計信號來校正該曝光劑量值,該第三結構之至少一特徵具有一剖面,該剖面具有取決於該微影裝置在該基板上之聚焦之一形式。
TW105110610A 2012-11-30 2013-11-20 判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法 TWI597583B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261731947P 2012-11-30 2012-11-30
US201261746384P 2012-12-27 2012-12-27

Publications (2)

Publication Number Publication Date
TW201631407A true TW201631407A (zh) 2016-09-01
TWI597583B TWI597583B (zh) 2017-09-01

Family

ID=49639878

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105110610A TWI597583B (zh) 2012-11-30 2013-11-20 判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法
TW102142335A TWI537688B (zh) 2012-11-30 2013-11-20 判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW102142335A TWI537688B (zh) 2012-11-30 2013-11-20 判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法

Country Status (8)

Country Link
US (1) US9964853B2 (zh)
JP (1) JP6084704B2 (zh)
KR (1) KR101710014B1 (zh)
CN (1) CN104823113B (zh)
IL (1) IL238969B (zh)
NL (1) NL2011816A (zh)
TW (2) TWI597583B (zh)
WO (1) WO2014082938A1 (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US10156797B2 (en) 2014-02-17 2018-12-18 Asml Netherlands, B.V. Method of determining edge placement error, inspection apparatus, patterning device, substrate and device manufacturing method
WO2015193904A1 (en) * 2014-06-19 2015-12-23 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
WO2016000914A1 (en) * 2014-06-30 2016-01-07 Asml Netherlands B.V. Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method
JP6367021B2 (ja) * 2014-07-02 2018-08-01 東芝メモリ株式会社 露光条件解析方法
WO2016005167A1 (en) 2014-07-09 2016-01-14 Asml Netherlands B.V. Inspection apparatus, inspection method and device manufacturing method
WO2016012316A1 (en) * 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
US9841689B1 (en) 2014-08-22 2017-12-12 Kla-Tencor Corporation Approach for model calibration used for focus and dose measurement
IL310602A (en) * 2014-08-29 2024-04-01 Asml Netherlands Bv Metrological method, purpose and substrate
WO2016030227A1 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Method for controlling a distance between two objects, inspection apparatus and method
KR101991762B1 (ko) 2014-09-01 2019-06-21 에이에스엠엘 네델란즈 비.브이. 타겟 구조체의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
WO2016050453A1 (en) 2014-10-03 2016-04-07 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arragnement
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
JP6770958B2 (ja) * 2014-11-25 2020-10-21 ケーエルエー コーポレイション ランドスケープの解析および利用
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
NL2016121A (en) 2015-02-06 2016-09-29 Asml Netherlands Bv A method and apparatus for improving measurement accuracy
US10168146B2 (en) * 2015-05-29 2019-01-01 Canon Kabushiki Kaisha Measuring shape of specular objects by local projection of coded patterns
WO2016198283A1 (en) * 2015-06-12 2016-12-15 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
CN108369387B (zh) 2015-12-17 2020-11-03 Asml荷兰有限公司 使用非对称亚分辨率特征改善测量的光刻过程的光学量测术
NL2017933A (en) 2015-12-18 2017-06-26 Asml Netherlands Bv Focus monitoring arrangement and inspection apparatus including such an arrangement
NL2017844A (en) 2015-12-22 2017-06-28 Asml Netherlands Bv Focus control arrangement and method
KR102170147B1 (ko) * 2015-12-28 2020-10-27 에이에스엠엘 네델란즈 비.브이. 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
JP6742413B2 (ja) * 2015-12-31 2020-08-19 エーエスエムエル ホールディング エヌ.ブイ. 検査システムの焦点合わせ方法及び装置
KR102153482B1 (ko) 2016-04-15 2020-09-09 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 작동을 조절하는 방법
US10018919B2 (en) * 2016-05-29 2018-07-10 Kla-Tencor Corporation System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
KR102201794B1 (ko) * 2016-06-10 2021-01-13 아이엠이씨 브이제트더블유 반도체 제조 프로세스를 위한 계측 방법 및 장치
EP3309616A1 (en) 2016-10-14 2018-04-18 ASML Netherlands B.V. Method of inspecting a substrate, metrology apparatus, and lithographic system
EP3336605A1 (en) * 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3336606A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method for monitoring a characteristic of illumination from a metrology apparatus
EP3355337B8 (en) * 2017-01-27 2024-04-10 IMS Nanofabrication GmbH Advanced dose-level quantization for multibeam-writers
CN110249268B (zh) * 2017-02-02 2021-08-24 Asml荷兰有限公司 量测方法和设备以及关联的计算机产品
EP3435161A1 (en) * 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
EP3470924A1 (en) 2017-10-11 2019-04-17 ASML Netherlands B.V. Method of optimizing the position and/or size of a measurement illumination spot relative to a target on a substrate, and associated apparatus
US10739685B2 (en) * 2018-02-14 2020-08-11 Qoniac Gmbh Process control method for lithographically processed semiconductor devices
JP7227988B2 (ja) 2018-02-27 2023-02-22 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を算出するメトロロジ装置及び方法
NL2021848A (en) * 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
EP3598235A1 (en) * 2018-07-18 2020-01-22 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic relating to one or more structures on a substrate
EP3637187A1 (en) * 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
EP3650941A1 (en) * 2018-11-12 2020-05-13 ASML Netherlands B.V. Method of determining the contribution of a processing apparatus to a substrate parameter
EP3798729A1 (en) * 2019-09-26 2021-03-31 ASML Netherlands B.V. Method for inferring a processing parameter such as focus and associated appratuses and manufacturing method
EP3800507A1 (en) * 2019-10-04 2021-04-07 Imec VZW A method for designing a metrology target
US10921716B1 (en) 2019-10-08 2021-02-16 International Business Machines Corporation Lithographic dose characterization
US11378394B1 (en) * 2020-12-11 2022-07-05 Kla Corporation On-the-fly scatterometry overlay metrology target
EP4016186A1 (en) * 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69531854T2 (de) 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. Verfahren zur wiederholten abbildung eines maskenmusters auf einem substrat
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
JP3556472B2 (ja) 1998-06-18 2004-08-18 株式会社東芝 露光量測定方法と露光量測定用マスク
US6879400B2 (en) 2000-12-11 2005-04-12 International Business Machines Corporation Single tone process window metrology target and method for lithographic processing
US20030160163A1 (en) 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
JP3971255B2 (ja) * 2002-07-03 2007-09-05 株式会社東芝 露光量モニタ方法及び半導体デバイスの製造方法
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7119893B2 (en) * 2003-04-10 2006-10-10 Accent Optical Technologies, Inc. Determination of center of focus by parameter variability analysis
JP2007522432A (ja) 2003-12-19 2007-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 差動限界寸法およびオーバーレイ測定装置および測定方法
US7534552B2 (en) * 2004-12-23 2009-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4580338B2 (ja) * 2004-12-23 2010-11-10 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、エキシマ・レーザ、およびデバイス製造方法
US7439001B2 (en) * 2005-08-18 2008-10-21 International Business Machines Corporation Focus blur measurement and control method
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
DE102005046973B4 (de) 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
JP4898419B2 (ja) * 2006-01-05 2012-03-14 キヤノン株式会社 露光量のおよびフォーカス位置のオフセット量を求める方法、プログラムおよびデバイス製造方法
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
CN101827813A (zh) 2007-10-15 2010-09-08 Jsr株式会社 砜化合物、磺酸盐和放射线敏感性树脂组合物
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036856A1 (nl) 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2149786A1 (en) 2008-08-01 2010-02-03 Unilever PLC Improvements relating to detergent analysis
US8129080B2 (en) * 2008-09-19 2012-03-06 Tokyo Electron Limited Variable resist protecting groups
NL2003492A (en) 2008-09-30 2010-03-31 Asml Netherlands Bv Method and system for determining a lithographic process parameter.
JP5412528B2 (ja) * 2008-12-30 2014-02-12 エーエスエムエル ネザーランズ ビー.ブイ. 検査方法、検査システム、基板、およびマスク
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
CN102422227B (zh) * 2009-05-12 2014-09-17 Asml荷兰有限公司 用于光刻技术的检查方法
NL2004897A (en) * 2009-06-25 2010-12-27 Asml Netherlands Bv Producing a marker pattern and measurement of an exposure-related property of an exposure apparatus.
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
KR101885392B1 (ko) 2010-10-26 2018-08-03 가부시키가이샤 니콘 검사 장치, 검사 방법, 노광 방법, 및 반도체 디바이스의 제조 방법
CN103201682B (zh) * 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
NL2008197A (en) * 2011-02-11 2012-08-14 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101983615B1 (ko) * 2012-06-22 2019-05-29 에이에스엠엘 네델란즈 비.브이. 포커스를 결정하는 방법, 검사 장치, 패터닝 장치, 기판, 및 디바이스 제조 방법
KR20150087397A (ko) 2012-11-21 2015-07-29 케이엘에이-텐코 코포레이션 프로세스 호환 세그먼팅된 타겟들 및 설계 방법들
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US9518936B2 (en) * 2012-11-30 2016-12-13 Asml Netherlands B.V. Method and apparatus for determining lithographic quality of a structure
JP6251386B2 (ja) * 2013-06-12 2017-12-20 エーエスエムエル ネザーランズ ビー.ブイ. クリティカルディメンション関連特性を決定する方法、検査装置およびデバイス製造方法
CN106164733B (zh) 2014-03-31 2020-06-30 科磊股份有限公司 使用散射术计量的焦点测量
WO2016000914A1 (en) * 2014-06-30 2016-01-07 Asml Netherlands B.V. Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method
WO2016030205A1 (en) * 2014-08-28 2016-03-03 Vrije Universiteit Amsterdam Inspection apparatus, inspection method and manufacturing method
IL310602A (en) * 2014-08-29 2024-04-01 Asml Netherlands Bv Metrological method, purpose and substrate
WO2016078862A1 (en) * 2014-11-21 2016-05-26 Asml Netherlands B.V. Metrology method and apparatus
KR101994385B1 (ko) * 2014-12-19 2019-06-28 에이에스엠엘 네델란즈 비.브이. 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
US9766554B2 (en) * 2015-03-16 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for estimating focus and dose of an exposure process
CN107771271B (zh) * 2015-04-21 2020-11-06 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统
WO2016198283A1 (en) * 2015-06-12 2016-12-15 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
NL2016925A (en) * 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate

Also Published As

Publication number Publication date
TWI597583B (zh) 2017-09-01
CN104823113A (zh) 2015-08-05
JP2016503520A (ja) 2016-02-04
IL238969A0 (en) 2015-07-30
JP6084704B2 (ja) 2017-02-22
KR101710014B1 (ko) 2017-02-24
NL2011816A (en) 2014-06-04
US9964853B2 (en) 2018-05-08
US20150293458A1 (en) 2015-10-15
TWI537688B (zh) 2016-06-11
IL238969B (en) 2019-12-31
CN104823113B (zh) 2017-10-27
TW201428432A (zh) 2014-07-16
KR20150089082A (ko) 2015-08-04
WO2014082938A1 (en) 2014-06-05

Similar Documents

Publication Publication Date Title
TWI537688B (zh) 判定劑量與聚焦之方法、檢驗裝置、圖案化元件、基板及元件製造方法
TWI679398B (zh) 度量衡方法、目標及基板
KR102104843B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
TWI599853B (zh) 判定聚焦的方法、檢驗裝置、及元件製造方法
TWI512407B (zh) 度量衡方法、裝置及元件製造方法
TWI618988B (zh) 決定臨界尺寸相關特性之方法、檢測裝置及器件製造方法
TWI497233B (zh) 度量方法與裝置、基板、微影系統及元件製造方法
TWI600981B (zh) 度量衡方法及裝置、微影系統及元件製造方法
TWI554847B (zh) 檢測裝置及方法、具有度量衡目標之基板、微影系統及元件製造方法
TWI632432B (zh) 目標配置最佳化及相關聯的目標
NL2017466A (en) Metrology method, target and substrate
TWI597580B (zh) 判定劑量之方法、檢測裝置、圖案化器件、基板及器件製造方法
TW201546444A (zh) 用於設計度量目標之方法、具有度量目標之基板、用於度量疊對之方法及器件製造方法
JP2016539370A (ja) リソグラフィメトロロジのための方法、装置及び基板
TWI569108B (zh) 檢測方法、具有度量衡目標之基板、微影系統及器件製造方法
TW202032283A (zh) 測量圖案化製程之參數的方法、度量衡裝置與目標
TW201907236A (zh) 度量衡方法及裝置、基板、微影方法及相關之電腦產品
EP3671346A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target