TW201630990A - 低介電常數材料之反應性紫外線熱處理 - Google Patents

低介電常數材料之反應性紫外線熱處理 Download PDF

Info

Publication number
TW201630990A
TW201630990A TW104137093A TW104137093A TW201630990A TW 201630990 A TW201630990 A TW 201630990A TW 104137093 A TW104137093 A TW 104137093A TW 104137093 A TW104137093 A TW 104137093A TW 201630990 A TW201630990 A TW 201630990A
Authority
TW
Taiwan
Prior art keywords
substrate
radiation
film
carbon dioxide
preparing
Prior art date
Application number
TW104137093A
Other languages
English (en)
Inventor
達西 E 蘭伯特
凱西 霍德
喬治 安祖 安東內利
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201630990A publication Critical patent/TW201630990A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon

Abstract

本文之各種實施例係關於製備半導體基板上的低k介電材料的方法與設備。該介電材料可包括分布在整個結構性基質中的成孔劑。執行反應性紫外線熱處理操作,以促進將成孔劑從該介電材料中移除。透過在UV暴露期間將弱氧化劑(例如二氧化碳)流進反應腔室中,以可控制的方式提升成孔劑之移除速率。

Description

低介電常數材料之反應性紫外線熱處理
本發明係關於低介電常數材料之反應性紫外線熱處理。
許多不同類型的材料被用於製造半導體裝置。常用之一類型的材料為低介電常數(低k)材料。低k材料通常使用作為傳導性內連線之間的金屬間及/或層間介電質。低k材料減少信號傳播因電容性效應而產生的延遲。具有低介電常數的介電材料亦具有低電容值,而作為結果的,以此種材料建構而成的積體電路的RC延遲同樣較低。
在製備低k材料的一方法中,將具有若干可移除的成孔劑(散布在整個結構性基質中)的介電膜沉積在基板上。然後將該膜暴露到熱能及/或紫外線輻射來促進移除成孔劑並將基質加以交聯以固化該膜。移除成孔劑造成孔洞形成在該基質中,藉此降低該膜的介電常數。
本文中的某些實施例係關於用於執行低介電常數材料的反應性UV熱處理的方法與設備。在許多實施例中,在UV固化操作期間將二氧化碳或另一弱氧化劑提供到反應腔室中。被固化的膜為低k材料,其包括分散在整個結構性基質中的成孔劑。固化操作之一目的係將該成孔劑從該基質中移除,藉此降低該膜的介電常數。存在二氧化碳或其他弱氧化劑可幫助促進成孔劑之快速但可控制的移除。與強氧化劑(例如氧(O2 )或臭氧 (O3 ))相比,弱氧化劑實質上更可實行於與低k介電材料的反應性UV熱處理一起使用。將使用二氧化碳及其他弱氧化劑之處理,與不可行/不可控制的應用氧或其他強氧化劑之處理作出區別的一個因素,為低的二氧化碳光解離速率。
在所揭露之實施例的一態樣中,提供製備基板上的膜的方法。該方法包括下列步驟:將基板接收於一處理腔室中,該基板具有位於其上的膜,其中該膜包括含有成孔劑及結構形成物的含碳介電膜,該膜具有第一介電常數;使處理氣體流進該反應腔室中,並將該基板暴露到該處理氣體的流量,其中該處理氣體包含二氧化碳及惰性載氣;將該基板與該處理氣體暴露到紫外線(UV)輻射,其中該UV輻射包括造成該處理氣體中的一部分的二氧化碳的光解離的波長,而藉此形成一氧化碳與氧自由基;並且使該基板上的膜與該等氧自由基進行反應而藉此將該等成孔劑從該膜中移除,進而將該膜的介電常數降低至第二介電常數。
在許多實施例中,該UV輻射可包含約185-230 nm之間的波長。例如,在一些例子中,該UV輻射包括約190-210 nm之間、或約190-200 nm之間的波長。可在約0.1-10 T之間的分壓下將二氧化碳提供到該反應腔室中。在一些例子中,該二氧化碳的分壓在約1-2 T之間。在一些例子中,以體積流率計算,該處理氣體包括約10-25%之間的二氧化碳。在許多實施例中,該處理氣體不包括強氧化劑。例如,在若干實施例中,該處理氣體不包括氧分子(O2 )。自該處理氣體中排除的其他物種可包括二氧化氮(NO2 )、一氧化氮(NO)、臭氧 (O3 )、及過氧化氫(H2 O2 )。
如上述,該方法將該膜的介電常數降低。在一些實施例中,該第二介電常數在約2.2-2.25之間。在某些實施例中,將該基板與該處理氣體暴露到UV輻射之步驟可包括執行階段式固化操作,其中在第一階段期間暴露到該基板的UV條件與在第二階段期間暴露到該基板的UV條件不同。在某些例子中,該反應腔室包含用以同時地處理複數基板的複數站。該階段式固化操作的不同階段可在該反應腔室中的不同的站中進行。在其他例子中,二或更多階段可在一站中發生。在一些實施例中,該反應腔室包括至少第一UV輻射源以及第二UV輻射源,該第一UV輻射源將UV輻射提供到第一站,且該第二UV輻射源將UV輻射提供到第二站,而該方法更包含下列步驟: 調變該第一與第二UV輻射源中之至少一者,以獨立地調變該第一及第二站中的二氧化碳之光解離。調變該第一與第二UV輻射源中之至少一者之步驟可包括(例如)改變從該第一與第二UV輻射源中之至少一者暴露到基板的波長的範圍。在一些實施例中,該反應腔室至少包含用以將UV輻射提供到第一站的第一UV輻射源,以及用以將UV輻射提供到第二站的第二UV輻射源,其中該第一UV輻射源將該基板暴露到波長使二氧化碳光解離的輻射,且其中該第二UV輻射源將該基板暴露到波長未實質地使二氧化碳光解離的輻射。
該方法可在相當薄的膜上執行。例如,在一些實施例中,該膜具有約200nm或更小的厚度。二氧化碳之光解離可優先地發生在該反應腔室中的某些部分。例如,在一些例子中,相較於該反應腔室中的遠離基板的位置,二氧化碳之光解離可優先地發生在鄰近該基板之處。優先的解離可能因反應腔室中的溫差而產生。在一些例子中,在將該基板與該處理氣體暴露到UV輻射之步驟期間,在該基板以及在UV輻射到達該基板之前所通過之窗口之間具有至少約150°C的溫差。
在所揭露之實施例的另一態樣中,提供一方法,該方法包括下列步驟: 將基板接收於一處理腔室中,該基板具有位於其上的膜,其中該膜包含成孔劑及結構形成物;並且將該基板暴露到處理氣體, 同時將該基板暴露到紫外線(UV)輻射,藉此將該等成孔劑移除,其中以體積流率計算,該處理氣體包含惰性載氣以及約5-30%之間的二氧化碳。
在某些實施例中,該UV輻射包含約185-230 nm之間的波長,例如,約190-210 nm之間、或約190-200 nm之間。此外,該處理氣體可實質上無氧分子。
在所揭露之實施例的更另一態樣中,提供用以製備低k介電膜的設備,該設備包含:一反應腔室;一基板固持器,用以將基板固持於該反應腔室中;一紫外線(UV)輻射源,配置以將UV輻射輸送到位於該基板固持器上的基板;一入口,用以將處理氣體提供到該反應腔室中;以及一出口,用以將材料從該反應腔室中移除;以及一控制器,其包含用於下列操作之指令: 將基板暴露到該處理氣體, 同時將該基板暴露到來自該UV輻射源的UV輻射,藉此將成孔劑從該基板上的暴露的膜中移除,其中以體積流率計算,該處理氣體包含惰性載氣以及約5-30%之間的二氧化碳。
該等與其他特徵將參考相關圖式描述於下文。
在此應用中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分已製成積體電路」等用語可互換地使用。本技術領域中具有通常知識者應理解「部分已製成積體電路」這個用語可指涉在其上的許多積體電路製程階段中之任一期間的矽晶圓。使用於半導體裝置產業的晶圓或基板一般具有200mm、300mm、或450mm的直徑。下文詳細敘述假設本發明係執行於晶圓上。然而,本發明並非如此受限。工件可具有各種形狀、尺寸、及材料。除了半導體晶圓之外,可受益於本發明的其他工件包括多樣的物件,例如印刷電路板、磁性紀錄媒介、磁性紀錄感測器、鏡子、光學元件、微機械裝置以及其他。
為提供本文之實施例的全面性的理解,在接下來的實施方式中闡述許多具體的細節。所揭露之實施例毋須一些或全部該等具體細節而可被實施。在一些例子中,為了避免不必要地混淆所揭露之實施例,熟知的製程操作未詳細描述。雖然所揭露之實施例結合具體的實施例來描述,但應知悉的係,吾人不欲限制該等所揭露之實施例。I. 反應性紫外線熱處理
總的來說,本文之各種實施例係關於使用反應性紫外線熱處理來形成低k介電材料的方法與設備。在這些處理中,在反應物存在之情況下將介電膜暴露於紫外線輻射,通常同時將基板設置於一加熱支座上。在許多實施例中,該反應物為弱氧化劑。弱氧化劑的範例包括二氧化碳(CO2 )、水(H2 O)、甲醇(CH3 OH)、乙醇 (C2 H5 OH)、異丙醇(C3 H7 OH)、其他含氧的碳氫化合物(Cx Hy Oz )、及其中之組合。在一具體範例中,該反應物包括二氧化碳。雖然許多前述實施例以二氧化碳反應物作為背景來呈現,但可想見亦可使用其他的弱氧化劑。在許多實施例中,該反應物可無或實質上無氧分子(O2 )(如本文中使用的,「實質上無」某一物種的反應物/處理氣體,表示該反應物僅含有微量之所提到的物種)。該反應物亦可實質上無其他的強氧化劑,強氧化劑包括(但不限於)二氧化氮(NO2 )、一氧化氮 (NO)、臭氧 (O3 )、過氧化氫 (H2 O2 )、及其中之組合。
該弱氧化劑可以氣體型態來輸送。若該反應劑在相關的處理溫度下為液體,則可提供液體輸送系統。在某些實施例中,可將液體反應劑汽化或霧化,以輸送至反應腔室。
存在弱氧化劑使得成孔劑移除之速率提高,以及相對應的反應/固化之速率提高。此提高的反應速率產生更高的產出量。然而,在某些應用中,將氧化物種(尤其強氧化物種)導入反應腔室中將因過度移除膜中的碳而導致不樂見的介電常數提高。因此,當考慮是否導入以及導入何種氧化劑時,這些考量點彼此抗衡。業已發現存在二氧化碳(以及其他弱氧化劑),可促進提高反應速率並提高產出量,同時仍製造高品質且低介電常數的膜與裝置。A. 成孔劑移除
本文所述之方法涉及經由介電前驅物層來形成低k介電材料,該介電前驅物層包含成孔劑以及形成在該成孔劑之周圍的區域中的介電基質兩者。將該成孔劑從該前驅物層中移除以產生低k介電層。在該前驅物層中,該成孔劑所在的位置,係隨後在最終的介電層中將變成空隙位置者。因此,該成孔劑以及介電基質一般係以分離的相存在於該前驅物層中。某程度上來說,該成孔劑界定孔隙度、空隙容積、彎曲度、及描述最終低k介電材料中的孔隙型態之特性的其他參數。在一些例子中,在移除成孔劑之前設定該孔隙型態。在其他例子中,在成孔劑移除處理期間設定該孔隙型態。此外,該介電基質可在成孔劑移除處理期間或之前,呈現(assume)其最終組成與結構。在替代的例子中,在兩階段的處理中個別地沉積結構形成物與成孔劑。例如,在一些中孔洞性膜中,在第一處理階段中將形成模板的前驅物、溶劑、及催化劑混合並透過旋塗或印刷塗佈(print-on)方法來施加,以形成模板,然後在第二處理步驟中將形成二氧化矽的前驅物導入形成的模板中,例如超臨界注入聚合物基質。在一些範例中,根據應用,該前驅物膜的厚度範圍可介於約10奈米與3微米之間。
大致上,成孔劑為界定介電基質中的空隙區域的任何可移除的材料。這不包括在結構形成物之主幹(backbone)上的微小有機終端基團,其可被從該前驅物膜中移除,但較佳的係不移除。
在有序的孔洞性或中孔洞性介電基質的例子中,成孔劑通常稱為「模板」。在許多例子中,成孔劑為有機材料或包括有機材料。
在一些例子中,該成孔劑隨機地分配在整個前驅物膜中,而在其他例子中,該成孔劑以重複的結構規則排列在整個膜中。例如,一類型的有序成孔劑為嵌段共聚物,其具有分離成分離的相的化性相異的成分(例如聚氧化乙烯(PEO)與聚氧化丙烯(PPO))。本文之討論概括地涉及成孔劑與成孔劑材料,且除非另外地指定,吾人意欲包括任何類型的成孔劑、有序或無序、有機或無機。
通常,成孔劑為碳氫化合物。合適的前驅物膜(以成孔劑分子的類型列舉)的非總括性列舉如下。「低溫成孔劑」在約200o C以下沉積,而「高溫成孔劑」在約200o C以上沉積。
一類型的成孔劑為多官能環狀非芳香族化合物,例如α-松油烯類(ATRPs)。適當的α-松油烯衍生物包括(例如) α-松油烯本身、被取代的α-松油烯、及含有α-松油烯核的多環化合物。其他化合物包括官能基團,例如-CH=CH2 、 -CH=CH-、 -C≡CH、 -C≡C-、 -C=O、 -OCH3 。這些化合物的其一之範例為1,2,3,4-四甲基-1,3-環戊二烯 (TMCP,1,2,3,4-tetramethyl-1,3-cyclopentadiene) (C9 H14 )。  三維度的多環化合物亦合適,例如5-亞乙基-2-降冰片烯(ENB,5-ethylidene-2-norbornene) 。可使用的另一ATRP 化合物為D-檸檬烯。
在一些例子中,成孔劑與結構形成物在相同的化合物中。也就是說,在一化合物中,成孔劑為可移除的組成部分(moiety),該化合物含有作為結構形成物的組成部分,該作為結構形成物的組成部分與作為成孔劑的組成部分共價鍵結。名義上,成孔劑組成部分為體積大的有機取代基,其將在最終的介電膜中留下孔洞。此種物種的範例為有機矽烷,例如二第三丁基矽烷、苯基二甲基矽烷、及烷氧基矽烷類,例如5-(雙環庚烯基)甲基雙甲氧基矽烷 (BMDS) 、及 5-(雙環庚烯基) 三乙氧基矽烷 (BTS) (SiC13 O3 H24 )。例如,可使用CVD 或旋塗方法來沉積這些化合物。
如本文所述,對於作為結果的孔洞性低k膜,該結構形成物用以作為主幹。許多不同的化學成分可使用作為結構形成物。在一些實施例中,該成分包括矽與氧。有時其亦包括碳及/或其他元素,甚至包括金屬。針對相對上較厚的前驅物層,有時較佳的係使用UV輻射可穿透的結構形成物。
結構形成物之前驅物的範例包括矽烷類、烷基矽烷類(例如三甲基矽烷與四甲基矽烷)、烷氧基矽烷類(例如甲基三乙氧基矽烷 (MTEOS)、甲基三甲氧基矽烷  (MTMOS) 、二乙氧基甲基矽烷(DEMS)、甲基二甲氧基矽烷(MDMOS)、甲基二乙氧基矽烷(MDEOS)、 三甲基甲氧基矽烷  (TMMOS) 及二甲基二甲氧基矽烷(DMDMOS))、線狀矽氧烷類及環狀矽氧烷類(如 二乙基甲基矽氧烷( DEMS)、八甲基環四矽氧烷 (OMCTS)、四甲基環四矽氧烷 (TMCTS))。注意矽烷之一範例為二第三丁基矽烷,如上述。
前驅物膜(以及因此作為結果的介電層)的厚度取決於最終的應用。就層間介電質或封裝的應用而言,厚度之範圍從100埃,上達約2到3微米。在一些例子中,額外的厚度提供一些犧牲介電質的量以適應隨後的平坦化步驟。隨著技術節點逐漸變小,較薄的前驅物膜被使用得更多。例如,本文所述的一些處理與小於約200nm或小於約150nm的薄膜一起使用係有利的。在一些此種例子中,該等膜可具有至少約50nm的厚度。不限於理論或作用機制,吾人認為由於反應性紫外線熱處理(用於驅動成孔劑移除)的以擴散作用為主的特性,某些所揭露的實施例對於在此厚度範圍的膜尤其有用。相對地,若在較厚的膜上執行所揭露的方法,則以擴散作用為主的處理可能無法完全地穿透該膜,而該膜可能表現多層效應。
介電膜的孔隙度可連通,且可包括透過將成孔劑從介電基質中移除而導入的孔洞、及/或介電基質中原有的孔洞。例如,摻雜碳的氧化物(CDO)基質可具有因甲基或其他有機基團之結合而產生的孔隙度,其中該甲基或其他有機基團在成孔劑移除之後留在該CDO基質中。孔洞性介電膜可包括中孔隙度及/或微孔隙度。中孔隙度大致上指涉2 nm–50 nm 的孔洞尺寸,而微孔隙度指涉小於2 nm的孔洞尺寸。在具有連通孔隙度的介電質中,至少若干的連通孔洞的尺寸為連續性(on a continuum),其中尺寸在埃至奈米等級的微孔洞與尺寸在奈米至數十奈米等級的中孔洞連接。
如上所述,前驅物可包括成孔劑以及與有機矽氧化物基質直接地鍵結的有機基團兩者。在許多例子中,需要移除前者,但不需移除後者。這係因為不被移除、非成孔劑的有機終端基團係為了本質地提高孔隙度而導入。在一些實施例中,例如,可透過矽氧化物基質中的有機終端基團將微孔隙度導入極低k(ULK)介電質中,且可透過移除成孔劑將中孔隙度導入ULK介電質中。
成孔劑移除方法受許多缺點之害。目前ULK薄膜的固化技術仰賴紫外線(UV)光與昇高溫度的應用。此熱UV處理的目標為下列兩者:移除成孔劑以將薄膜的有效介電常數降低、以及將ULK薄膜的基質交聯以提高其機械性質。然而,因為UV光的應用同時地進行移除成孔劑以及交聯矽有機基質兩者,所以可得到的固化膜之最終性質有所限制。過度的交聯可能不僅導致介電常數提高,亦導致成孔劑卡在ULK薄膜中,而導致電氣滲漏增加以及在線路整合端點(end of line integration)導致時間相依介電崩潰(TDDB)惡化。此外,許多包括電漿暴露的方法易於從矽有機基質的主幹上移除過多的有機基團而破壞介電材料。因此,將介電膜中的成孔劑移除的改良方法係有需要的。B. 在紫外線處理期間使用反應物
大多數以紫外線為主的成孔劑移除處理係在具有惰性大氣(例如氦、氬、氮等)的反應腔室中執行。惰性氣體之目的係為促進腔室中的熱傳送。惰性氣體不參與任何的化學反應。然而,某些紫外線熱處理可受益於在反應性大氣中執行。先前的方法已發展在某些紫外線熱處理中使用光活化性氧化劑(例如氧(O2 ))及/或還原劑(例如氨(NH3 ))。然而,當使用於形成低k材料的背景中時,這些反應性處理已被證實為太具反應性且難以控制,而導致過高的反應速率以及有機基團從低k基質中明顯損失。基質中的有機基團的大量損失導致被處理之材料的介電常數急劇且不樂見的提高。
圖1為某些實驗結果的一圖表,其呈現膜在UV熱固化期間的平均收縮度,其中使用兩個不同的反應物。收縮因固化處理而產生並且於各例子之設定的時期內發生,因此提供與膜的固化速率有關的資訊。較大的收縮度數值與較快的固化過程有關。在一例子中,將氧流入反應腔室中,而在另一例子中,將二氧化碳流入反應腔室中。在兩個例子中,反應物被提供到惰性載氣中。導入該等反應物,同時使基板於反應腔室中暴露到紫外線輻射。當將氧導入反應腔室中時,即使非常小的流量(例如45000 sccm 總流量中的10 sccm O2 )仍導致收縮度的大量提高。這表示即使腔室中存在非常少量的氧分子,仍明顯地提高固化速率(即碳/成孔劑從膜中被移除的速率)。
此極高的固化速率之敏感度係不樂見的。為將固化速率維持在允許交聯並移除成孔劑但不移除過量的碳之有效等級,應將氧分子的量維持在非常低的等級(例如以sccm計算,低於總流量的約0.05%,在一些例子中為ppm等級的 O2 )。換句話說,氧的流速與總流速之間應存在若干數量級差異。此巨大的流量差異存在許多實際的問題。例如,當反應腔室中的氧的濃度如此低時,由於混合的限制,故難以達到均勻的氧濃度。此外,因為即使氧濃度/分壓的非常小的差異仍可能導致固化速率的巨大差異,所以難以維持處理批次之間的一致性。固化速率的差異可能導致在不同批次中進行處理的基板之間的膜之性質的明顯差異。
不限於理論或作用機制,吾人認為氧在低k介電材料的紫外線熱處理的背景中的高反應性與臭氧的形成有關。當氧分子被暴露到紫外線輻射時,一部分的氧分子(O2 )被分解為個別的氧原子 (即氧原子自由基 O*)。然後氧原子與另一氧分子 (O2 )結合而形成臭氧分子 (O3 )。臭氧分子非常具反應性且作用以將成孔劑以及與有機矽氧化物基質直接地鍵結的有機基團兩者移除。
回到圖1,當使用二氧化碳作為反應物(該二氧化碳與惰性載氣一起輸送)時,平均收縮度(以及因此,反應/固化速率)較為穩定。顯然地,圖1的x軸為對數座標。因此,圖1顯示收縮度與反應速率對於氧濃度非常敏感,且導入即使10 sccm的 O2 仍導致明顯的收縮度,以及因此,不可控制的高反應速率。相較之下,雖然與未使用二氧化碳的例子(例如y軸上的資料點)相比,在二氧化碳大氣下處理的膜表面呈現收縮度與反應速率提高,但此提高係在更廣的流速範圍內觀察到的,而該流速包括夠高而足以促進批次內的良好混合以及批次之間的良好一致性的流速。換句話說,二氧化碳存在於UV暴露腔室中,有益於提高反應速率(即提高產出量),且與因存在氧分子而實現的反應速率之益處相比,此益處能透過更加可控制、穩定、可重複的方式來實施。
當二氧化碳暴露到紫外線輻射的某些波長時,一部分的二氧化碳分子被光解離成一氧化碳分子(CO)以及氧原子自由基(O*)。 CO2 + 光子 à CO + O*
一氧化碳分子非常穩定且通常不會再進一步分解。一氧化碳的光解離在非常高能量/短波長(例如約100nm或更低)的情況下發生。根據許多實施例,用於本文中揭露之紫外線熱處理方法的紫外線輻射源,未發射大量之此等級的輻射,或具有此種輻射,而該種輻射在到達基板之前被過濾掉。因此,吾人認為一氧化碳維持穩定。然而,氧原子自由基更加具有反應性。因二氧化碳的光解離而產生的氧原子自由基可直接地與膜相互作用,而將基質氧化(例如透過將碳從基質中移除)。基質的氧化可能導致形成矽懸鍵,其依次與其他氧自由基或矽醇基團 (Si-OH)進行反應。然後可進行受UV驅動的縮合反應以將基質交聯。用於此種反應中的光子的波長可短於約300nm。交聯反應可如下發生: Si-OH + Si-OH +光子 à Si-O-Si + H2 O
此外,氧原子可與其他氧原子進行反應而形成少量的氧分子。氧原子亦可與此種氧分子進行反應而形成臭氧。然而,因為相當低的二氧化碳解離速率,以及因此低的氧原子及分子形成速率,此種臭氧形成(如果有的話)可能以極小的程度發生。此外,因為存在UV輻射,所以任何已形成的臭氧可能被光解離回到氧原子及分子。
不限於特定理論,吾人認為可使用二氧化碳(及其他的弱氧化劑)來可控制且可重複地提高反應速率之其一原因為,僅少比例的二氧化碳在暴露到UV輻射時被光解離。透過使用一設備,其中相對上少量的相關波長之UV輻射到達反應腔室中的氣體,來促進低的光解離速率。可藉由下列方式來達到相對上少量的UV輻射:使用輸出相對上少的相關波長之輻射的輻射源;及/或使用濾波器,以控制相關波長之輻射的量。二氧化碳吸收波長大約200nm的UV輻射,視溫度而定。
圖2A呈現一趨勢線,圖解在約190-220nm之範圍內由多位研究者所觀察的二氧化碳的吸收起始。該趨勢線係根據D. Ityaksov等人的「Deep-UV absorption and Rayleigh scattering of carbon dioxide」(Chemical Physical Letters ,第 462期(2008),第31-34頁)中所記載之資料而繪製。圖2B呈現一趨勢線,圖解在約195-215nm之範圍內的臭氧的吸收截面積。該趨勢線係根據J. Malicet等人的「Ozone UV Spectroscopy. II. Absorption cross-sections and temperature dependence」(Journal of Atmopheric Chemistry ,第 21期(1995),第 263-273頁)中所記載之資料而繪製。圖3呈現趨勢線,圖解在各種溫度下的二氧化碳的吸收截面積。該等趨勢線係根據O. Venot等人的「High-temperature measurements of VUV-absorption cross sections of CO2 and their application to exoplanets」(Astronomy & Astrophysics ,第551卷 (2013),A131)中所記載之資料而繪製。圖3顯示CO2 的UV輻射吸收具有強的溫度相依性。總的來說,吸收截面積愈大,愈容易使分子光激發(以及光解離)。
整體而言,圖2A及3顯示,二氧化碳吸收波長在約230nm或更短(例如約220nm或更短、約210nm或更短、或約200nm或更短)之範圍內的UV輻射,視溫度而定。因此,用以執行所揭露之實施例的UV輻射源可發射具有所述波長之輻射。在該等或其他例子中,UV輻射源可發射長於約180nm之波長,例如長於約185nm、或長於約190nm。圖2A及3亦提示(根據y軸上的低數值),即使提供適當波長的輻射,將特定的二氧化碳分子光解離之可能性仍相對上低。換句話說,二氧化碳分子被光解離的比例相當低。此低的光解離速率幫助確保反應腔室中的氧原子維持低濃度。低的氧原子濃度代表氧分子的形成速率亦低,且代表臭氧的形成速率極低或不存在。因此,氧原子以相對上低的(但可重現且可均勻地混合的)量存在於反應腔室中,使得氧原子可將膜的基質可控制地氧化,並有助於促進成孔劑之移除以及受控制的交聯。
相較之下,圖2B提供臭氧之吸收截面積。在這些波長之下/左右,二氧化碳與臭氧之間具有吸收的巨大差異。臭氧吸收實質上較多的光子,因此以實質上較快的速率解離。例如,針對一給定的波長/UV源,二氧化碳的吸收截面積比臭氧的吸收截面積小約5個數量級。此提示了若將臭氧提供到反應腔室中,則臭氧將以比二氧化碳更快的速率進行光解離(假設暴露到相同的UV條件)。作為大略估計,假設在正常操作條件下並使用汞燈,二氧化碳的光子吸收速率大約為5E-7光子/秒,而相同的條件下可產生之臭氧的光子吸收速率大約為20光子/秒。這些估計係根據涉及如下之計算:光解離的截面積,以及作為波長之函數的輻射的平均強度。這些速率係非常大略的估計,且這些速率的差異比實際數值更為重要。速率之差異提示,在很大的程度上,臭氧比二氧化碳分解得更為快速。此快速且廣泛的光解離可能使反應性UV處理變得不可控制。
氧分子(O2 )的吸收截面積落於二氧化碳與臭氧的吸收截面積之間,且吸收數值較靠近臭氧的數值(與二氧化碳的數值相比)。因此,如本文所述,在相同的UV條件下,被提供到反應腔室的氧以實質上比二氧化碳更快的速率進行光解離。
在許多實施例中,為維持燈的清潔度,UV輻射源係在一通透性窗口之背側提供。該窗口可作用以過濾掉某些波長,例如短於約190nm、或短於約185nm、或短於約180nm之波長。針對這些波長左右的輻射可具有滾濾作用(roll-off)。所屬技術領域中具有通常知識者避免使用二氧化碳作為UV熱處理的反應性大氣的一理由為,認為二氧化碳之光解離速率將不可接受地低,使得其不會產生任何處理的益處。認為光解離速率非常低係因為(1)用於低k介電質的UV熱處理之大部分的UV輻射源,以較低能量、較長波長來發射其大部分的輻射,並且僅發射少量的相關波長(例如大約200nm之等級)之光子,以及因為(2)認為窗口可阻擋許多相關波長之輻射。關於第一點,使用發射相關波長之有效輻射的不同的UV輻射源,並非顯而易見的。光源以及製程已被最佳化以處理特定的材料並達到特定的結果。因此,所屬技術領域中具有通常知識者不會選擇轉換成以較短波長來發射的不同的UV輻射源,因為這將涉及策畫以針對不同的材料而再最佳化處理條件的有效處理。再者,使用不同的UV輻射源可能使目前處理所使用的某些材料變得不適合。關於第二點,此看法在考量下列情況下尤其適切:在室溫下,二氧化碳吸收的波長範圍與窗口吸收的波長範圍明顯地重合(也就是說,認為在相關的UV輻射到達並將二氧化碳光解離之前,窗口會吸收大部分或所有的相關的UV輻射)。
在一些實施例中,圖3所示之UV吸收的溫度相依性可利用於對固化處理產生有益的效果。在本文中揭露之UV熱處理中,可將基板放置在加熱支座並且暴露到UV輻射,同時加熱至昇高溫度。在一些例子中,該支座可保持在約380-420°C之間的溫度,例如約400°C。該UV輻射源可設置在基板之上方、玻璃或其他通透性窗口之背側。該窗口可具有明顯比基板更低的溫度,例如約200°C或更低,與基板相比具有至少約150°C的溫差。由於此溫差,相較於反應腔室的其他位置(其中溫度相對上較低),二氧化碳可在鄰近基板之處(其中溫度被昇高)優先地光解離。此優先光解離之一結果為,氧原子自由基在基板附近之處優先地形成,其中氧原子自由基傾向於移除成孔劑。
二氧化碳存在於用以UV處理的反應腔室,可具有其他益處。例如,二氧化碳可吸收(並因此可幫助過濾掉)高能量、短波長的光子(其若未被過濾可能反而破壞基板上的膜)。因為二氧化碳可存在顯著的量,所以此種過濾可為大量的。此過濾可幫助促進某些所需的膜的性質,例如硬度。一個可能的解釋為,被二氧化碳過濾掉的高能量/短波長的光子,若未過濾,則可能透過將小的碳基團從基質的主幹中移除而反而對基質造成破壞,這不利地影響硬度。相較之下,因為氧以過低的濃度存在以至於無法有效地進行過濾,所以氧存在於反應腔室中不具有任何相似的過濾效果(至少在處理低k材料的背景中)。
同樣的,使用二氧化碳(及/或其他弱氧化劑)可影響某些其他的膜性質。重要的係,應用於低k材料的任何處理方法不導致材料之介電常數無法接受的提高。在成孔劑移除之背景中,若從膜中移除過多的碳,尤其若從與有機矽氧化物基質直接地鍵結的有機基團中移除碳(而非存在於成孔劑中的碳),則介電常數可能無法接受的提高。因此,當將氧化物種導入固化環境中時,具有一風險為增強的碳移除速率以不可控制的方式移除過多的碳,而因此不利地提高膜的介電常數。
圖4呈現與許多低k膜之介電常數相關的資料,該等低k膜在二氧化碳(在惰性載氣中)存在之情況下被暴露到UV輻射。不同的資料點對應到的基板係被暴露到不同的二氧化碳流速。膜的介電常數在二氧化碳流速之一範圍內維持相對上穩定。此提示,膜的介電常數不會對存在於反應腔室中的二氧化碳的量過度敏感。換句話說,以這些等級存在的二氧化碳不會導致有機基團(其與有機矽氧化物基質鍵結)中的碳之無法接受的高移除作用。圖4中之資料係使用約45000 sccm之總流速(二氧化碳+惰性載氣)以及約10 Torr之總壓力而得到。 因此,若存在,則二氧化碳在氣體中的比例介於約1-25%,且二氧化碳的分壓介於約0.1-2.5 Torr。
在許多實施例中,在被輸送至UV熱處理腔室的處理氣體中,二氧化碳或其他弱氧化劑的比例(以sccm計算)可介於約 1-30%,例如介於約5-30%、或介於約10-25%。  在這些或其他例子中,二氧化碳或其他弱氧化劑存在於處理氣體中的比例可至少約1%,例如至少約 5%、至少約10%、或至少約20%。 二氧化碳或其他弱氧化劑存在於處理氣體中的比例亦可約30% 或更低,例如約 25% 或更低、或約20%或更低。處理氣體的最佳成分可取決於被處理的材料、以及溫度與其他處理條件。在某些實施例中,二氧化碳在處理腔室中的分壓可介於約 0.1-10 Torr,例如介於約0.5-5 Torr、或介於約1-3 Torr、或介於約 1-2 Torr。在這些或其他實施例中,二氧化碳在處理腔室中的分壓可至少約0.1 Torr、至少約0.5 Torr、至少約1 Torr、或至少約2 Torr。二氧化碳存在於處理腔室中的分壓亦可約 10 Torr或更低,例如約 5 Torr 或更低、 3 Torr 或更低、或 2 Tor或更低。二氧化碳或其他弱氧化劑之流量可為每一平方公分之基板表面積介於約 1-50 sccm,例如每一平方公分之基板表面積介於約 10-15 sccm。如本文中使用的基板表面積,可視為基板之單一面的面積。例如 , 300 mm 直徑的晶圓具有約 706 cm2 的表面積。如前述,基板可在暴露到UV輻射之期間維持在一昇高溫度。在某些實施例中,將基板維持在約380-420°C之溫度下,但其本意非限制性。
其他相關的處理條件與考量點進一步討論於如下之美國專利案,各該等案以全文加入本案之參考資料:美國專利案第 8465991號,案名為「CARBON CONTAINING LOW-K DIELECTRIC CONSTANT RECOVERY USING UV TREATMENT」;美國專利案第8454750號,案名為「MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS」;以及美國專利申請案第12/210060號,申請日為2008年9月12日,案名為「PROGRESSIVE UV CURE」。C. 處理流程
圖5根據某些實施例呈現使用反應性紫外線熱處理來製備低k膜的方法的流程圖。該方法始於操作501,其中將基板接收於一反應腔室中。該基板包括介電材料層,該介電材料層具有分散在整個結構性基質中的成孔劑,如本文所述。在操作502,將該基板加熱(例如透過加熱支座/基板固持器),並在操作503將該基板暴露到處理氣體之流量。該處理氣體可包括在惰性載氣中輸送的弱氧化劑(例如二氧化碳或其他弱氧化劑)。在操作505,將該基板暴露到UV輻射。該UV輻射應包括波長或波長範圍係操作以在相關之溫度下將該弱氧化劑光解離之輻射。然而,該UV輻射可被最佳化以將成孔劑移除,而波長適合將二氧化碳光解離之輻射的量可相對小。例如,UV輻射可在最佳於移除特定成孔劑(其存在於介電膜中)之波長(或該波長左右)具有強度峰值,但在可光解離二氧化碳之波長具有較小的輻射強度。
光解離的程度通常很小,使得相對上少的弱氧化劑的分子解離。弱氧化劑解離成促進碳之受控制的移除(從基板上的介電材料中移除)的物種。在弱氧化劑存在之情況下暴露到UV輻射之結果為,成孔劑以快速但可控制的速率從基板上的介電材料中移除。此速率快於使用與惰性大氣結合之下的UV輻射所能達到的。UV輻射亦促進材料中的交聯,如本文中討論。
操作502、503、及505可時間上重合,且可以其他順序進行。例如,在一實施例中,操作502、503、及505始於相同時間且選擇性的具有相同期間。加熱操作502、處理氣體暴露操作503、及UV暴露操作505可具有約10秒與10分鐘之間的期間。
在某些實施例中,在處理期間調變介電膜被處理的速率。可透過各種方式來進行此調變。在一實施例,藉由改變輻射源來調變處理速率。例如,可將輻射開啟或關閉,或可在不同波長或波長組之間轉換。輻射可在 (a)導致弱氧化劑光解離之波長;以及(b) 未導致弱氧化劑光解離之波長之間調變,或無輻射。在某些實施例,(a)期間的輻射可包括介於約185-230 nm、或介於約190-210 nm、或介於約190-200 nm之波長。在某些實施例,(b)期間的輻射可排除這些在相同範圍中的波長。輻射亦可在不同強度/功率位準之間調變。在另一實施例中,藉由改變弱氧化劑流進反應腔室的流量來調變處理速率。在更另一實施例中,藉由改變基板維持的溫度來調變處理速率。較高的基板溫度將基板附近的光解離可能性提高,如圖3之資料指出。可在一多站設備中以個別之逐站的方式來完成這些調變。因為各站(各站被獨立地控制)通常裝備有其自身的UV輻射源以及基板固持器,所以UV輻射以及基板溫度尤其容易在各站中調變。處理氣體之流量的獨立逐站控制可涉及將腔室彼此隔開(例如透過結構、氣體屏障等)。
在一些實施例中,在移除成孔劑之後可將基板暴露到UV輻射,以增加交聯。若執行,基板被暴露到的發射光譜可與在操作505中的相同或不同。再者,在一些實施例中,基板在交聯操作期間可暴露或不暴露到二氧化碳。如下進一步討論,即使基板在UV介導交聯期間暴露到二氧化碳,仍在不發生有效光解離的溫度或UV波長之下。用於交聯的發射光譜可包括最有效於特定類型之所使用之交聯的波長。作為範例,在一些實施例中,可使用包括小於約250nm之放射線的UV輻射源。II. 設備
本文所述之方法可藉由任何適當的設備來執行。一適當的設備包括用以完成處理操作的硬體,以及系統控制器,其具有用以控制根據本發明之處理操作的指令。例如,在一些實施例中,該硬體可包括被包含在一處理工具中的一或更多處理站。
UV處理設備之範例記載於美國專利案第8137456號,該案之公告日為2012年3月20日,並為所有目的加入本案之參考資料。例如,電漿設備可實施於一負載鎖室中,該負載鎖室附接於UV處理設備,或附接於作為UV處理設備的共同傳送模組。
可應用許多不同類型的UV暴露設備。在一些實施例中,該設備可包括容置一或更多基板的一或更多腔室,其中至少一腔室具有UV源。單一個腔室可具有一或更多站,且可應用於一、若干、或全部的操作。各腔室可容置一或更多基板以進行處理。針對需要控制基板溫度的某些操作,該設備可包括溫度受控制的基板固持器,其可被加熱、冷卻、或兩者。該固持器亦可被控制以提供在處理模組內的界定的基板位置。該基板固持器可相對於該UV源而旋轉、擺動、攪動基板。
圖6描繪適用於本文所述之某些方法的實施例的UV光源之配置。在圖6之範例中,冷鏡反光器減少IR輻射在基板上的入射,但允許UV輻射可用於進行處理。為了明確,該圖僅描繪可用於一設備中的可能的複數處理站中之一者。此外,為明確性的緣故,該圖省略描繪基板,並呈現座架式(flood-type)反光器。圖6中所繪示之原理亦可應用於聚焦反光器。再者,在某些實施例中,UV設備可不包括冷鏡。
支座673被嵌入處理腔室671之一站中。窗口675適當地設置在支座673上方,以允許使用來自UV燈679與689的所需波長之UV輸出的基板(未顯示在此)的輻射。作為UV光源的適當的燈可包括(但不限於)汞蒸氣或氙燈。其他適當的燈源包括氘燈、準分子燈或雷射(例如準分子雷射與可調節的各種雷射的變體)。燈679與689兩者被裝備有反光器677與687,而使其輸出變成泛光照明(flood illumination)。反光器677與687本身可由「冷鏡」材料製成,即反光器677與687亦設計以透射IR並反射UV輻射。
從燈679與689直接地放射以及從反光器677與687反射的輻射進一步入射於一組反光器681。這些反光器亦為冷鏡,設計以僅反射所需的那些UV波長,如前述。所有其他的輻射(包括可見光與尤其大部分的IR)被這組冷鏡透射。因此,基板僅被可造成膜上之所需效果的波長輻射。冷鏡反光器681相對於燈679與689的特定角度、距離、及方向可被最佳化,以將入射於基板上的UV強度最大化並將其照明之均勻度最佳化。
腔室671能夠維持真空及/或容納壓力高於大氣壓力之氣體。為簡化,僅呈現腔室671之一站。應注意在一些實施例中,腔室671為多腔室設備中的一腔室,但替代地,腔室671可為獨立單腔室設備中的一部分。在其他例子中,腔室(或複數腔室)可具有一或多於一個站。在本發明之ㄧ些實施例中,UV處理模組具有一站。如本文所述,用於實施本發明的適當設備可包括如下系統之配置: 得自美國加州費利蒙(Fremont, CA)的蘭姆研究公司(Lam Research, Inc.)的INOVA、Sequel、Vector、及SOLA系統;以及得自美國加州聖塔克拉拉(Santa Clara, CA.)的應用材料公司(Applied Materials)的Endura、Centura、Producer 、及Nanocure 系統。
注意圖6之UV光源配置僅為適當配置之一範例。總的來說,燈(或複數燈)係設置以提供均勻的UV輻射給基板。例如,其他適當的燈的配置可包括同中心或以其他方式之圓形燈之陣列,或可使用相對於彼此呈90度與180度的角度的長度較短的燈。該等光源(或複數光源)可被固定或可移動以將光提供在基板上的適當位置。替代地,可控制一光學系統(包括例如一系列的可移動透鏡、濾波器、及/或鏡子)以在不同時間將光從不同來源導向基板。
藉由光源的類型、並藉由施加到光源的功率或光源之陣列,可直接地控制UV光之強度。影響所施加之功率的強度的因素包括(例如)光源的數量(例如在一光源陣列中)以及光源的類型(例如燈類型或雷射類型)。控制在基板樣本上的UV光之強度其他方法包括使用濾波器,其可阻擋一部份的光到達基板樣本。如同光的方向,使用各種光學元件(例如鏡子、透鏡、散射器、及濾波器)可調變在基板上的光之強度。藉由選擇來源 (例如汞蒸氣燈、氙燈、氘燈、準分子燈等)以及使用修改光譜分布的濾波器,可控制個別的來源的光譜分布。此外,藉由以特定摻雜物(例如鐵、鎵等)將氣體混合物摻入燈中,可調節相同燈的光譜分布。
圖7A與7B呈現使用寬頻UV源之設備的實施例,該設備適用於與本發明之某些實施例一起使用。腔室701包括複數固化站703、705、707、及709,各固化站容納一基板。站703包括傳遞銷719。圖7B為該腔室之側視圖,呈現站703、及705,以及位於支座723及725上方的基板713及715。在該等基板與該等支座之間有間隙704。該基板可透過一附屬裝置(例如銷)而固持於該支座上方,或浮於氣體上。拋物面或平面冷鏡753及755設置在寬頻UV源組733及735上方。來自燈組733及735的UV光通過窗口743及745。然後基板713及715被暴露到輻射。在替代實施例中,該基板可被支座723及725固持。在此種實施例中,該等燈可裝備或不裝備有冷鏡。透過與支座完全地接觸,可透過在足夠用以傳導性熱傳送之壓力(一般為20與760 Torr之間,但較佳地為100與600 Torr之間)下使用傳導性氣體(例如氦或氦與氬之混合物) 而維持基板的溫度。
在操作中,基板進入腔室並到達站703,在其中執行第一UV固化操作。在許多實施例中,後續之固化操作可在相同的站或在不同的站中執行。階段式UV固化有助於最佳化在一固化處理期間進行的不同的處理(例如成孔劑移除與交聯)。例如,可將固化之第一階段最佳化以促進成孔劑移除,並可將固化之第二階段最佳化以促進交聯。總的來說,較長的波長之意圖為驅動成孔劑移除,而較短的波長之意圖為驅動交聯。雖然階段式固化在一些實施例中係有利的,但並非總是使用階段式固化。在某些例子中,完成UV固化操作,但不改變施加到基板的波長及/或強度/UV功率。
回到圖7A與7B之實施例,將站703的支座溫度設定在第一溫度(例如400°C),其中站703上方的UV燈設定在第一強度(例如100%最大強度)以及第一波長範圍(例如約185-800nm)。使二氧化碳或其他弱氧化劑之流量流進腔室中,並與站703上的基板相互作用。若二氧化碳存在於反應腔室中以促進成孔劑移除,則該第一波長範圍可包括相對上短的波長(例如約185-230 nm之間的波長),以將二氧化碳光解離。該第一波長範圍亦可包括較長的波長(在一些例子中例如約305-800 nm之間的波長),以最佳化成孔劑移除。針對成孔劑移除之最佳波長或波長範圍,取決於成孔劑本身 。該第一波長範圍可在所述範圍內連續或不連續。在一些實施例中,該第一波長範圍可具有一強度峰值,其對應到最佳化以直接移除特定成孔劑之波長或波長範圍;以及更小的輻射強度在可將二氧化碳光解離之波長範圍中(經由被光解離的二氧化碳之片段,將二氧化碳光解離之波長間接地移除成孔劑)。
在站703中固化持續足夠時間使得該波長範圍的吸收降低之後,將基板傳送到站705以在相同波長範圍或(在某些實施例中)在較短波長範圍之下進一步固化。第二波長範圍可最佳化以將基質交聯。在一些例子中,該第二波長範圍介於約185-800 nm、或介於約295-800 nm。 在一些例子中,該第二波長範圍包括低於約 250 nm的波長 (例如,包括或不包括250 nm以上的波長)。在這些或其他例子中,該第二波長範圍可不包括在相關之溫度下將二氧化碳光解離之波長,如本文進一步討論。將站705的支座溫度設定在第二溫度(其可與站703相同或不同),且UV強度設定在第二強度(例如90%強度)。二氧化碳或其他弱氧化劑之流量可與或不與站705上的基板相互作用。站707及709亦可用於UV固化,且可與站703及705具有相同或不同之波長範圍。在一實施例中,隨著基板通過許多站,該基板被暴露到在漸短波長處具有強度峰值之UV輻射。
在使用寬頻UV源(其產生寬光譜的輻射)時,為了以不同波長或波長範圍輻射基板,在輻射源中可使用光學元件以調變該寬光譜到達基板的部分。例如,可使用反射器、濾波器、或反射器與濾波器兩者之組合來將一部份的光譜從輻射中消除。光到達濾波器時可被反射、被吸收進濾波器材料中、或透射通過。
高通濾波器為干涉濾波器,其提供特定波長以下之明確的截止。其有用於隔離特定區域的光譜。高通濾波器用於使一範圍的波長通過或透射,並阻擋或反射在通帶之較短波長側的其他波長。長波長的輻射被透射,而短波長的輻射被反射。高透射率的區域被稱為通帶,而高反射率的區域被稱為阻帶或反射帶。滾濾區域將通帶與阻帶隔開。高通濾波器的複雜性主要取決於過渡區域的斜率,且亦取決於通帶中的波紋設定。在相對上高角度入射之例子中,可能發生極化相依損耗(polarization dependent loss)。高通濾波器由表面硬、耐用的材料構成,並以介電塗層覆蓋。其設計以經受正常清潔與搬運。
另一類型的濾波器為UV截止濾波器。這些濾波器不允許一設定數值(例如280nm)以下的UV透射。這些濾波器作用以吸收截止數值以下之波長。這有助於最佳化所需之固化效果。
可用於選擇波長範圍的更另一光學濾波器為帶通濾波器。光學帶通濾波器設計以傳送特定波段。其由許多介電材料之薄層構成,該等介電材料具有不同的折射率以產生被傳送光的結構性與破壞性干涉。依此方式,光學帶通濾波器可設計以僅傳送特定波段。範圍的限制通常取決於干涉濾波器透鏡、以及薄膜濾波器材料之組成。入射光通過兩個塗層反射表面。反射塗層之間的距離決定破壞性干涉的波長、以及被允許通過塗層表面的波長。在反射光束同相之情況下,光將通過兩個反射表面。然而,若波長為不同相,則破壞性干涉將妨礙大部分的反射,而幾乎不允許任何光通過。依此方式,干涉濾波器能夠使波長高於或低於所需範圍之被發送光之強度衰減。
可使到達基板之輻射的波長衰減的另一濾波器為窗口743,通常為石英製成。藉由改變金屬雜質與水含量的等級,石英窗可製作以阻擋不需要之波長的輻射。具有非常少金屬雜質的高質量二氧化矽(石英)對於紫外線更具通透性。作為範例,厚度1cm的石英在170nm之波長具有約50%之穿透率,穿透率在160nm降至僅若干百分比。提高石英中的雜質等級導致較低波長之UV透射被減少。電熔石英存在許多的金屬雜質,而將其UV穿透波長限制在大約200nm或更長。另一方面,合成二氧化矽具有高得多的純度,且穿透限制降至170 nm 。就遠紅外線輻射而言,通過石英之穿透率由水含量決定。石英中有更多水代表遠紅外線更可能被吸收。可透過製程處理來控制石英中的水含量。因此,可控制通過石英窗的輻射穿透光譜,以截止或減少波長較短之UV穿透,及/或用以減少波長較長之紅外線穿透。
除了藉由變更到達基板的輻射來改變波長之外,亦可藉由修改光產生器之性質來控制輻射波長。寬頻UV源可產生寬光譜的輻射(從UV到遠紅外線),但可使用其他光產生器來發射較窄的光譜或用以提高較窄光譜之強度。其他光產生器可為汞蒸氣燈、摻雜汞蒸氣燈、電極燈、準分子燈、準分子雷射、脈衝氙燈、摻雜氙燈。雷射(例如準分子雷射)可發射單一波長的輻射。當將摻雜物加入汞蒸氣或氙燈時,可使窄波長段的輻射更高強度。常見的摻雜物為鐵、鎳、鈷、錫、鋅、銦、鎵、鉈、銻、鉍、或其中之組合。例如,摻雜銦的汞蒸氣燈在可見光光譜與450nm左右強地發射;鐵,在360nm;以及鎵,在320nm。亦可藉由改變燈的填充壓力來控制輻射波長。例如,高壓汞蒸氣燈可製作以發射250 到440 nm的波長,尤其 310 到 350 nm更為強烈。低壓汞蒸氣燈在較短波長發射。
除了改變光產生器的性質以及使用濾波器之外,可使用反光器,其優先地輸送燈的光譜輸出之一或更多片段。常見的反光器為冷鏡,其允許遠紅外線通過但反射其他光。可使用優先地反射一光譜帶的光的其他反光器。因此,基板可在不同站被暴露到不同波長的輻射。當然,在一些站中,輻射波長可相同。
在圖7B中,支座723及725為固定的。指向器711在各暴露週期期間中將各基板升降並從一支座移動到另一支座。指向器711包括附接至運動機制731(具有旋轉式與軸式運動)的指向器板721。給予指向器板721向上的軸式運動以將基板從各支座上抬起。旋轉式運動作用以將基板從一站向另一站推進。然後該運動機制給予該板向下的軸式運動以將基板向下放置在站上。
支座723及725被電性加熱並且被維持在所需之處理溫度之下。支座723及725亦可裝備有冷卻管線,以實現準確的基板溫度控制。在一替代實施例中,可使用巨大加熱器組件來固持基板,而非個別的支座。使用熱傳導性氣體(例如氦)來產生支座與基板之間的良好熱耦合。在一些實施例中,可使用具有同軸換熱器的鑄造支座。這些描述記載於前文相關之美國專利申請案第 11/184101號。
圖7A及7B僅呈現適當的設備之範例,可使用為包括在前及/或後步驟之其他方法而設計的其他設備。例如,在使用寬頻UV源的另一實施例中,基板固持器為旋轉料架。與固定的支座基板固持器不同的係,基板不相對於旋轉料架移動。在基板裝載於旋轉料架上之後,旋轉料架可視所需旋轉,以將基板暴露到來自UV燈組的光。旋轉料架在暴露週期期間靜止。在暴露週期之後,旋轉料架旋轉以將各基板推進到下一個燈組進行暴露。加熱及冷卻元件可嵌入旋轉的旋轉料架中。替代地,旋轉料架與加熱器板接觸,或固持基板使得基板被停置在加熱器板上方。
在一些實施例中,將基板暴露到來自聚焦的(而非泛光的)燈的UV輻射。與基板在暴露期間靜止(如圖7A及7B)的寬頻來源實施例不同的係,在基板被掃描而暴露到聚焦光之期間,基板與光源之間具有相對移動。在其他實施例中,基板可相對於光源旋轉,以使整個基板上的任何強度差異達到平衡。
在一些實施例中,控制器係為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓基座、氣體氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器編寫程式以控制本文所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、燈設定、波長設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進入多站工具之晶圓傳送、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造或處理期間,完成一或更多的處理步驟。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
不限制地,例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、反應性及/或非反應性UV熱處理腔室、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
上述之各種硬體與方法實施例可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用。一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。
膜的微影圖案化一般包含部分或所有下列操作(每一個操作係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(例如具有矽碳化物模型成於其上之基板)上;(2)使用加熱板、或加熱爐、或其他適當的固化工具將光阻固化;(3)以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台或噴霧式光阻塗佈機之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。在一些實施例中,在塗佈光阻之前可沉積可灰化硬遮罩層(例如非晶碳層)或另一適當的硬遮罩(例如抗反射層)。
應瞭解,本文所述之配置及/或方法本質上為例示性, 且這些具體實施例或範例不被認為具有限制性,因為可能有許多變化。本文所述之具體例行工作或方法可呈現任何數量之處理策略中之一或更多者。因此,所描述之許多動作可以所描述之順序、以其他順序、平行地執行,或在一些例子中可省略。同樣地,可改變上述處理之順序。
本發明之標的包括各種製程、系統及形構、及本文揭露之其他特徵、功能、動作、及/或性質的所有新穎且非顯而易見的組合與次組合,以及任何其中之等效應用。
501‧‧‧操作
502‧‧‧操作
503‧‧‧操作
505‧‧‧操作
671‧‧‧腔室
673‧‧‧支座
675‧‧‧窗口
677‧‧‧反光器
679‧‧‧燈
681‧‧‧反光器
687‧‧‧反光器
689‧‧‧燈
701‧‧‧腔室
703‧‧‧站
704‧‧‧間隙
705‧‧‧站
707‧‧‧站
709‧‧‧站
711‧‧‧指向器
713‧‧‧基板
715‧‧‧基板
719‧‧‧傳遞銷
721‧‧‧指向器板
723‧‧‧支座
725‧‧‧支座
731‧‧‧運動機制
733‧‧‧UV源組/燈組
735‧‧‧UV源組/燈組
743‧‧‧窗口
745‧‧‧窗口
753‧‧‧冷鏡
755‧‧‧冷鏡
圖1呈現在使用氧或二氧化碳作為反應物氣體之反應性UV熱處理操作期間,關於膜之收縮度v.s.反應物氣體之流量的資料。
圖2A圖解與二氧化碳之吸收截面積相關的趨勢線。
圖2B圖解與臭氧之吸收截面積相關的趨勢線。
圖3圖解與二氧化碳在不同溫度下之吸收截面積相關的趨勢線。
圖4呈現與膜之介電常數相關之資料,該等膜係使用某些揭露的反應性UV熱處理操作來製備。
圖5描繪一流程圖,其說明根據某些實施例使用反應性UV熱處理來製備低k膜的方法。
圖6根據某些揭露之實施例圖解一反應腔室。
圖7A與7B根據某些揭露之實施例描繪多站反應腔室。

Claims (22)

  1. 一種製備基板上的膜的方法,該方法包含下列步驟: 將基板接收於一處理腔室中,該基板具有位於其上的膜,其中該膜包括含有成孔劑及一結構形成物的一含碳介電膜,該膜具有第一介電常數; 使一處理氣體流進該反應腔室中,並將該基板暴露到該處理氣體的流量,其中該處理氣體包含二氧化碳及惰性載氣; 將該基板與該處理氣體暴露到紫外線(UV)輻射,其中該UV輻射包含 造成該處理氣體中的一部分的二氧化碳的光解離的波長,而藉此形成一氧化碳與氧自由基;並且 使該基板上的膜與該等氧自由基進行反應而藉此將該等成孔劑從該膜中移除,進而將該膜的介電常數降低至第二介電常數。
  2. 如申請專利範圍第1項之製備基板上的膜的方法,其中該UV輻射包含約185-230 nm之間的波長。
  3. 如申請專利範圍第2項之製備基板上的膜的方法,其中該UV輻射包含約190-210 nm之間的波長。
  4. 如申請專利範圍第1項之製備基板上的膜的方法,其中在該反應腔室中的二氧化碳的分壓在約0.1-10 T之間。
  5. 如申請專利範圍第4項之製備基板上的膜的方法,其中在該反應腔室中的二氧化碳的該分壓在約1-2 T之間。
  6. 如申請專利範圍第1項之製備基板上的膜的方法,其中以體積流率計算,該處理氣體包含約5-30%之間的二氧化碳。
  7. 如申請專利範圍第6項之製備基板上的膜的方法,其中以體積流率計算,該處理氣體包含約10-25%之間的二氧化碳。
  8. 如申請專利範圍第1-7項中之任一項之製備基板上的膜的方法,其中該第二介電常數在約2.2-2.25之間。
  9. 如申請專利範圍第1-7項中之任一項之製備基板上的膜的方法,其中該處理氣體不包含氧分子(O2 )。
  10. 如申請專利範圍第9項之製備基板上的膜的方法,其中該處理氣體不包含任一下列物種:二氧化氮(NO2 )、一氧化氮(NO)、臭氧 (O3 )、及過氧化氫(H2 O2 )。
  11. 如申請專利範圍第1-7項中之任一項之製備基板上的膜的方法,其中將該基板與該處理氣體暴露到UV輻射之步驟包含執行階段式固化操作,其中在第一階段期間暴露到該基板的UV條件與在第二階段期間暴露到該基板的UV條件不同。
  12. 如申請專利範圍第1-7項中之任一項之製備基板上的膜的方法,其中該反應腔室包含用以同時地處理複數基板的複數站。
  13. 如申請專利範圍第12項之製備基板上的膜的方法,其中該反應腔室包含至少第一UV輻射源以及第二UV輻射源,該第一UV輻射源將UV輻射提供到第一站且該第二UV輻射源將UV輻射提供到第二站,該方法更包含下列步驟: 調變該第一與第二UV輻射源中之至少一者,以對該第一及第二站中的二氧化碳的光解離進行獨立調變。
  14. 如申請專利範圍第13項之製備基板上的膜的方法,其中調變該第一與第二UV輻射源中之至少一者之步驟包含改變從該第一與第二UV輻射源中之至少一者暴露到該基板的波長的範圍。
  15. 如申請專利範圍第12項之製備基板上的膜的方法,其中該反應腔室至少包含用以將UV輻射提供到第一站的第一UV輻射源、以及用以將UV輻射提供到第二站的第二UV輻射源,其中該第一UV輻射源將該基板暴露到波長使二氧化碳光解離的輻射,且其中該第二UV輻射源將該基板暴露到波長未實質地使二氧化碳光解離的輻射。
  16. 如申請專利範圍第1-7項中之任一項之製備基板上的膜的方法,其中該膜具有約200nm或更薄的厚度。
  17. 如申請專利範圍第1-7項中之任一項之製備基板上的膜的方法,其中相較於該反應腔室中遠離基板的位置,二氧化碳的光解離優先地發生在鄰近該基板之處。
  18. 如申請專利範圍第17項之製備基板上的膜的方法,其中在將該基板與該處理氣體暴露到UV輻射之步驟期間,在該基板以及在UV輻射到達該基板之前所通過之窗口之間具有至少約150°C的溫差。
  19. 一種方法,包含下列步驟: 將基板接收於一處理腔室中,該基板具有位於其上的膜,其中該膜包含成孔劑及結構形成物;並且 將該基板暴露到處理氣體, 同時將該基板暴露到紫外線(UV)輻射,藉此將該等成孔劑移除,其中以體積流率計算,該處理氣體包含惰性載氣以及約5-30%之間的二氧化碳。
  20. 如申請專利範圍第19項之方法,其中該UV輻射包含約185-230 nm之間的波長。
  21. 如申請專利範圍第18或19項之方法,其中該處理氣體實質上無氧分子。
  22. 一種用以製備低k介電膜的設備,該設備包含: 一反應腔室; 一基板固持器,用以將基板固持於該反應腔室中; 一紫外線(UV)輻射源,配置以將UV輻射輸送到位於該基板固持器上的基板; 一入口,用以將處理氣體提供到該反應腔室中;以及一出口,用以將材料從該反應腔室中移除;以及 一控制器,其包含用於下列操作之指令: 將該基板暴露到該處理氣體, 同時將該基板暴露到來自該UV輻射源的UV輻射,藉此將成孔劑從該基板上的暴露的膜中移除,其中以體積流率計算,該處理氣體包含惰性載氣以及約5-30%之間的二氧化碳。
TW104137093A 2014-11-18 2015-11-11 低介電常數材料之反應性紫外線熱處理 TW201630990A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/546,990 US20160138160A1 (en) 2014-11-18 2014-11-18 Reactive ultraviolet thermal processing of low dielectric constant materials

Publications (1)

Publication Number Publication Date
TW201630990A true TW201630990A (zh) 2016-09-01

Family

ID=55961166

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104137093A TW201630990A (zh) 2014-11-18 2015-11-11 低介電常數材料之反應性紫外線熱處理

Country Status (3)

Country Link
US (1) US20160138160A1 (zh)
KR (1) KR102539941B1 (zh)
TW (1) TW201630990A (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10354857B2 (en) * 2016-12-23 2019-07-16 Lam Research Corporation High power low pressure UV bulb with plasma resistant coating
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7560144B2 (en) * 2005-03-22 2009-07-14 Asm Japan K.K. Method of stabilizing film quality of low-dielectric constant film
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same

Also Published As

Publication number Publication date
US20160138160A1 (en) 2016-05-19
KR20160059435A (ko) 2016-05-26
KR102539941B1 (ko) 2023-06-02

Similar Documents

Publication Publication Date Title
TW201630990A (zh) 低介電常數材料之反應性紫外線熱處理
US8629068B1 (en) Multi-station sequential curing of dielectric films
US9873946B2 (en) Multi-station sequential curing of dielectric films
TWI723074B (zh) 紫外光可流動介電質用設備
TWI464805B (zh) 低介電常數介電質之整合方法
US7470454B2 (en) Non-thermal process for forming porous low dielectric constant films
US20070299239A1 (en) Curing Dielectric Films Under A Reducing Atmosphere
EP3231892B1 (en) Mechanical enhancement of dense and porous organosilicate materials by uv exposure
US20060040507A1 (en) Method for depositing porous films
KR100627098B1 (ko) 저유전율 절연막의 형성 방법
KR101233059B1 (ko) 유전 물질을 처리하는 장치 및 프로세스
US20030232495A1 (en) Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
TW201729245A (zh) 透過紫外光驅動之光聚合作用的低介電常數介質沉積
CN101960556B (zh) 用于固化多孔低介电常数电介质膜的方法
TW201237959A (en) Carbon containing low-k dielectric constant recovery using UV treatment
CN105374676B (zh) 低k电介质膜的形成
US9850574B2 (en) Forming a low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties
KR20150010720A (ko) Uv 기반 실릴화 챔버 세정을 위한 방법
JP2009094503A (ja) 紫外線による材料キュアのための半導体処理装置及び方法
US9050623B1 (en) Progressive UV cure
TWI581331B (zh) 降低多孔低k膜的介電常數之方法
US9502255B2 (en) Low-k damage repair and pore sealing agents with photosensitive end groups
JP4631035B2 (ja) シリカ薄膜及びその製造方法
KR101653907B1 (ko) 경화 다공질 유전체로부터 다시 충전되는 기공 충전제를 제거하는 방법
JP2009021442A (ja) 多孔質膜の成膜方法およびコンピュータ可読記録媒体