TW201604921A - 氣體輸送系統之單片陶瓷元件與其製造方法及使用方法 - Google Patents

氣體輸送系統之單片陶瓷元件與其製造方法及使用方法 Download PDF

Info

Publication number
TW201604921A
TW201604921A TW104111077A TW104111077A TW201604921A TW 201604921 A TW201604921 A TW 201604921A TW 104111077 A TW104111077 A TW 104111077A TW 104111077 A TW104111077 A TW 104111077A TW 201604921 A TW201604921 A TW 201604921A
Authority
TW
Taiwan
Prior art keywords
delivery system
gas
gas delivery
ceramic component
monolithic ceramic
Prior art date
Application number
TW104111077A
Other languages
English (en)
Other versions
TWI659446B (zh
Inventor
約翰 達芬提
艾克柏 沙瑞夫
麥克 因格梅爾斯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201604921A publication Critical patent/TW201604921A/zh
Application granted granted Critical
Publication of TWI659446B publication Critical patent/TWI659446B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28BSHAPING CLAY OR OTHER CERAMIC COMPOSITIONS; SHAPING SLAG; SHAPING MIXTURES CONTAINING CEMENTITIOUS MATERIAL, e.g. PLASTER
    • B28B1/00Producing shaped prefabricated articles from the material
    • B28B1/001Rapid manufacturing of 3D objects by additive depositing, agglomerating or laminating of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • C04B35/111Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/14Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on silica
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/46Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on titanium oxides or titanates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/56Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides
    • C04B35/563Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides based on boron carbide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/56Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides
    • C04B35/565Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides based on silicon carbide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/581Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/584Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/64Burning or sintering processes
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/66Monolithic refractories or refractory mortars, including those whether or not containing clay
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y10/00Processes of additive manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y30/00Apparatus for additive manufacturing; Details thereof or accessories therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles

Abstract

一半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中該氣體輸送系統建構以將處理氣供應到一氣體分配構件(安置於氣體輸送系統之下游)。該氣體分配構件建構以將處理氣供應到該半導體基板設備的一真空腔室之處理區,其中該處理區設置在將要被處理的半導體基板之上表面上方。該方法包含,準備一陶瓷材料的生胚。將該陶瓷材料的生胚成形為該氣體輸送系統的期望單片陶瓷元件之型件。燒製該成形的陶瓷材料的生胚以形成該氣體輸送系統的單片陶瓷元件。

Description

氣體輸送系統之單片陶瓷元件與其製造方法及使用方法
【相關申請案之交互參照】
本申請案依美國專利法35 U.S.C. §119(e),主張美國臨時專利申請案第61/976295號之優先權(申請日為2014年4月7日),該案在本發明中以全文加入參考資料。
本發明係關於半導體基板處理設備,更具體而言,係關於氣體輸送系統與氣體輸送系統的製造方法,氣體輸送系統係用以將處理氣輸送到該半導體基板處理設備之真空腔室中。
當使用半導體基板處理設備在處理半導體基板,使用的技術包括(但不限於):電漿蝕刻、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿強化原子層沉積(PEALD)、離子佈植、以及光阻移除等。半導體基板處理設備包含氣體輸送系統,處理氣流經其中,並隨後經由一氣體分配構件(例如噴淋頭、氣體噴嘴、氣體環、或其他相似構件),而傳輸進入該設備之一真空腔室的處理區。例如,該氣體輸送系統可建構以將處理氣供應到一氣體噴嘴(位於真空腔室中半導體基板之上方),俾能將處理氣分配到在真空腔室中被處理的半導體基板之表面上。目前的氣體輸送系統由許多個別的元件組裝而成,而許多該個別的元件具有導管於其內,而處理氣流經其中。在個別的元件的接合處之間需要有密封件,使得處理氣在經由組裝的氣體輸送系統之導管而供應時,不會發生滲漏。此外,許多氣體輸送系統之元件為金屬製(例如不鏽鋼),其中金屬汙染物會因腐蝕、侵蝕、及/或腐蝕/侵蝕而自形成元件導管且被處理氣濕潤的表面釋出,導致在處理半導體基板時可能會汙染半導體基板。因此,需要有包含較少個別元件的氣體輸送系統,更進一步而言,吾人期望其中之該等元件係抗腐蝕、抗侵蝕、以及抗腐蝕/侵蝕性。
本發明揭露一半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中該氣體輸送系統建構以將處理氣供應到設置於其下游的一氣體分配構件。該氣體分配構件建構以將處理氣供應到該半導體基板設備之一真空腔室的處理區,其中該處理區設置在將要處理的半導體基板之上表面上方。該方法包含,準備一陶瓷材料的生胚。將該陶瓷材料的生胚成形為該氣體輸送系統之期望單片陶瓷元件之型件。燒製該成形的陶瓷材料的生胚,以形成該氣體輸送系統之單片陶瓷元件。
本發明另外揭露一半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中該氣體輸送系統建構以將處理氣供應到設置於其下游的一氣體分配構件。該氣體分配構件建構以將處理氣供應到該半導體基板設備之一真空腔室的處理區,其中該處理區設置在將要處理的半導體基板之上表面上方。該方法包含,準備多個陶瓷材料的生胚層。將該等陶瓷材料的生胚層一層一層地重疊,以形成陶瓷材料的生胚,其符合氣體輸送系統之期望單片陶瓷元件之型件。將該陶瓷材料的生胚之各層圖案化,使得一或更多的垂直、斜角、及/或水平的導管形成在該成形的陶瓷材料的生胚中,該等導管用以供應處理氣通過其中,亦使得一或更多的輸入部及一或更多的輸出部形成在該成形的陶瓷材料的生胚中。燒製該成形的陶瓷材料的生胚,以形成該氣體輸送系統之單片陶瓷元件。
本發明揭露一半導體基板處理設備中的氣體輸送系統之單片陶瓷元件,以及氣體輸送系統之單片陶瓷元件的製造方法,其中該單片陶瓷元件為抗腐蝕、抗侵蝕、以及抗腐蝕/侵蝕性,使得在半導體基板處理期間,減少半導體基板的金屬汙染。當使用半導體基板處理設備在處理半導體基板時,使用的技術包括(但不限於):電漿蝕刻、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿強化原子層沉積(PEALD)、離子佈植、或光阻移除。在接下來的細節描述中,將闡述許多具體的實施例,以提供本發明之全面性的理解。然而很明顯的是,對於該發明所屬技術領域具有通常知識者而言,毋須一部分或全部的該等具體的細節,而能實施該等實施例。在其他例子中,為了避免不必要地混淆揭露於此之實施例,熟知的製程操作沒有詳細地敘述。此外,用於本發明中與數值連接的詞彙「大約」,係意指正負百分之10。
隨著積體電路裝置的物理尺寸以及其操作電壓不斷變小,其相關的製造良率對於粒子及金屬雜質的汙染則變得更敏感。故此,與先前尚可被接受的粒狀物及金屬汙染的程度相比,製造具有更小物理尺寸的積體電路裝置要求更低程度的粒狀物及金屬汙染。
因此,在半導體基板之表面上的積體電路的製造期間,控制該表面上的粒狀物汙染,有利於得到可靠的裝置,並達到高良率。當被處理氣濕潤的氣體輸送系統之元件之金屬表面受到腐蝕及/或侵蝕時,處理儀器(例如半導體基板處理設備中的氣體輸送系統)就可能成為粒狀物汙染來源。金屬汙染的例子包括(但不限於此)具有下列物質的粒狀物:鋁、鋇、鈣、鈰、鉻、銅、鎵、銦、鐵、鋰、鎂、鎳、鉀、鈉、鍶、錫、鈦、釩、釔、鋅、鋯、及/或其結合。
半導體基板處理設備中的氣體分配系統可利用氣體桿,其為一連串的氣體的分配與控制元件,例如一質流控制器、一或更多的壓力傳訊器及/或調節器、一加熱器、一或更多的過濾器或純化器、分歧管、氣流配接器、以及節流閥。可根據該等元件的設計與應用,來變化使用的元件以及其在氣體桿中的特定配置,而在本發明領域中已知許多元件的配置。例如,在一半導體基板處理的配置中,超過十七種的處理氣可經由氣體供應線路以及氣體分配系統之元件,而供應到處理腔室中。將該氣體分配系統之元件附加到一基底板(即氣體架座),形成一稱為「氣體面板」或「氣體箱」的系統。
如上方解釋,在半導體製程中,隨著半導體裝置的尺寸變小,且能容納更多元件的空間變少,製程逐漸不耐於粒狀物汙染。粒狀物汙染來源可包括在氣體桿與混合分歧管(用於將氣體從高純度氣體來源輸送到處理腔室)中的金屬元件,而粒狀物汙染物通常在處理腔室中附著於正在處理中的半導體基板上。另一粒狀物汙染來源為在氣體輸送系統之個別元件的保養及修理或更換期間,該等元件暴露到室內空氣。
因此,本發明揭露氣體輸送系統之單片陶瓷元件,以及氣體輸送系統之單片陶瓷元件的製造方法,其中該單片陶瓷元件係抗腐蝕、抗侵蝕、以及抗腐蝕/侵蝕性,使得在半導體基板處理期間,減少半導體基板的金屬汙染。該單片陶瓷元件較佳地包含導管,而處理氣可流經其中。如本文所使用之詞彙,導管可指涉通道(channel)、小管子( tube)、配線埠(routing port)、大管子( pipe),或其他允許該單片陶瓷元件之至少兩位置之間的氣體或流體連通的相似物。較佳地是,一或更多的垂直、斜角、及/或水平的導管設置在該單片陶瓷元件中,以及一或更多的輸入部與一或更多的輸出部形成在該單片陶瓷元件中。此外,比起在先前技術中能得到的元件,該單片陶瓷元件的製造方法允許以較少的構成元件形成更大的元件,其中該等元件具有期望的導管路徑,用以讓處理氣流經其中。例如,先前技術中的氣體輸送系統之元件為金屬製(例如不鏽鋼),其中為了達到期望的導管路徑使處理氣流經其中,又由於加工先前技術中金屬構成元件之容許度,並且要求將保護層塗布在被處理濕潤的表面 (形成先前技術中構成元件之導管)上,因此構成元件必需被組裝在一起,而在構成元件之間需要有接合處與密封件。然而,因為該單片陶瓷元件係由陶瓷材料的生胚成形並燒製而成,故不需為了達到構成元件中期望的導管路徑,而將構成元件組裝在一起,而在構成元件之間亦不需要有接合處與密封件,因此能允許形成更大的元件。此外,因為形成元件之導管的被處理濕潤的表面係抗腐蝕、抗侵蝕、以及抗腐蝕/侵蝕性,所以不需將保護層塗布於其上。
形成該單片陶瓷氣體輸送的陶瓷材料,可為高純度的矽、碳化矽(SiC)、氧化矽、氮化矽、碳化硼、氮化鋁、氧化鈦、氧化鋁、氧化鋯、氧化鈹、或氧化鈰。較佳的是,形成該氣體輸送系統之單片陶瓷元件的陶瓷材料選自於由氧化物材料、氮化物材料、硼化物材料、氟化物材料、以及碳化物材料組成的群組。藉由使用陶瓷作為該氣體輸送系統之單片陶瓷元件的材料,使元件對於化學濺鍍有高抵抗力 (例如濺鍍的碳化矽形成矽和碳,其不會影響裝置的性能),並且為了避免腐蝕及/或崩壞,以及之後與其相關的粒子生成物,使元件在含氧、鹵素、及/或氫氟碳處理氣、或用於處理半導體基板的處理氣(例如氯氣、氯化氫、氯化硼、溴氣、溴化氫、氧氣、氧化硫、四氟化碳、二氟甲烷、三氟化氮、氟甲烷、三氟甲烷、及六氟化硫,但不限於此)的環境中,係抗腐蝕、抗侵蝕、以及抗腐蝕/侵蝕性。
圖1描繪半導體基板處理設備之一實施例,該半導體基板處理設備可包含具有如本發明所揭露的單片陶瓷元件的一氣體輸送系統234。如圖1所示,一感應耦合電漿處理設備可包含一真空腔室200(即電漿蝕刻腔室)。該真空腔室200包含一基板支座215(下電極組件),用以將半導體基板214支撐在該真空腔室200之內部。一介電窗20形成該真空腔室200之頂壁。處理氣經由一氣體噴嘴22注入到真空腔室200之內部。該氣體輸送系統234經由該氣體噴嘴22將處理氣供應到該真空腔室200之內部。藉由該氣體輸送系統234供應到該真空腔室200之內部的處理氣之參數(例如溫度、流速,以及化學成分)較佳地由一控制系統385控制。
透過一天線18將能量供應到真空腔室200之內部,一旦處理氣被引導進入真空腔室200之內部,就會激發到電漿狀態。更佳的是,該天線18為外部平面式天線,由一射頻功率來源240以及射頻阻抗匹配電路238供電,而將射頻能量感應耦合到該真空腔室200中。然而在一替代實施例中,該天線18可為非平面式的外部或崁入式天線。透過施加射頻功率到天線18而產生的電磁場,而激發在真空腔室200之內部的處理氣,進而形成在基板214之上的高密度電漿(例如109 -1012 離子/cm3 )。在蝕刻處理期間,該天線18(即射頻線圈),執行類似於變壓器中的主線圈的功能,而該產生於真空腔室200中的電漿,執行類似於變壓器中的次線圈的功能。較佳的是,該天線18經由一電性連接件238b(即引線),電性地連接到該射頻阻抗匹配電路238,且該射頻功率來源240經由一電性連接件240b,電性地連接到該射頻阻抗匹配電路238。
圖2為半導體基板處理設備處理中的例示性氣體輸送系統500之示意圖。經由一氣體供應管線514,將處理氣供應到半導體基板處理設備之一真空腔室510中。該氣體供應管線512可將處理氣供應到氣體分配構件,例如一噴淋頭或一氣體噴嘴(安排在該真空腔室510上部,且在該氣體輸送系統500的下游)。此外,該氣體供應管線514可將處理氣體供應到該真空腔室510之下部如,舉例來說,供應到圍繞該半導體基板支座的氣體分配環,或供應經過安排在該基板支座內的氣體出口。可從氣體供應源516、518、520、530,將處理氣供應到氣體供應管線514,將從氣體供應源516、518、520、530而來的處理氣,分別地供應到MFC(質流控制器) 522、524、526、532。該MFC 522、524、526、532將處理氣供應到一混合分歧管528,之後將混合的處理氣導引至該氣體流管線514。該氣體輸送系統500較佳地具有一或更多的單片陶瓷元件。例如,該混合分歧管528較佳地為該氣體輸送系統500之單片陶瓷元件。
圖3描繪氣體桿700之橫剖面圖,該氣體桿700可包含一單片陶瓷元件,且可包含在如本發明所揭露的氣體輸送系統之內。雖然以特定元件來描繪該氣體桿700,但吾人不欲限制該特定元件,而可用不同的元件及/或可用較少或較多的元件,來形成該氣體桿700。此外,雖然以單獨的氣體桿來描述,但吾人不欲限制該氣體桿700的數量。如上所述,多個氣體桿形成一氣體箱或氣體面板。在一實施例中,元件上的各閥可為整合表面安裝閥。大致來說,整合表面安裝元件(integrated surface mount component)為在一架座組件上之氣體控制元件(例如閥、濾器等),其經由通道(例如導管)連接到其他氣體控制元件,該等氣體控制元件安裝在該架座組件上。
該氣體桿700具有一氣體桿輸入部702,用以輸入供應氣。可使用一人工操作閥704來執行供應氣的供應或供應的斷開。該人工操作閥704亦可具有一上鎖/掛牌(lockout/tagout)設備706於其上。作業員安全規範通常明定,電漿處理操作儀器須具備動力源管制能力,例如上鎖/掛牌機制。通常上鎖設備係使用實際手段以將斷能設備保持於安全位置之設備,例如鎖(鑰匙或密碼類型)。根據規定的操作程序,掛牌設備通常為任何顯眼的警告設備,例如一標誌和一附屬品的手段,其可牢靠地固附到該斷能設備。
可使用一調節器708來調節處理氣的氣體壓力,且可使用一壓力計710來偵測處理氣的氣體壓力。在一實施例中,該氣體壓力為預設的,且不需要調節。在另一實施例中,可使用具有顯示器來顯示壓力的一壓力傳訊器(未描繪) 。可將該壓力傳訊器放置在該調節器708的旁邊。可使用一過濾器712來移除處理氣中的雜質。可使用一主節流閥714來避免任何腐蝕性供應氣留在該氣體桿700中。該主節流閥714可為兩埠式閥,具有一自動氣動閥組件,其使主節流閥714停止運作 (關閉),因此有效地阻止電漿氣流進該氣體桿700中。一旦停止運作,可使用非腐蝕性淨化氣體(例如氮氣)來淨化該氣體桿700。淨化閥716可具有三埠以提供淨化處理—一入口埠、一出口埠及一排氣埠。
一質流控制器(“MFC”)718可相鄰於該淨化閥716。該MFC718精確地量測處理氣的流速。將該淨化閥716放置在該MFC718側邊,允許使用者可淨化任何在該MFC718中的腐蝕性處理氣。可使用相鄰於該MFC718的一混合閥720來控制將要與氣體面板上的其他氣體混合的處理氣的總量。
該氣體桿700的各元件較佳地位在一陶瓷混合分歧管722之上。多個分歧管區段可形成該陶瓷混合分歧管722,或更佳的是,該陶瓷混合分歧管722為單片陶瓷混合分歧管722,其產生氣體流經氣體桿700的氣流路徑。可藉由任何已知方法,例如使用壓力接口密封劑(如C-密封膠)或其他相似方法,將額外的氣體輸送系統之元件放置在該分歧管區段之上。
為製造單片陶瓷元件,首先準備陶瓷材料的生胚。該陶瓷材料的生胚較佳地由包含至少一種陶瓷材料的漿料形成。在一實施例中,可藉由將陶瓷粉末、黏合劑、以及液體的混合物加壓成形為生胚,來形成該陶瓷材料的生胚。該陶瓷材料的生胚可成形為任何氣體輸送系統之元件的形狀。陶瓷製程技術的細節描述可從W. D. Kingery, H. K. Bowen, and D. R. Uhlmann, 《陶瓷材料導論(第二版)》(Introduction to Ceramics, 2nd Edition) (J. Wiley & Sons, 1976)中找到。該細節描述在本發明中以全文加入參考資料。從陶瓷材料的生胚形成陶瓷元件之例示性實施例可見於共同受讓之美國專利申請案第6780787號,該案在本發明中以全文加入參考資料。形成氮化矽的陶瓷材料之例示性實施例可見於共同受讓之美國專利申請案第8622021號,該案在本發明中以全文加入參考資料。
將該陶瓷材料的生胚成形為該氣體輸送系統之單片陶瓷元件之期望的型件。之後燒製成形的陶瓷材料的生胚,以形成該氣體輸送系統之單片陶瓷元件,其中燒製較佳地經由燒結該成形的陶瓷材料的生胚來執行。該成形的陶瓷材料的生胚較佳地包含一或更多的導管形成於其中,使得在燒製生胚、形成單片陶瓷元件、以及將單片陶瓷元件組裝到該氣體輸送系統後,處理氣能流經該導管。可藉由在該成形的生胚中沖孔成形來形成該一或更多的導管。較佳的是,該一或更多的導管為位於單片陶瓷元件中的垂直的、斜角的、及/或水平的導管。此外,一或更多的輸入部及一或更多的輸出部形成在該單片陶瓷元件中。在一替代實施例中,可藉由將個別的陶瓷材料的生胚層互相層疊,而將該陶瓷材料的生胚成形為該氣體輸送系統之單片陶瓷元件之期望的型件,其中每層各自地圖案化成符合期望的單片陶瓷元件的層。在此方法中,可安排該陶瓷材料的生胚的圖案化層,使得該成形的陶瓷材料的生胚符合單片陶瓷元件之期望的型件,包括一或更多的導管(可能為垂直、斜角、及/或水平的),其中該一或更多的導管在該單片陶瓷元件之內部形成期望的導管路徑,讓處理氣流經其中。較佳的是,一或更多的輸入部及一或更多的輸出部形成在該陶瓷材料的生胚中,使得該一或更多的輸入部及一或更多的輸出部符合單片陶瓷元件之期望的型件,其中該一或更多的輸入部及一或更多的輸出部與該一或更多的導管流體連通。該輸入部較佳地建構以接收流經其中的處理氣,而該輸出部較佳地建構以將處理氣供應到半導體基板處理設備的下游元件。
在一替代實施例中,該陶瓷材料的生胚可形成在一含碳預成形物或一聚合物預成形物的周圍,其中該預成形物係成形為對應到期望的元件之內部凹孔結構(即在該單片陶瓷元件之內部的期望的通道路徑)的型件。之後可將該預成形物從該成形的陶瓷材料的生胚之內部中移除,藉由加熱該預成形物,可促進預成形物自該成形的陶瓷材料的生胚中移除。替代地,可在燒製該成形的陶瓷材料的生胚期間或之後,將該預成形物移除。從一陶瓷元件之內部形成或移除一預成形物的例示性實施例,可見於共同受讓之美國專利申請案第13/766096號,該案在本發明中以全文加入參考資料。
在半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法之一實施例中,可將一陶瓷材料的生胚成形。該陶瓷材料的生胚較佳地被一層一層地疊起來,藉由將該個別的陶瓷材料的生胚層疊起來,而形成符合氣體輸送系統之單片陶瓷元件之期望的型件的陶瓷材料的生胚。該陶瓷材料的生胚之各層較佳地被圖案化,使得一或更多的用於供應處理氣經過其中的導管,在各層成形之後設置在該成形的陶瓷材料的生胚中。較佳的是,當陶瓷材料的生胚之各層,被疊成氣體輸送系統之單片陶瓷元件之期望的型件之時,燒製該等層,以形成氣體輸送系統之單片陶瓷元件。一非暫態電腦可讀取媒介較佳地包含用於執行該單片陶瓷元件的製造方法的程式指令。該程式指令較佳地包含待層疊的陶瓷材料的生胚之各層的圖案化,藉此形成該單片陶瓷元件之期望的型件。在一實施例中,該陶瓷材料的生胚之各層可經3-D列印成為該單片陶瓷元件之期望的型件。在本實施例中,非暫態電腦可讀取媒介較佳地包含程式指令,該程式指令包含待層疊的陶瓷材料的生胚之各層的圖案化,亦包含燒製該陶瓷材料的生胚以形成單片陶瓷元件的配方。較佳的是,當該各層被疊起之時,藉由燒結該成形的陶瓷材料的生胚,來執行燒製該成形的陶瓷材料的生胚。該單片陶瓷元件較佳地被組裝在半導體基板處理設備中的氣體輸送系統。
較佳的是,該單片陶瓷元件為該氣體輸送系統之一氣體桿、一分歧管、一氣體架座、或一流體配接器區段的一元件。一流體配接器區段之例示性實施例可見於共同受讓之美國專利申請案第8322380號,該案在本發明中以全文加入參考資料。該流體配接器區段較佳地包含一或更多的水平或垂直的導管於其中,其中該導管與一或更多的輸入部或一或更多的輸出部流體連通。氣體桿與混合分歧管之例示性實施例可見於共同受讓之美國專利申請案第2010/0326554號,該案在本發明中以全文加入參考資料。該混合分歧管較佳地包含一或更多的水平或垂直的導管於其中,其中該導管與一或更多的輸入部或一或更多的輸出部流體連通。例如一單片陶瓷混合分歧管可形成用以支撐氣體桿中的氣體輸送元件。較佳的是,各該氣體桿中的氣體輸送元件被支撐在一支撐物件(即氣體架座)之上表面,該支撐物件包含輸入部,用以接收個別的氣體輸送元件,其中該輸入部與設置在其下方的互聯通道路徑流體連通。較佳的是,該支撐物件之互聯通道路徑的垂直通道,通向一水平的共同分歧管路徑。
半導體基板可在半導體基板在半導體基板處理設備中處理,該設備包括氣體輸送系統,該氣體輸送系統包括如本發明所揭露的單片陶瓷元件。較佳的是,藉由將處理氣從氣體輸送系統供應到該處理設備之真空腔室中,來處理該半導體基板。將該處理氣引導進入該處理設備之真空腔室之處理區。之後使用處理氣來處理該半導體基板。較佳的是,半導體基板的處理方法包括將傳導性或介電性材料沉積在該半導體基板上。替代地,在一優選實施例中,半導體基板的處理方法包括電漿蝕刻該半導體基板上的層,其中該層為金屬層、介電層、或光阻劑。
雖然揭露於此之實施例已參考具體的實施例加以詳細描述,顯然地對於該發明所屬技術領域中有通常知識者而言,可不背離隨附申請專利範圍之範疇,而做出或等效應用多樣的改變與改良。
18‧‧‧天線
20‧‧‧介電窗
22‧‧‧氣體噴嘴
200‧‧‧真空腔室
214‧‧‧半導體基板
215‧‧‧基板支座
234‧‧‧氣體傳輸系統
238‧‧‧射頻阻抗匹配電路
238b‧‧‧電性連接件
240‧‧‧射頻功率來源
240b‧‧‧電性連接件
385‧‧‧控制系統
500‧‧‧氣體輸送系統
510‧‧‧真空腔室
514‧‧‧氣體供應管線
516‧‧‧氣體供應源
518‧‧‧氣體供應源
520‧‧‧氣體供應源
522‧‧‧質流控制器
524‧‧‧質流控制器
526‧‧‧質流控制器
528‧‧‧混合分歧管
530‧‧‧氣體供應源
532‧‧‧質流控制器
700‧‧‧氣體桿
702‧‧‧氣體桿輸入部
704‧‧‧人工操作閥
706‧‧‧上鎖/掛牌設備
708‧‧‧調節器
710‧‧‧壓力計
712‧‧‧過濾器
714‧‧‧主節流閥
716‧‧‧淨化閥
718‧‧‧質流控制器
720‧‧‧混合閥
722‧‧‧陶瓷混合分歧管
圖1描繪根據本發明揭露之實施例的一半導體基板處理設備之一實施例。
圖2為根據本發明所揭露之實施例的一氣體處理系統之一示意圖。
圖3描繪氣體桿之一實施例,其可包含根據本發明所揭露之實施例的一單片陶瓷元件。
700‧‧‧氣體桿
702‧‧‧氣體桿輸入部
704‧‧‧人工操作閥
706‧‧‧上鎖/掛牌設備
708‧‧‧調節器
710‧‧‧壓力計
712‧‧‧過濾器
714‧‧‧主節流閥
716‧‧‧淨化閥
718‧‧‧質流控制器
720‧‧‧混合閥
722‧‧‧陶瓷混合分歧管

Claims (20)

  1. 一種半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,該氣體輸送系統建構以將處理氣供應到安置於其下游的一氣體分配構件,該氣體分配構件建構以將處理氣供應到該設備的一真空腔室之處理區,其中該處理區設置在將要被處理的半導體基板之上表面上方,該單片陶瓷元件的製造方法包含: 準備一陶瓷材料的生胚; 將該陶瓷材料的生胚成形為該氣體輸送系統的期望單片陶瓷元件之型件;以及 燒製該成形的陶瓷材料的生胚,以形成該氣體輸送系統的單片陶瓷元件。
  2. 如申請專利範圍第1項之半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中燒製該成形的陶瓷材料的生胚之步驟係藉由燒結該成形的陶瓷材料的生胚來執行。
  3. 如申請專利範圍第1項之半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中一或更多的垂直、斜角、及/或水平的導管形成在該成形的生胚中,以及一或更多的輸入部及一或更多的輸出部形成在該成形的生胚中。
  4. 如申請專利範圍第1項之半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中: (a)    藉由將陶瓷材料的生胚的個別的層疊起,而將該陶瓷材料的生胚成形為該氣體輸送系統之期望單片陶瓷元件的型件,該個別的層各自地圖案化成符合期望單片陶瓷元件的層;或者 (b)       該陶瓷材料的生胚形成在一預成形物的周圍,其中該預成形物係成形為與期望單片陶瓷元件之內部凹孔結構相對應的型件,該方法更包含將該預成形物從該成形的陶瓷材料的生胚之內部中移除,藉由加熱該預成形物,而便於從該成形的陶瓷材料的生胚中移除預成形物。
  5. 如申請專利範圍第1項之半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中該陶瓷材料的生胚由包含至少一陶瓷材料之粉末及一黏合劑的漿料形成。
  6. 如申請專利範圍第1項之半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中該陶瓷材料係選自於由氧化物、氮化物、硼化物、氟化物、以及碳化物組成的群組;或選自於由矽、碳化矽(SiC)、氧化矽、氮化矽、碳化硼、氮化鋁、氧化鈦、氧化鋁、氧化鋯、氧化鈹、以及氧化鈰組成的群組。
  7. 一種半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,該氣體輸送系統建構以將處理氣供應到一設置於其下游的氣體分配構件,該氣體分配構件建構以將處理氣供應到該設備之一真空腔室的處理區,其中該處理區設置在將要處理的半導體基板之上表面上方,該單片陶瓷元件的製造方法包含: 準備多個陶瓷材料的生胚層; 將該等陶瓷材料的生胚層一層一層地重疊,以形成陶瓷材料的生胚,其符合該氣體輸送系統之期望單片陶瓷元件的型件,其中該等陶瓷材料的生胚層之各者被圖案化,使得一或更多的垂直、斜角、及/或水平的導管形成在該成形的陶瓷材料的生胚中,該等導管用以供應處理氣通過其中,亦使得一或更多的輸入部及一或更多的輸出部形成在該成形的陶瓷材料的生胚中;以及 燒製該陶瓷材料的生胚,以形成該氣體輸送系統之單片陶瓷元件。
  8. 如申請專利範圍第7項之半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中藉由在各層被疊起之時,燒結該成形的陶瓷材料的生胚,以執行燒製該成形的陶瓷材料的生胚。
  9. 如申請專利範圍第7項之半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中該陶瓷材料的生胚由包含至少一陶瓷材料的粉末及一黏合劑的漿料形成。
  10. 如申請專利範圍第7項之半導體基板處理設備中的氣體輸送系統之單片陶瓷元件的製造方法,其中該陶瓷材料係選自於由氧化物、氮化物、硼化物、氟化物、以及碳化物組成的群組;或選自於由矽、碳化矽(SiC)、氧化矽、氮化矽、碳化硼、氮化鋁、氧化鈦、氧化鋁、氧化鋯、氧化鈹、以及氧化鈰組成的群組。
  11. 一種非暫態電腦可讀取媒介,其包含程式指令,用於執行如申請專利範圍第7項之單片陶瓷元件的製造方法。
  12. 一種氣體輸送系統之單片陶瓷元件,其係藉由如申請專利範圍第1項之單片陶瓷元件的製造方法所形成。
  13. 如申請專利範圍第12項之氣體輸送系統之單片陶瓷元件,其中該單片陶瓷元件為該氣體輸送系統之一分歧管、一氣體架座、或一配接器區段。
  14. 一種氣體輸送系統,包含如申請專利範圍第12項之單片陶瓷元件。
  15. 一種氣體輸送系統之單片陶瓷元件,其係藉由如申請專利範圍第7項之單片陶瓷元件的製造方法所形成。
  16. 如申請專利範圍第15項之氣體輸送系統之單片陶瓷元件,其中該單片陶瓷元件為該氣體輸送系統之一分歧管、一氣體架座、或一配接器區段。
  17. 一種氣體輸送系統,包含如申請專利範圍第15項之單片陶瓷元件。
  18. 一種半導體基板的處理方法,其係在包含如申請專利範圍第17項之氣體輸送系統的半導體基板處理設備中進行, 該方法包含: 將處理氣從該氣體輸送系統供應到該處理設備之真空腔室中; 將該處理氣引導進入該處理設備之真空腔室之處理區;以及 使用處理氣來處理該半導體基板。
  19. 如申請專利範圍第18項之半導體基板的處理方法,其中 該處理步驟包括將傳導性或介電性材料沉積在該半導體基板上。
  20. 如申請專利範圍第18項之半導體基板的處理方法,其中該處理步驟包括電漿蝕刻該半導體基板上的層,其中該層為金屬、介電質、或光阻劑。
TW104111077A 2014-04-07 2015-04-07 氣體輸送系統之單片陶瓷元件與其製造方法及使用方法 TWI659446B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461976295P 2014-04-07 2014-04-07
US61/976,295 2014-04-07

Publications (2)

Publication Number Publication Date
TW201604921A true TW201604921A (zh) 2016-02-01
TWI659446B TWI659446B (zh) 2019-05-11

Family

ID=54210364

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104111077A TWI659446B (zh) 2014-04-07 2015-04-07 氣體輸送系統之單片陶瓷元件與其製造方法及使用方法

Country Status (4)

Country Link
US (1) US9580360B2 (zh)
KR (1) KR102376011B1 (zh)
CN (1) CN105185725A (zh)
TW (1) TWI659446B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
EP2792332B1 (de) * 2013-04-18 2015-03-11 Amann Girrbach AG Anordnung mit zumindest einem zu sinternden Werkstück
EP2792985B1 (de) 2013-04-18 2014-11-26 Amann Girrbach AG Sintervorrichtung
DE102014212176A1 (de) * 2014-06-25 2015-12-31 Siemens Aktiengesellschaft Pulverbettbasiertes additives Fertigungsverfahren und Anlage zur Durchführung dieses Verfahrens
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6264622B2 (ja) * 2016-04-18 2018-01-24 株式会社ソディック 積層造形装置
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN108752020A (zh) * 2018-05-18 2018-11-06 宜兴市东山新型材料有限公司 一种高温钢材耐火涂层及其制备方法、用途
US20210098230A1 (en) * 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular high-frequency plasma source

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596224B1 (en) * 1996-05-24 2003-07-22 Massachusetts Institute Of Technology Jetting layers of powder and the formation of fine powder beds thereby
US6572830B1 (en) * 1998-10-09 2003-06-03 Motorola, Inc. Integrated multilayered microfludic devices and methods for making the same
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
KR100908949B1 (ko) * 2002-12-20 2009-07-22 어플라이드 머티어리얼스, 인코포레이티드 미세-가공되고 일체화된 유체 전달 시스템
US7234222B1 (en) 2003-09-26 2007-06-26 Lam Research Corporation Methods and apparatus for optimizing the delivery of a set of gases in a plasma processing system
EP1885249B1 (en) * 2005-05-17 2016-12-28 Yeda Research And Development Co., Ltd. Low friction coatings for use in dental and medical devices
US7822570B2 (en) 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US8278013B2 (en) * 2007-05-10 2012-10-02 Alan Devoe Fuel cell device and system
US7806143B2 (en) 2007-06-11 2010-10-05 Lam Research Corporation Flexible manifold for integrated gas system gas panels
CN100491285C (zh) * 2007-07-13 2009-05-27 北京中材人工晶体有限公司 一种氧化铝陶瓷及其制备方法
US8322380B2 (en) 2007-10-12 2012-12-04 Lam Research Corporation Universal fluid flow adaptor
JP5567486B2 (ja) 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
CN101910706B (zh) 2007-12-27 2013-11-13 朗姆研究公司 短蚀刻配方的气体传输延迟解决方案
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8340827B2 (en) 2008-06-20 2012-12-25 Lam Research Corporation Methods for controlling time scale of gas delivery into a processing chamber
CN101635285B (zh) * 2008-07-24 2012-06-13 达方电子股份有限公司 具有散热功能的陶瓷基板结构及其制造方法
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber

Also Published As

Publication number Publication date
CN105185725A (zh) 2015-12-23
KR102376011B1 (ko) 2022-03-17
US9580360B2 (en) 2017-02-28
US20150287572A1 (en) 2015-10-08
TWI659446B (zh) 2019-05-11
KR20150116419A (ko) 2015-10-15

Similar Documents

Publication Publication Date Title
TW201604921A (zh) 氣體輸送系統之單片陶瓷元件與其製造方法及使用方法
US11130142B2 (en) Showerhead having a detachable gas distribution plate
US10790120B2 (en) Showerhead having a detachable high resistivity gas distribution plate
KR102551996B1 (ko) 정전 척 본딩들에 대한 영구적인 2차 부식 방지
CN107075670B (zh) 单片气体分配歧管及多种建构技术及其使用案例
US8075734B2 (en) Remote inductively coupled plasma source for CVD chamber cleaning
KR20170070183A (ko) 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
US20120328780A1 (en) Dual Section Module Having Shared and Unshared Mass Flow Controllers
US20160111257A1 (en) Substrate for mounting gas supply components and methods thereof
US10373810B2 (en) Showerhead having an extended detachable gas distribution plate
TW201422842A (zh) 用於提供電漿至處理腔室的裝置
CN105603390B (zh) 具有主动冷却型格栅的气体分配装置
US20140165911A1 (en) Apparatus for providing plasma to a process chamber
US10770272B2 (en) Plasma-enhanced anneal chamber for wafer outgassing
CN206022311U (zh) 用于等离子体处理腔室的处理配件
WO2017020544A1 (zh) 一种气相沉积设备
TW201527587A (zh) 半導體系統組合件及操作方法
US10662529B2 (en) Cooled gas feed block with baffle and nozzle for HDP-CVD
US11107704B2 (en) Gas input system for a substrate processing chamber
KR20140110163A (ko) 태양전지용 성막 장치