TW201538991A - 用於虛擬量測之電纜功率損失決定 - Google Patents

用於虛擬量測之電纜功率損失決定 Download PDF

Info

Publication number
TW201538991A
TW201538991A TW104100661A TW104100661A TW201538991A TW 201538991 A TW201538991 A TW 201538991A TW 104100661 A TW104100661 A TW 104100661A TW 104100661 A TW104100661 A TW 104100661A TW 201538991 A TW201538991 A TW 201538991A
Authority
TW
Taiwan
Prior art keywords
power
cable
generator
impedance matching
loss
Prior art date
Application number
TW104100661A
Other languages
English (en)
Other versions
TWI650563B (zh
Inventor
Arthur M Howald
John C Valcore Jr
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201538991A publication Critical patent/TW201538991A/zh
Application granted granted Critical
Publication of TWI650563B publication Critical patent/TWI650563B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31721Power aspects, e.g. power supplies for test circuits, power saving during test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R21/00Arrangements for measuring electric power or power factor
    • G01R21/06Arrangements for measuring electric power or power factor by measuring current and voltage
    • G01R21/07Arrangements for measuring electric power or power factor by measuring current and voltage in circuits having distributed constants
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R11/00Electromechanical arrangements for measuring time integral of electric power or current, e.g. of consumption
    • G01R11/02Constructional details
    • G01R11/25Arrangements for indicating or signalling faults
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R21/00Arrangements for measuring electric power or power factor
    • G01R21/133Arrangements for measuring electric power or power factor by using digital technique
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R27/00Arrangements for measuring resistance, reactance, impedance, or electric characteristics derived therefrom
    • G01R27/02Measuring real or complex resistance, reactance, impedance, or other two-pole characteristics derived therefrom, e.g. time constant
    • G01R27/04Measuring real or complex resistance, reactance, impedance, or other two-pole characteristics derived therefrom, e.g. time constant in circuits having distributed constants, e.g. having very long conductors or involving high frequencies
    • G01R27/06Measuring reflection coefficients; Measuring standing-wave ratio
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/50Testing of electric apparatus, lines, cables or components for short-circuits, continuity, leakage current or incorrect line connections
    • G01R31/58Testing of lines, cables or conductors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Plasma Technology (AREA)

Abstract

描述將電纜損失模型化的方法。該方法包括接收在射頻(RF)產生器的反向功率及正向功率之測量結果。該方法更包括將傳輸至匹配網路的理論功率計算為在正向功率與反向功率之間的差、及計算反向功率對正向功率之比率以產生RF功率反射比率。該方法更包括了基於RF產生器之頻率而辨識電纜功率衰減分數、及將電纜功率損失計算為RF功率反射比率、電纜功率衰減分數、及理論功率之函數。該方法包括基於理論功率及電纜功率損失而計算待傳輸至阻抗匹配網路的實際功率、並將所計算的實際功率發送至RF產生器以產生RF信號。

Description

用於虛擬量測之電纜功率損失決定
本發明係關於虛擬量測之電纜功率損失決定。
電漿系統係用以將功率供應至電漿腔室。該功率係由RF產生器所產生、並由RF產生器透過匹配盒而供應以於電漿腔室中產生電漿。
在電漿腔室內為一晶圓,該晶圓係由該電漿進行處理。以電漿對晶圓進行蝕刻、或於晶圓上進行沉積、或清洗晶圓。在晶圓的處理期間內,控制電漿以在處理中達成準確度及增加晶圓良率係重要的。
為了測量所供應的功率之特性、及測量電漿之特性,吾人將一感測器連接至匹配盒之輸入端 。然而,感測器之使用係昂貴、耗時、且容易有誤差的。
在此背景下本發明產生。
本揭露範圍之實施例提供了使用虛擬量測來判定電纜功率損失的設備、方法、及電腦程式。吾人應了解本發明可以許多方式加以實行,例如處理、設備、系統、硬體、或電腦可讀媒體上的方法。以下描述幾個實施例。
在一些實施例中,使用處理器來判定在阻抗匹配網路之輸入端的實際傳輸功率,而不是使用連接至該輸入端的感測器。一電壓及電流探針測量在射頻(RF)產生器之輸出端的複電壓及電流。該複電壓及電流係用以計算在RF產生器之輸出端的理論傳輸功率。在阻抗匹配網路之輸入端的實際傳輸功率係判定為理論傳輸功率、將該阻抗匹配網路連接至該RF產生器的RF電纜之電纜功率衰減分數、及RF功率反射比率的函數。該RF功率反射比率係由處理器基於正向功率及反向功率而判定,該正向功率係於RF產生器之輸出端所測量、且該反向功率係於該RF產生器之輸出端所測量。
在各樣的實施例中,描述了將電纜損失模型化的方法。該方法包括了接收在射頻(RF)產生器的反向功率之測量結果,該RF產生器經由一RF電纜連接至一阻抗匹配網路。該阻抗匹配網路經由一RF傳輸線連接至一電漿腔室。該RF產生器具有一操作頻率。該方法更包括了接收在該RF產生器的一正向功率之測量結果、將傳輸至該阻抗匹配網路的一理論功率計算為在該正向功率與該反向功率之間的差、及計算該反向功率對該正向功率之比率以產生一RF功率反射比率。該方法更包括了基於該RF產生器的該操作頻率而辨識該RF電纜的一電纜功率衰減分數、及將一電纜功率損失計算為該RF功率反射比率、該電纜功率衰減分數、及該理論傳輸功率之函數。該方法包括基於該理論功率及該電纜功率損失而計算待傳輸至該阻抗匹配網路的一實際功率、及將所計算的該實際功率發送至該RF產生器以產生一RF信號。該方法係由一處理器執行。
在幾個實施例中,使用模型化功率損失的方法包括了接收在一RF產生器的一反向功率之測量結果,該RF產生器經由一RF電纜連接至一阻抗匹配網路。該阻抗匹配網路經由一RF傳輸線連接至一電漿腔室。該RF產生器具有一操作頻率。該方法更包括了接收在該RF產生器的一正向功率之測量結果、將傳輸至該阻抗匹配網路的一理論功率計算為在該正向功率與該反向功率之間的差、及計算該反向功率對該正向功率之比率以產生一RF功率反射比率。該方法包括了基於該RF產生器的該操作頻率而辨識該RF電纜的一電纜功率衰減分數、及將一電纜功率損失計算為該RF功率反射比率、該電纜功率衰減分數、及該理論傳輸功率之函數。該方法包括了基於該理論傳輸功率及該電纜功率損失而計算待傳輸至該阻抗匹配網路的一實際功率、及基於傳輸至該阻抗匹配網路的該實際功率而判定在與一電腦產生模型相關聯的一節點的一實際功率。該方法係由一處理器執行。
在一些實施例中,使用模型化功率損失的電漿系統包括了用以供應一正向功率的一射頻(RF)產生器。該RF產生器具有一操作頻率。該電漿系統更包括了一阻抗匹配電路,該阻抗匹配電路連接至該RF產生器以接收該正向功率、並基於該正向功率而產生一修改後RF信號。該電漿系統包括一RF電纜及一電漿腔室,該RF電纜將該RF產生器連接至該阻抗匹配電路以促成該正向功率至該阻抗匹配電路之傳遞,該電漿腔室經由RF傳輸線連接至該阻抗匹配電路。該電漿腔室係用以根據該修改後RF信號而產生一電漿。該電漿系統包括了一感測器,該感測器連接至該RF產生器以測量該正向功率及測量反向功率。該反向功率係從該電漿經由該RF電纜而反射向該RF產生器。該電漿系統更包括一主機系統,該主機系統連接至該感測器以接收該正向功率及該反向功率之測量結果。該主機系統係用以將傳輸至該阻抗匹配網路的一理論功率計算為在該正向功率與該反向功率之間的差、計算該反向功率對該正向功率之比率以產生一RF功率反射比率、及基於該RF產生器的該操作頻率而辨識該RF電纜的一電纜功率衰減分數。該主機系統更係用以將一電纜功率損失計算為該RF功率反射比率、該電纜功率衰減分數、及該理論傳輸功率之函數。該主機系統係用以計算基於該理論傳輸功率及該電纜功率損失而計算待傳輸至該阻抗匹配電路的一實際功率、及將所計算的該實際功率發送至該RF產生器以控制該RF產生器。
上述實施例的一些優點包括了使用RF電纜的電纜功率衰減分數、在RF產生器的輸出端的RF功率反射比率、及在RF產生器之輸出端的理論傳輸功率來判定在阻抗匹配網路之輸入端的實際傳輸功率。無須使用在阻抗匹配網路之輸入端的感測器來測量在該輸入端的實際傳輸功率。使用感測器需要時間來連接感測器、及測量在該輸入端的實際傳輸功率。此外,感測器係昂貴的,且感測器所產生的任何測量結果係容易有誤差的。
從以下配合隨附圖式所做出之詳細描述,將更清楚本發明的其他態樣。
以下實施例描述了使用虛擬量測來判定電纜功率損失的系統及方法。顯而易見的,本實施例可被實行而無須一些或全部的特定細節。在其他情況下,為了不對本實施例造成不必要地混淆,眾所周知的處理操作則沒有被詳述。
圖1為用於電纜功率損失判定的電漿系統100之實施例的圖式。電漿系統100包括了x 百萬赫茲(MHz)射頻(RF)產生器、y MHz RF產生器、及z MHz RF產生器。x MHz之範例包括了2 MHz、27 MHz、及60  MHz。y MHz之範例包括了2 MHz、27 MHz、及60  MHz。z MHz之範例包括了2 MHz、27 MHz、及60  MHz。
在一些實施例中,x MHz與y MHz及z MHz不同,且y MHz與z MHz不同。例如, 當x MHz為2 MHz時,y MHz為 27 MHz且z MHz為60 MHz。
在一些實施例中,每一RF產生器包括一數位信號處理器(DSP)及一RF供應器。例如,x MHz RF產生器包括一DSP 160及一RF供應器162。DSP 160連接至RF供應器162及主機系統118。相似地,主機系統118連接至y MHz RF產生器之DSP(未顯示)、及z MHz RF產生器之DSP(未顯示)。一RF產生器之DSP連接至該RF產生器之RF供應器。
每一RF產生器包括一複電壓及電流感測器。例如,x MHz RF產生器包括一感測器116 ,該感測器在x MHz RF產生器之輸出端170連接至RF電纜104A以測量在該輸出端的複電壓及電流。在各樣的實施例中,用以在RF產生器之輸出端對x、y、或z MHz RF產生器進行校準之複電壓及電流感測器遵守國家標準科技研究院(NIST)標準。例如,用以校準x MHz RF產生器之感測器116係可追溯到NIST的。NIST標準對複電壓及電流感測器提供了NIST標準所指定的準確度程度。
在一些實施例中,複電壓及電流包括了電流強度、電壓強度、及該電壓與電流之間的相位。在一些實施例中,複變數(例如複阻抗、複功率、等)包括了該變數的強度、及該變數的相位。
在一些實施例中,感測器116測量x MHz RF產生器的反向功率Prev 、及x MHz RF產生器的正向功率Pfwd 。例如,感測器116於x MHz RF產生器之輸出端170測量x MHz RF產生器之反向功率Prev 、並於輸出端170測量x MHz RF產生器之正向功率Pfwd 。一RF產生器之正向功率為該RF產生器供應至阻抗匹配網路102之RF信號的功率。一RF產生器之反向功率係從電漿腔室166經由RF傳輸線114、阻抗匹配網路102、及對應的RF電纜而反射至該RF產生器之功率。例如,x MHz RF產生器的反向功率係從電漿腔室166中所形成之電漿經由RF傳輸線114、阻抗匹配網路102、及RF電纜104A 而反射至x MHz RF產生器之功率。
在一些實施例中,反向功率為複功率且正向功率為複功率。
在幾個實施例中,阻抗匹配網路102為包含了一或更多電感器及/或一或更多電容器之電路。阻抗匹配網路102的每一元件(例如電感器、電容器、等)以串聯、或並聯、或作為分流器而連接至阻抗匹配網路102的另一元件。
在各樣的實施例中,RF電纜包括一內導體,該內導體被一絕緣材料所包圍,該絕緣材料被一外導體所包圍,該外導體進一步被一護套所包圍。在幾個實施例中,外導體係由編織線所製成、且護套係由絕緣材料所製成。在一些實施例中,RF電纜具有一內阻抗。在各樣的實施例中,RF電纜具有一特性阻抗,該特性阻抗為該RF電纜之電感及/或電容的函數。
主機系統118包括一或更多處理器(例如,處理器110)、及一或更多記憶元件。處理器之範例包括了中央處理單元(CPU)、微處理器、特定應用積體電路(ASIC)、可程式化邏輯裝置(PLD)、等。記憶元件之範例包括了唯讀記憶體(ROM)、隨機存取記憶體(RAM)、或其組合。記憶元件的其它範例包括了快閃記憶體、非暫態電腦可讀儲存媒體、儲存磁碟冗餘陣列(RAID)、硬碟、等。
電漿系統100更包括了連接至x、y、及z MHz RF產生器的阻抗匹配網路102。阻抗匹配網路102經由RF電纜104A連接至x MHz RF產生器、經由另一RF電纜104B連接至y MHz RF產生器、且經由RF電纜104C連接至z MHz RF產生器。
在一些實施例中,阻抗匹配網路102包括了彼此連接之RF電路元件(例如,電容、電感、等)的網路。
阻抗匹配網路102經由RF傳輸線114連接至電漿腔室112。在各樣的實施例中,RF傳輸線114包括了連接至阻抗匹配網路102的一圓柱體(例如,通道、等)。該圓柱體的中空部分內存在一絕緣體及一RF棒 。RF傳輸線114更包括一RF匙(例如RF帶、等),該RF匙在一端連接至該圓柱體之RF棒。該RF匙在另一端連接至一垂直放置之圓柱體的RF棒,且該RF棒連接至電漿腔室112 的卡盤164。
電漿腔室112包括了卡盤164、上電極166、及其它零件(未顯示),例如圍繞上電極166的上介電環、圍繞上介電環的上電極延伸部、圍繞卡盤164之下電極的下介電環、圍繞下介電環的下電極延伸部、上電漿排除區(PEZ)環、下PEZ環、等。上電極166位於卡盤164的對面且面向該卡盤。一工作件168被支撐於卡盤164的上表面171上。下電極及上電極166其中每一者係由金屬所製成,例如鋁、鋁的合金、銅、等。該卡盤164可為靜電卡盤(ESC)或磁性卡盤。上電極166連接至基準電壓,例如接地電壓、零電壓、負電壓、等。
在一些實施例中,工作件168包括了半導體晶圓。於生產的期間內在工作件168上執行各樣的處理,例如化學氣相沉積、清潔、沉積、物理氣相沉積(PVD) 、化學氣相沉積(CVD) 、電漿增強CVD (PECVD) 、金屬CVD、高密度電漿CVD (HDP-CVD)功能、光阻剝除功能、光阻表面準備、紫外線熱處理(UVTP)、濺射、蝕刻、離子植入、阻劑剝除、等。在半導體晶圓上發展積體電路,例如特定應用積體電路(ASIC)、可程式化邏輯裝置(PLD)、等,並將積體電路用於各樣的電子產品,例如手機、平板、智慧型手機、電腦、膝上型電腦、網路裝置、等。在各樣的實施例中,工作件168包括了一基板 、 在該基板頂部上的一或更多層(例如氧化物層、等)、及在該基板頂部上的積體電路。在幾個實施例中,工作件168包括了一基板 、 在該基板頂部上的一或更多層(例如氧化物層、等)、及在該一或更多層頂部上的積體電路。在各樣的實施例中,工作件168包括一基板及形成於該基板頂部上的積體電路。
在各樣的實施例中,上電極166包括一或更多氣體入口,例如連接至中央氣體供應器(未顯示)的孔、等。中央氣體供應器從氣體貯存器(未顯示)接收一或更多處理氣體。處理氣體之範例包括含氧氣體,例如O2 。處理氣體的其他範例包括含氟氣體,例如四氟化碳(CF4 )、六氟化硫(SF6 ),六氟乙烷(C2 F6 )、等。
主機系統118將控制值(例如,複功率的值、操作頻率的值、等)發送至x、y、及z MHz RF產生器。例如,處理器 110將複功率的值及操作頻率的值提供至x MHz RF產生器的DSP 160。
x、y、及z MHz RF產生器的DSP接收控制值、並基於控制值而產生供應值(例如,複功率的值、操作頻率的值、等)以提供至RF產生器的RF供應器。例如,x MHz RF產生器的DSP 160產生複功率的供應值、及操作頻率的供應值以提供至x MHz RF產生器的RF供應器162。在一些實施例中,供應值與控制值相同。在各樣的實施例中,供應值係 RF產生器的DSP基於控制值而從RF產生器的記憶元件中查閱到的驅動值。
x、y、及z RF產生器之RF供應器接收到供應值後產生一RF信號。例如,RF供應器162一旦從DSP 160接收到一驅動複功率值及一驅動操作頻率值則產生一RF供應信號。相似地,y及z MHz RF產生器產生RF信號。
x、y、及z MHz RF產生器所產生的RF信號係經由將該等產生器連接至阻抗匹配網路102的RF電纜而供應。例如,x MHz RF產生器所產生之RF信號經由RF電纜104A供應至阻抗匹配網路102。
一旦從x、y、及z MHz RF產生器接收RF信號,阻抗匹配網路102將連接至阻抗匹配網路102之負載的阻抗與連接至阻抗匹配網路102之來源的阻抗相匹配以產生一修改後RF信號。例如,阻抗匹配網路102將RF傳輸線114及電漿腔室112之阻抗與x MHz RF產生器、y MHz RF產生器、z MHz RF產生器、RF電纜104A、RF電纜104B、及RF電纜104C之阻抗相匹配以產生該修改後RF信號。如另一範例,阻抗匹配網路102將電漿系統100之任何連接至阻抗匹配網路102而作為負載的元件之阻抗與電漿系統100之任何連接至阻抗匹配網路102而作為來源的元件之阻抗相匹配以產生該修改後RF信號 。連接至阻抗匹配網路102而作為負載的元件之範例包括了RF傳輸線114、電漿腔室112、及在阻抗匹配網路102的一側(該側係電漿腔室112位在的一側)連接至該阻抗匹配網路102的任何其他元件(舉例而言 ,例如濾波器、等)。連接至阻抗匹配網路102而作為來源的元件之範例包括了x及y及z RF產生器、RF電纜104A及104B及104C、及連接至阻抗匹配網路102的一側(該側係RF產生器位在的一側)的其他元件(例如濾波器、等)。
阻抗匹配網路102經由RF傳輸線114而將該修改後信號發送至卡盤164。當在上電極166與卡盤 164之間供應處理氣體、且該修改後 RF信號供應至卡盤164時,該處理氣體被點燃以於電漿腔室112中產生電漿。
感測器116所感測到的反向功率Prev 及正向功率Pfwd 經由電纜172A(舉例而言,例如促成數據之並列傳遞的電纜、促成數據之串列傳遞的電纜、或通用串列匯流排(USB)電纜)而被處理器110接收。相似地,y及z MHz RF產生器之感測器所測量到的反向及正向功率係經由電纜172B及172C而被處理器110接收。
處理器110將x MHz RF產生器傳輸至阻抗匹配網路102的理論功率Pdeltheor (例如,Pdelin 、等)計算為在正向功率Pfwd 與反向功率Prev 之間的一函數(例如差、等)。相似地,處理器 110將y及z MHz RF產生器傳輸至阻抗匹配網路102的理論功率計算為正向功率與反向功率之函數,該正向功率係由y及z RF產生器供應、且該反向功率係從電漿腔室112反射向y及z MHz RF產生器。
在一些實施例中,感測器116測量在阻抗匹配網路102之輸入端176的理論功率Pdeltheor
處理器110進一步計算反向功率Prev 對正向功率Pfwd 之比率以產生一RF功率反射比率Γ2 、並進一步計算該RF功率反射比率之強度|Γ2 |。例如, 處理器 110執行反向功率Prev 對正向功率Pfwd 的除法以產生RF功率反射比率Γ2 。如另一範例,RF功率反射比率係設置為: Γ2 = Prev / Pfwd …(1)
相似地,處理器110從由y及z MHz RF產生器之感測器所接收到的正向及反向功率來計算RF功率反射比率。
此外,處理器110基於 x MHz RF產生器的操作頻率而判定RF電纜104A的電纜功率衰減分數A。例如,處理器110藉由查閱對應的x MHz RF產生器的操作頻率而從主機系統118的記憶元件內的一查閱表辨識RF電纜104A的電纜功率衰減分數A,該查閱表包括了一些RF產生器之操作頻率的列表。基於x MHz RF產生器的操作頻率,吾人辨識了電纜功率衰減分數A。相似地,處理器110判定了RF電纜104B及104C的電纜功率衰減分數。
處理器110進一步將與RF電纜104A相關聯(例如,由該RF電纜所導致、等)的電纜功率損失Pdelloss 計算為RF功率反射比率Γ2 及電纜功率衰減分數A的函數。例如,電纜功率損失Pdelloss 係設置為: Pdelloss = (Pdeltheor A))     …(2) 電纜功率損失Pdelloss 係理論功率Pdeltheor 、電纜功率衰減分數A、與一項目之乘積 。該項目包括了1與RF功率反射比率Γ2 之和對1與RF功率反射比率Γ2 之差的比率。在一些實施例中,電纜功率損失Pdelloss 為在RF電纜104A內發生的傳輸功率損失。相似地,處理器110計算與RF電纜104B及104C相關聯的電纜功率損失。
處理器110基於理論傳輸功率Pdeltheor 及電纜功率損失Pdelloss 而計算待由 x MHz RF產生器傳輸至阻抗匹配網路102的實際功率Pdelactual 。例如,實際功率Pdelactual 係計算為在傳輸功率Pdeltheor 與RF電纜104A的電纜功率損失Pdelloss 之間的差。相似地,處理器110計算待由y及z MHz RF產生器傳輸至阻抗匹配網路102的實際功率。
在一些實施例中,處理器110經由電纜174A而將計算的實際功率Pdelactual 發送至x MHz RF產生器的DSP 160。相似地,處理器110經由電纜174B及174C而將與y及z MHz RF產生器對應之計算的實際功率發送至y及z MHz RF產生器的DSP。每一電纜174A、174B、及174C之範例包括了促成數據之並列傳遞的電纜、促成數據之串列傳遞的電纜、及USB電纜。
DSP 160接收所計算的實際功率Pdelactual 並取得(例如,讀取、等)與實際功率Pdelactual 相對應之驅動實際功率值、並將該驅動實際功率值提供至RF供應器162。例如,DSP 160於儲存在x MHz RF產生器的記憶元件中的一查閱表中辨識與該計算的實際功率Pdelactual 相對應之驅動實際功率值。如另一範例,驅動實際功率值與計算的實際功率Pdelactual 相同。相似地,y及z MHz RF產生器的DSP經由電纜174B及174C而從處理器110接收計算的實際功率值、並取得驅動實際功率值,該驅動實際功率值被提供至y及z MHz RF產生器的RF供應器。
RF供應器162包括一驅動器(未顯示)及連接至該驅動器的一放大器(未顯示)。RF供應器162的驅動器從DSP 160取得該驅動實際功率值、並產生具有該驅動實際功率值的RF信號。RF供應器162的放大器將產生的RF信號放大(例如, 增加其強度、等)、並經由RF電纜104A將該放大後RF信號發送至阻抗匹配網路102。在一些實施例中,沒有執行放大的步驟,且該放大後RF信號的強度與 RF供應器162之驅動器所產生的RF信號的強度係相同的。相似地,y及z MHz RF產生器之RF供應器產生放大後RF信號。
阻抗匹配網路102基於經由RF電纜104A而從RF供應器162所接收的放大後RF信號、及從y及z MHz RF產生器之RF供應器所接收的放大後RF信號而將負載的阻抗與來源的阻抗大致匹配以產生一修改後RF信號,並將該修改後RF信號經由RF傳輸線114提供至卡盤164以在電漿腔室112內產生或修改電漿。例如,阻抗匹配網路102將負載之阻抗匹配至在來源之阻抗的一臨界值內。如另一範例,阻抗匹配網路102使負載之阻抗與來源之阻抗在實質上相匹配。
以與上面所述相似的方式,阻抗匹配網路102基於從x、y、及z MHz RF產生器所接收的RF信號產生一修改後RF信號、並將該修改後RF信號經由RF傳輸線114提供至電漿腔室112以在電漿腔室112內產生或修改電漿。
吾人應注意在一些實施例中,當使用處理器110來產生該計算的實際功率Pdelactual 時就沒有必要使用在阻抗匹配網路102之輸入端176的感測器120。感測器120係用以測量在輸入端176之正向功率及反向功率,該正向功率及反向功率係進一步用於計算經由RF電纜104A而發送至輸入端176的實際功率Pdelout 。感測器120係昂貴且具有不準確性的。感測器120的不準確性導致了不準確的實際功率值之產生。此外,將感測器120連接至輸入端176、及將感測器120從輸入端176解開係費時的。輸入端176係連接至x MHz RF產生器之輸出端170。
在一些實施例中,電漿系統100包括任何數量的RF產生器。例如,電漿系統100包括一、或二、或四RF產生器。
吾人更應注意在一些實施例中,與x、y、及z RF產生器相關聯的正向功率、與該等RF產生器相關聯的反向功率、與該等RF產生器相關聯的理論功率、與該等RF產生器相關聯的RF功率反射比率、與該等RF產生器相關聯的RF功率反射比率之強度、與RF電纜104A及104B及104C相關聯的電纜功率衰減分數、及與該等RF電纜相關聯的電纜功率損失、及由該等RF產生器傳輸至阻抗匹配網路102的實際功率係儲存於主機系統118的記憶元件中。
在各樣的實施例中,本文中描述為由處理器110所執行的操作係由一些處理器來執行,例如由二或更多處理器來執行。
在一些實施例中,對方程式(2)進行推導。該推導係由處理器110執行,且以下描述了該推導:…(3)
係到達阻抗匹配網路102的理論功率,且係在阻抗匹配網路102所反射的理論功率,其中R係在阻抗匹配網路102的實際功率反射分數。係傳送(例如,傳輸、等)至阻抗匹配網路102的理論功率。返回至RF產生器(該RF產生器連接至具有電纜功率衰減分數A的RF電纜)之理論功率為=>。待實際傳輸至阻抗匹配網路102的功率為:
圖2A為電纜模型180之實施例的圖式,該電纜模型係例如RF電纜104A、或104B、或104C(圖1)、等之RF電纜的電腦產生模型。電纜模型180係由處理器110(圖1)產生以代表RF電纜的電纜功率損失。例如,電纜模型180代表了電纜功率損失 Pdelloss ,該電纜功率損失係處理器110歸因於RF電纜104A的傳輸功率之損失。在電纜模型180之輸出端184所傳輸的功率為在電纜模型180之輸入端182所傳輸之功率、及歸因於該電纜模型180所代表的RF電纜之電纜功率損失的函數。例如,在電纜模型180之輸出端184所傳輸的功率 Pdelout 係在電纜模型180之輸入端182所傳輸的功率Pdelin 與歸因於RF電纜104A的電纜功率損失Pdelloss 之間的差。
一RF電纜的電纜功率損失為該RF電纜的電纜功率衰減分數、及與該RF電纜(例如RF電纜104A、或104B、或104C(圖1)、等)相關聯的RF功率反射比率之函數。例如,由處理器110歸因至RF電纜104A的電纜功率損失Pdelloss 係取決於RF電纜104A的電纜功率衰減分數A、及RF電纜104A的RF功率反射比率Γ2
圖2B為另一電纜模型182之實施例的圖式,該電纜模型為一RF電纜的電腦產生模型。電纜模型182為電纜模型180(圖2A)之範例。處理器110歸因於RF電纜的電纜功率損失係傳輸至電纜模型186之輸入端188的功率、該RF電纜的電纜功率衰減分數、及與該RF電纜相關聯的RF功率反射比率之函數。例如,方程式(2)在上面提供了電纜功率損失 Pdelloss 。在電纜模型之輸出端190所傳輸的功率為在輸入端188所傳輸的功率、及與電纜模型186相關聯的電纜功率損失之函數。例如,在輸出端190所傳輸的功率Pdelout 係計算為在輸入端188所傳輸的功率Pdelin 與RF電纜104A的電纜功率損失Pdelloss 之間的差。
吾人應注意,輸入端188為輸入端182(圖2A)之範例,且輸出端190為輸出端184(圖2A)之範例。
圖3為一圖式,該圖式係用以說明與一RF電纜所導致之功率衰減相關聯的電纜功率衰減分數係取決於該RF電纜之長度、及連接至該RF電纜的RF產生器之操作頻率。例如,處理器110(圖1)基於RF電纜104A的長度L、及連接至RF電纜104A並經由RF電纜104A將RF信號供應至阻抗匹配網路102(圖1)的x MHz RF產生器之操作頻率而判定RF電纜104A(圖1)的電纜的功率衰減分數A。
圖4為曲線圖191之實施例的圖式,該曲線圖係顯示用以說明RF電纜的電纜功率衰減分數與RF產生器的頻率之間的關係,該RF產生器連接至該RF電纜以經由該RF電纜及阻抗匹配網路102(圖1)而將RF信號提供至電漿腔室112(圖1)。曲線圖191包括了一RF電纜的電纜功率衰減分數(以分貝/每100英尺來測量)對連接至該RF電纜的RF產生器的操作頻率的平方根之曲線。該電纜功率衰減分數係繪製在y軸上,且操作頻率的平方根係繪製在x軸上。
曲線圖191包括RF電纜1之曲線192、及另一RF電纜2之曲線194。曲線194為線性的,且曲線192為多項式的曲線。在一些實施例中,曲線192為一指數函數。
吾人應注意,一RF電纜的電纜功率衰減分數隨著連接至該RF電纜的RF產生器之操作頻率增加。
在一些實施例中,電纜功率衰減分數係以RF電纜的每10英尺、或每1英尺、或每2英尺、或每任何其他數量的英尺來測量,而不是RF電纜的每100英尺。在各樣的實施例中,使用任何其它的長度單位(例如公尺、或厘米、或英寸、等),而不是英尺。
在各樣的實施例中,處理器110基於高頻率(例如,高於100 MHz的頻率、高於90 MHz的頻率、高於60 MHz的頻率、等)、及基於與頻率對應的電纜功率衰減分數而將連接至RF產生器的RF電纜之電纜功率衰減分數外推至該RF產生器的操作頻率。
圖5顯示了曲線圖196及198之實施例以說明在不使用方程式 (2)而是使用一變數(例如,電壓、電流、等)的模型化值而產生的變數值與該變數的測量值之間的誤差之間的關係。例如,曲線圖196繪製了在阻抗匹配網路的電腦產生模型之輸入端所產生的模型化電壓上的百分比誤差對在該輸入端所測量到的電壓之曲線圖。另外,在本實施例中,百分比誤差係繪製在y軸上且所測量的電壓係繪製在x軸上。在此範例中,測量到的電壓係使用在一RF電纜之輸出端的電壓感測器來感測,該RF電纜被電腦產生模型所代表且該RF電纜連接至阻抗匹配網路。另外,在此範例中,該模型化電壓係藉由在RF電纜的電腦產生模型之輸入端將一電壓的值傳播通過該RF電纜的電腦產生模型而產生。進一步說明,模型化電壓係藉由產生該電壓與該RF電纜的電腦產生模型的一或更多元件的模型化電壓之方向性總和(directional sum)而傳播。在此實例中,元件包括了電容器、或電感器、或其組合。RF電纜的電腦產生模型之元件與該電腦產生模型所代表的RF電纜之構件具有相同或相似的特性。例如,當RF電纜具有M的電容及N的電感時,該等元件具有M的電容及N的電感。如另一範例,當該等構件包括了與一實際電感器串聯連接的一實際電容器時,該等元件包括與一模型化電感器串聯連接的一模型化電容器。如再另一範例,當該等構件包括了與一實際電感器並聯連接的一實際電容器時,該等元件包括了與一模型化電感器並聯連接的一模型化電容器。吾人應注意,電腦產生模型之元件的範例包括了一或更多電容器、一或更多電感器、或其組合。
相似地,曲線圖198繪製了在阻抗匹配網路的電腦產生模型之輸入端所產生的模型化電流上的百分比誤差對在該輸入端所測量到的電流之曲線圖。該百分比誤差係繪製在y軸上且所測量的電流係繪製在x軸上。
如曲線圖196及198中所示,百分比誤差係接近且高於百分之一。
在一些實施例中,阻抗匹配網路的電腦產生模型之輸入端連接至一RF電纜的電腦產生模型之輸出端,該RF電纜連接至該阻抗匹配網路。
圖6顯示了曲線圖202及204之實施例以說明當使用方程式(2)來判定電纜功率損失時在變數上的誤差之減少。曲線圖202繪製了在模型化電壓上的百分比誤差對所測得的電壓之曲線圖,該模型化電壓係基於使用方程式(2)所計算的電纜功率損失而判定、且該測得的電壓係藉由在電腦產生模型所代表的阻抗匹配網路之輸入端連接一電壓感測器而測量到的電壓。該阻抗匹配網路之電腦產生模型在其輸入端具有模型化電壓 。處理器110(圖1)從該電纜功率損失產生模型化電壓。在模型化電壓上的百分比誤差係繪製在y軸上且測量到的電壓係繪製在x軸上。
相似地,曲線圖204繪製了在模型化電流上的百分比誤差對所測量到的電流之曲線圖,該模型化電流係從方程式(2)之電纜功率損失來判定、且該測得的電流係藉由在阻抗匹配網路之電腦產生模型所代表的該阻抗匹配網路之輸入端連接一電流感測器而測量到的電流。
吾人應注意,圖6中所繪示的百分比誤差小於圖5中所繪示的百分比誤差。
圖7為記憶元件122之實施例的方塊圖,該方塊圖係用以說明使用實際傳輸功率Pdelactual 來計算在模型節點之輸出端的實際傳輸功率。記憶元件122為主機系統118的零件。記憶元件122包括了阻抗匹配模型150、RF傳輸模型152、及卡盤模型154。
阻抗匹配模型150連接至模型180(圖2)以從模型180之輸出端接收實際功率Pdelactual 。RF傳輸模型152在模型節點O1連接至阻抗匹配模型150,該模型節點O1為在阻抗匹配模型150之輸出端與RF傳輸模型152之輸入端的節點。
此外,卡盤模型154在模型節點O2連接至RF傳輸模型152,該模型節點O2為在RF傳輸模型152之輸出端與卡盤模型154之輸入端的節點。此外,卡盤模型154具有一模型節點O3。
在一些實施例中,一模型與電漿系統100(圖1)的對應零件具有類似的特性。例如,阻抗匹配模型150與阻抗匹配網路102具有相似的特性(例如電容、電感、電阻、複功率、複電壓及電流、等)。如一範例,阻抗匹配模型150具有與阻抗匹配網路102中相同數量的電容器、及/或電感器、及/或電阻器,且該等電容器及/或電感器及/或電阻器以與在阻抗匹配網路102中相同的方式(例如串聯、並聯、等)而彼此連接。進一步說明,當阻抗匹配網路102包括了與電感器串聯連接的電容器時,該阻抗匹配模型150亦包括了與電感器串聯連接的電容器。
如另一範例,阻抗匹配網路102包括一或更多電路構件,而阻抗匹配模型150包括了阻抗匹配網路102的設計(例如,電腦產生模型)。處理器110可以基於經由輸入硬體單元而從使用者接收之輸入信號來產生電腦產生模型。該輸入信號包括了與模型中要包括甚麼電路構件(例如,電容器、電感器、電阻器、等)有關、及與電路構件互相連接之方式(例如,串聯、並聯、等)有關的信號。如另一範例,阻抗匹配網路102包括了硬體電路構件及電路構件之間的硬體連接,而阻抗匹配模型150包括了硬體電路構件及硬體連接之軟體代表。如再另一範例,阻抗匹配模型150係透過使用軟體程式而設計,而阻抗匹配網路102係製作在印刷電路板上。
如本文中所使用,在一些實施例中,電路構件包括電阻器、電容器、電感器、電阻器之間的連接、電感器之間的連接、電容器之間的連接、及/或電阻器及電感器及電容器的組合之間的連接。電阻器、電感器、及/或電容器之間的連接的範例包括了一或更多導體。
相似地,RF傳輸模型152與RF傳輸線114 具有相似的特性。例如,RF傳輸模型152與RF傳輸線114中具有相同數量的電容器及/或電感器、且該等電容器及/或電感器係以與RF傳輸線114中相同的方式(例如串聯、並聯、等)彼此連接。為了進一步說明,當 RF傳輸線114包括了與電感器並聯連接的電容器時,RF傳輸模型152亦包括了與電感器並聯連接的電容器。如再另一範例,RF傳輸線114包括了一或更多電路構件,而RF傳輸模型152包括了RF傳輸線114的設計(例如,電腦產生模型)。
相似地,卡盤模型154及卡盤164 具有相似的特性。如一範例,卡盤模型154之電感與卡盤164之電感相同。如另一範例,卡盤模型154之電阻與卡盤164之電阻相同。如另一範例,卡盤模型154為卡盤164之電腦產生模型。
阻抗匹配模型150、RF傳輸模型152、及卡盤模型154係由處理器110產生。
實際功率Pdelactual 傳播通過阻抗匹配模型150至模型節點O1。例如,計算與阻抗匹配模型150的電路構件相關聯的傳輸功率之功率值和實際功率Pdelactual 的方向性總和以產生在模型節點O1的實際傳輸功率。此外,在一些實施例中, 在模型節點O1的實際傳輸功率傳播通過RF傳輸模型152的電路構件以產生在模型節點O2的實際傳輸功率。另外,在各樣的實施例中, 在模型節點O2的實際傳輸功率傳播通過卡盤模型154的電路構件以產生在模型節點O3的實際傳輸功率。例如,計算在模型節點O2的實際傳輸功率與卡盤模型154的電路構件之實際傳輸功率的方向性總和以產生在輸出節點O3的實際傳輸功率。
在各樣的實施例中,實際功率Pdelactual 傳播通過阻抗匹配模型150的一部分以產生在阻抗匹配模型150內的中間模型節點的實際傳輸功率。該中間模型節點係位於在中間模型節點一側之阻抗匹配模型150的電路構件與在中間模型節點另一側之阻抗匹配模型150的電路構件之間。
相似地,在一些實施例中,在模型節點O1的實際傳輸功率傳播通過RF傳輸模型152的一部分以產生在RF傳輸模型152內的中間模型節點之實際傳輸功率。RF傳輸模型152的中間模型節點係位於在中間模型節點一側之RF傳輸模型152的電路構件與在中間模型節點另一側之RF傳輸模型152的電路構件之間。
此外,在各樣的實施例中,在模型節點O2的實際傳輸功率傳播通過卡盤模型154的一部分以產生在卡盤模型154內的中間模型節點的實際傳輸功率。卡盤模型154的中間模型節點係位於在中間模型節點一側之卡盤模型154的電路構件與在中間模型節點另一側之卡盤模型154的電路構件之間。
在一些實施例中,實際功率Pdelactual 、在模型節點O1的實際功率、在模型節點O2的實際功率、及/或在模型節點O3的實際功率係由處理器110發送至x、y、及z MHz RF產生器其中一或更多者以控制x、y、及z MHz RF產生器其中該一或更多者所產生的RF信號以控制在電漿腔室112內的電漿。例如,處理器110辨識出實際功率Pdelactual 超過一臨界值。處理器110發送信號至x MHz RF產生器的DSP 160以改變RF供應器162所供應的RF信號之功率。具有改變後的功率量之RF信號經由RF電纜104A(圖1)、阻抗匹配網路102、及RF傳輸線114(圖1)被發送至卡盤164以修改電漿腔室112中之電漿的特性以達成該臨界值。
在一些實施例中,本文中任何描述為由處理器110執行的功能係由RF產生器之處理器來執行、或由處理器110及RF產生器之處理器的組合來執行。
圖8為主機系統118之實施例的圖式。主機系統118包括了處理器110、記憶元件122、輸入裝置220、輸出裝置222、輸入/輸出(I/O)介面270、I/O介面272、網路介面控制器(NIC) 274、及匯流排275。處理器 110、記憶元件122、輸入裝置220、輸出裝置222、I/O介面270、I/O介面272、及NIC 274經由匯流排275而互相連接。輸入裝置220的範例包括了滑鼠、鍵盤、觸控筆、等。輸出裝置222的範例包括了顯示器、揚聲器、或其組合。該顯示器可為液晶顯示器、發光二極體顯示器、陰極射線管、電漿顯示器、等。NIC 274的範例包括了網絡介面卡、網絡轉接器、等。
I/O介面的範例包括了在連接至該介面的複數硬體之間提供相容性的介面。例如,I/O介面270將從輸入裝置220接收的信號轉換為與匯流排275相容之形式、振幅、及/或速度。如另一範例,I/O介面272將從匯流排275接收的信號轉換成與輸出裝置222相容之形式、振幅、及/或速度。
另外注意,雖然上述的操作係參照平行板電漿腔室而描述,例如電容耦合電漿腔室、等,但在一些實施例中,上述的操作適用於其他類型的電漿腔室,例如感應耦合電漿(ICP)反應器、變壓耦合電漿(TCP)反應器、導體工具、電子迴旋共振(ECR)反應器、等之電漿腔室。例如,x MHz RF產生器 、y MHz RF產生器、及z MHz RF產生器連接至在ICP反應器之電漿腔室中的電感器。
亦應注意,雖然上述操作係描述為由處理器110來執行,但在一些實施例中,該等操作可由主機系統118的一或更多處理器、或由多重主機系統的多重處理器、或由RF產生器的多重處理器來執行。
吾人應注意,雖然上述的實施例係關於將RF信號提供至電漿腔室之卡盤的下電極並將電漿腔室的上電極接地,但在幾個實施例中,將RF信號提供至上電極而將下電極接地。
本文中描述之實施例可以各樣的電腦系統結構實行,包括手持硬體單元、微處理器系統、基於微處理器或可程式化之消費電子產品、微電腦、大型電腦、及相似物。本發明亦可在分散式計算環境中實施,其中任務係由透過網路連線之遠端處理硬體單元執行。
在了解上面的實施例後,吾人應理解該等實施例可使用各樣電腦實行的操作,其中操作涉及儲存在電腦系統中的資料。這些操作為需要物理量之物理操縱的操作。本文中描述之任何構成本發明之部分的操作為有用的機械操作。該等實施例亦關於用以執行這些操作的硬體單元或設備。可特別為特殊用途電腦建構設備。當被定義為特殊用途電腦時,該電腦在仍可執行特殊用途的同時,亦可執行非特殊用途部分之其他處理、程式執行、或例行程式。在一些實施例中,操作可藉由一電腦加以處理,其中該電腦被一或更多儲存在電腦記憶體、快取記憶體、或透過網路得到的電腦程式選擇性地啟動或配置。當透過網路得到資料時,可以網路上的其他電腦處理該資料,例如,雲端的計算資源。
一或更多實施例亦可被製作為非暫態的電腦可讀媒體上的電腦可讀代碼。在一些實施例中,該非暫態的電腦可讀媒體係可儲存資料的記憶體元件,其中該記憶體元件之後可被電腦系統讀取。非暫態的電腦可讀媒體的範例包括硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟唯讀記憶體(CD-ROMs)、可錄式光碟(CD-Rs)、可覆寫式光碟(CD-RWs)、磁帶、及其他光學與非光學資料儲存硬體單元。非暫態的電腦可讀媒體可包括電腦可讀的有形媒體,其中該媒體係透過連接網路的電腦系統加以散佈,俾使電腦可讀代碼以散佈的方式被儲存及執行。
雖然以特定順序描述上述方法操作,吾人應理解可在操作之間執行其他庶務操作,或可調整操作使得其在略為不同之時間發生,或可將其分散在系統中,其中只要重疊之操作的處理被以期望的方式執行則該系統允許處理操作發生在與處理有關的不同區間。
可將任何實施例的一或更多特徵與任何其他實施例的一或更多特徵結合而不超出本揭露內容中描述之各樣實施例所描述的範圍。
雖然為了清楚理解的目的已對前述的實施例進行詳細地描述,顯而易見的,仍可在隨附申請專利範圍的範圍內實行某些改變及修改。因此,本發明之實施例應被認為係說明性的而非限制性的,且本發明之實施例不受限於本文中所提供的細節,而係可在隨附申請專利範圍的範圍及均等物內修改。
100‧‧‧電漿系統
102‧‧‧阻抗匹配網路
104A‧‧‧RF電纜
104B‧‧‧RF電纜
104C‧‧‧RF電纜
110‧‧‧處理器
112‧‧‧電漿腔室
114‧‧‧RF傳輸線
116‧‧‧感測器
118‧‧‧主機系統
120‧‧‧感測器
122‧‧‧記憶元件
150‧‧‧阻抗匹配模型
152‧‧‧RF傳輸模型
154‧‧‧卡盤模型
160‧‧‧數位信號處理器(DSP)
162‧‧‧RF供應器
164‧‧‧卡盤
166‧‧‧上電極
168‧‧‧工作件
170‧‧‧輸出端
171‧‧‧上表面
172A,172B,172C‧‧‧電纜
174A,174B,174C‧‧‧電纜
176‧‧‧輸入端
180‧‧‧電纜模型
182‧‧‧輸入端
184‧‧‧輸出端
186‧‧‧電纜模型
188‧‧‧輸入端
190‧‧‧輸出端
191‧‧‧曲線圖
192‧‧‧曲線
194‧‧‧曲線
196‧‧‧曲線圖
198‧‧‧曲線圖
202‧‧‧曲線圖
204‧‧‧曲線圖
220‧‧‧輸入裝置
222‧‧‧輸出裝置
270‧‧‧I/O介面
272‧‧‧I/O介面
274‧‧‧網路介面控制器(NIC)
275‧‧‧匯流排
O1‧‧‧模型節點
O2‧‧‧模型節點
O3‧‧‧模型節點
參考以下配合隨附圖式所做的詳細描述可最好地理解本發明。
根據本揭露內容中所描述的一些實施例,圖1為電漿系統的圖式,該電漿系統係用於電纜功率損失判定。
根據本揭露內容中所描述的各樣實施例,圖2A為電纜模型之圖式,該電纜模型為圖1的電漿系統之射頻(RF)電纜的電腦產生模型。
根據本揭露內容中所描述的幾個實施例,圖2B為另一電纜模型的圖式。
根據本揭露內容中所描述的一些實施例,圖3係用以說明與RF電纜所導致之功率衰減相關聯的電纜功率衰減分數係取決於該RF電纜之長度、及連接至該RF電纜的RF產生器之操作頻率的圖式。
根據本揭露內容中所描述的各樣實施例,圖4為曲線圖之實施例,該曲線圖係用以說明RF電纜的電纜功率衰減分數與RF產生器的頻率之間的關係,該RF產生器連接至該RF電纜以經由該RF電纜提供RF信號。
根據本揭露內容中所描述的幾個實施例,圖5顯示了曲線圖之實施例以說明在不使用方程式而是使用一變數的模型化值而產生的變數值與該變數的測量值之間的誤差之間的關係。
根據本揭露內容中所描述的一些實施例,圖6顯示了曲線圖之實施例以說明當使用方程式來判定電纜功率損失時在變數上的誤差之減少。
根據本揭露內容中所描述的各樣實施例,圖7為記憶元件之實施例的方塊圖,該方塊圖係用以說明使用在RF電纜模型之輸出端的實際傳輸功率來計算在該電漿系統的另一零件之模型節點的輸出端的實際功率。
根據本揭露內容中所描述的幾個實施例,圖8為主機系統之圖式。
100‧‧‧電漿系統
102‧‧‧阻抗匹配網路
104A‧‧‧RF電纜
104B‧‧‧RF電纜
104C‧‧‧RF電纜
110‧‧‧處理器
112‧‧‧電漿腔室
114‧‧‧RF傳輸線
116‧‧‧感測器
118‧‧‧主機系統
120‧‧‧感測器
160‧‧‧數位信號處理器(DSP)
162‧‧‧RF供應器
164‧‧‧卡盤
166‧‧‧上電極
168‧‧‧工作件
170‧‧‧輸出端
171‧‧‧上表面
172A‧‧‧電纜
174A‧‧‧電纜
172B‧‧‧電纜
174B‧‧‧電纜
172C‧‧‧電纜
174C‧‧‧電纜
176‧‧‧輸入端

Claims (20)

  1. 一種將電纜損失模型化的方法,包含: 接收在一射頻(RF)產生器的一反向功率之測量結果,該RF產生器經由一RF電纜連接至一阻抗匹配網路,該阻抗匹配網路經由一RF傳輸線連接至一電漿腔室,該RF產生器具有一操作頻率; 接收在該RF產生器的一正向功率之測量結果; 將傳輸至該阻抗匹配網路的一理論功率計算為在該正向功率與該反向功率之間的差; 計算該反向功率對該正向功率之比率以產生一RF功率反射比率; 基於該RF產生器的該操作頻率而辨識該RF電纜的一電纜功率衰減分數; 將一電纜功率損失計算為該RF功率反射比率、該電纜功率衰減分數、及該理論功率之函數; 基於該理論功率及該電纜功率損失而計算待傳輸至該阻抗匹配網路的一實際功率;及 將所計算的該實際功率發送至該RF產生器以產生一RF信號, 其中該方法係由一處理器執行。
  2. 如申請專利範圍第1項之將電纜損失模型化的方法,其中該正向功率及該反向功率之測量結果係從一複電壓及電流感測器接收,該複電壓及電流感測器連接至該RF產生器之輸出端以測量在該RF產生器的該反向功率、及測量在該RF產生器的該正向功率。
  3. 如申請專利範圍第1項之將電纜損失模型化的方法,其中該反向功 率包括一反射功率,其中該反射功率包括了從該電漿腔室反射向該RF產生器之功率。
  4. 如申請專利範圍第1項之將電纜損失模型化的方法,其中該RF產生器包括一RF電源供應器、及連接至該RF電源供應器的一數位信號處理器(DSP),該DSP係用以將該RF信號之功率值提供至該RF電源供應器。
  5. 如申請專利範圍第1項之將電纜損失模型化的方法,其中該阻抗匹配網路係用以將一負載之阻抗與一來源之阻抗相匹配,該負載於該阻抗匹配網路的一端連接至該阻抗匹配網路、且該來源於該阻抗匹配網路的另一端連接至該阻抗匹配網路。
  6. 如申請專利範圍第1項之將電纜損失模型化的方法,其中該RF傳輸線包括了連接至一RF帶的一RF棒、及一額外RF棒,該額外RF棒在該額外RF棒的一端連接至該RF帶且在該額外RF棒的另一端連接至該電漿腔室的一卡盤。
  7. 如申請專利範圍第1項之將電纜損失模型化的方法,其中該電漿腔室包括一卡盤及一上電極,該上電極面向該卡盤。
  8. 如申請專利範圍第1項之將電纜損失模型化的方法,其中該正向功率包括了由該RF產生器所供應之功率。
  9. 如申請專利範圍第1項之將電纜損失模型化的方法,更包含了從一頻率列表辨識該操作頻率,其中辨識該電纜功率衰減分數之步驟包含了將該電纜功率衰減分數判定為與該操作頻率相對應。
  10. 如申請專利範圍第1項之將電纜損失模型化的方法,其中將該電纜功率損失計算為該RF功率反射比率、該電纜功率衰減分數、及該理論傳輸功率之函數的步驟包括: 計算一與該RF功率反射比率之總和以產生一第一結果; 計算一與該RF功率反射比率之間的差以產生一第二結果; 計算該第一結果對該第二結果之比率以產生一第三結果; 計算該理論傳輸功率、該電纜功率衰減分數、及該第三結果之乘積以產生該電纜功率損失。
  11. 如申請專利範圍第1項之將電纜損失模型化的方法,其中基於該理論傳輸功率及該電纜功率損失而計算待傳輸至該阻抗匹配網路的該實際功率之步驟包括了將該實際功率計算為在該理論傳輸功率與該電纜功率損失之間的差。
  12. 如申請專利範圍第1項之將電纜損失模型化的方法,其中該RF信號具有基於計算出的該實際功率而產生之功率。
  13. 一種使用模型化功率損失的方法,包含: 接收在一射頻(RF)產生器的一反向功率之測量結果,該RF產生器經由一RF電纜連接至一阻抗匹配網路,該阻抗匹配網路經由一RF傳輸線連接至一電漿腔室,該RF產生器具有一操作頻率; 接收在該RF產生器的一正向功率之測量結果; 將傳輸至該阻抗匹配網路的一理論功率計算為在該正向功率與該反向功率之間的差; 計算該反向功率對該正向功率之比率以產生一RF功率反射比率; 基於該RF產生器的該操作頻率而辨識該RF電纜的一電纜功率衰減分數; 將一電纜功率損失計算為該RF功率反射比率、該電纜功率衰減分數、及該理論傳輸功率之函數; 基於該理論傳輸功率及該電纜功率損失而計算待傳輸至該阻抗匹配網路的一實際功率;及 基於傳輸至該阻抗匹配網路的該實際功率而判定在與一電腦產生模型相關聯的一節點的一實際功率, 其中,該方法係由一處理器執行。
  14. 如申請專利範圍第13項之使用模型化功率損失的方法,其中該正向功率及該反向功率之測量結果係從一複電壓及電流感測器接收,該複電壓及電流感測器連接至該RF產生器之輸出端以測量在該RF產生器的該反向功率、及測量在該RF產生器的該正向功率。
  15. 如申請專利範圍第13項之使用模型化功率損失的方法,其中基於傳輸至該阻抗匹配網路的該實際功率而判定在該節點的該實際功率之步驟包含了將傳輸至該阻抗匹配網路的該實際功率傳播通過該電腦產生模型以計算在該節點的該實際功率的值。
  16. 如申請專利範圍第15項之使用模型化功率損失的方法,其中對傳輸至該阻抗匹配網路的該實際功率進行傳播之步驟包含了計算與該電腦產生模型的一或更多電路構件相關聯的一或更多功率值、和傳輸至該阻抗匹配網路的該實際功率的一方向性總和(directional sum)。
  17. 如申請專利範圍第13項之使用模型化功率損失的方法,其中該節點包括了在該電腦產生模型之輸入端的一輸入節點、或在該電腦產生模型之輸出端的一輸出節點、或在該電腦產生模型內的一中間節點。
  18. 如申請專利範圍第13項之使用模型化功率損失的方法,更包含: 將在該節點的該實際功率發送至該RF產生器以控制該RF產生器,其中將在該節點的該實際功率發送至該RF產生器以控制該RF產生器之步驟包含發送在該節點的該實際功率之值以產生一RF信號以達成一臨界值。
  19. 一種使用模型化功率損失的電漿系統,包括: 一射頻(RF)產生器,用以供應一正向功率,該RF產生器具有一操作頻率; 一阻抗匹配電路,連接至該RF產生器以接收該正向功率、並基於該正向功率而產生一修改後RF信號; 一RF電纜,將該RF產生器連接至該阻抗匹配電路以促成該正向功率至該阻抗匹配電路之傳遞; 一電漿腔室,經由一RF傳輸線連接至該阻抗匹配電路,該電漿腔室係用以基於該修改後RF信號而產生一電漿; 一感測器,連接至該RF產生器以測量該正向功率及測量一反向功率,該反向功率係從該電漿經由該RF電纜而反射向該RF產生器; 一主機系統,連接至該感測器以接收該正向功率及該反向功率之測量結果, 其中該主機系統係用以: 將傳輸至該阻抗匹配網路的一理論功率計算為在該正向功率與該反向功率之間的差; 計算該反向功率對該正向功率之比率以產生一RF功率反射比率; 基於該RF產生器的該操作頻率而辨識該RF電纜的一電纜功率衰減分數; 將一電纜功率損失計算為該RF功率反射比率、該電纜功率衰減分數、及該理論傳輸功率之函數; 基於該理論傳輸功率及該電纜功率損失而計算待傳輸至該阻抗匹配電路的一實際功率;及 將所計算的該實際功率發送至該RF產生器以控制該RF產生器。
  20. 如申請專利範圍第19項之使用模型化功率損失的的電漿系統,其中該阻抗匹配電路缺乏至一感測器的連接,該感測器係用以測量在該阻抗匹配電路的一正向功率及在該阻抗匹配電路反射的一反向功率 。
TW104100661A 2014-01-10 2015-01-09 用於虛擬量測之電纜功率損失決定 TWI650563B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/152,791 US9594105B2 (en) 2014-01-10 2014-01-10 Cable power loss determination for virtual metrology
US14/152,791 2014-01-10

Publications (2)

Publication Number Publication Date
TW201538991A true TW201538991A (zh) 2015-10-16
TWI650563B TWI650563B (zh) 2019-02-11

Family

ID=53521176

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104100661A TWI650563B (zh) 2014-01-10 2015-01-09 用於虛擬量測之電纜功率損失決定

Country Status (4)

Country Link
US (2) US9594105B2 (zh)
KR (1) KR102247844B1 (zh)
SG (1) SG10201408735XA (zh)
TW (1) TWI650563B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113189417A (zh) * 2021-04-09 2021-07-30 国网浙江乐清市供电有限公司 基于线损动态分析的线损异常判断装置及方法
TWI742049B (zh) * 2016-04-13 2021-10-11 美商蘭姆研究公司 用於射頻產生器之多狀態的以步進方式調節射頻產生器及阻抗匹配網路之系統

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102323075B1 (ko) * 2015-09-10 2021-11-10 세메스 주식회사 플라즈마 발생 장치, rf 신호 공급 방법 및 기판 처리 장치

Family Cites Families (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
DE9109503U1 (zh) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6677711B2 (en) * 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
WO2004003968A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US20050252884A1 (en) 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
TWI391035B (zh) 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
JP4388287B2 (ja) * 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7867457B2 (en) 2003-06-20 2011-01-11 Drexel University Plasma reactor for the production of hydrogen-rich gas
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
TWI232942B (en) * 2003-10-03 2005-05-21 Rich Wave Technology Corp Analytical apparatus and method for power gain and loss in multi-stage RF amplifier
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
ATE441203T1 (de) 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
WO2008024392A2 (en) 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
US8073646B2 (en) 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
CA2695650C (en) 2007-08-06 2015-11-03 Plasma Surgical Investments Limited Pulsed plasma device and method for generating pulsed plasma
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US20100099266A1 (en) 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20120160806A1 (en) 2009-08-21 2012-06-28 Godyak Valery A Inductive plasma source
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8909365B2 (en) 2009-11-19 2014-12-09 Lam Research Corporation Methods and apparatus for controlling a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130119018A1 (en) 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
KR102133057B1 (ko) * 2012-06-22 2020-07-10 램 리써치 코포레이션 플라즈마 프로세싱 시스템에서의 제어를 위한 방법 및 장치
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742049B (zh) * 2016-04-13 2021-10-11 美商蘭姆研究公司 用於射頻產生器之多狀態的以步進方式調節射頻產生器及阻抗匹配網路之系統
CN113189417A (zh) * 2021-04-09 2021-07-30 国网浙江乐清市供电有限公司 基于线损动态分析的线损异常判断装置及方法
CN113189417B (zh) * 2021-04-09 2022-07-26 国网浙江乐清市供电有限公司 基于线损动态分析的线损异常判断装置及方法

Also Published As

Publication number Publication date
US20150198639A1 (en) 2015-07-16
US9594105B2 (en) 2017-03-14
KR20150083800A (ko) 2015-07-20
US9927481B2 (en) 2018-03-27
TWI650563B (zh) 2019-02-11
US20170146581A1 (en) 2017-05-25
KR102247844B1 (ko) 2021-05-04
SG10201408735XA (en) 2015-08-28

Similar Documents

Publication Publication Date Title
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
KR102649759B1 (ko) 매칭 네트워크들을 사용하여 임피던스 매칭 모델의 특성들을 제공하기 위한 시스템들 및 방법들
US10748748B2 (en) RF impedance model based fault detection
US9779196B2 (en) Segmenting a model within a plasma system
US9652567B2 (en) System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10325759B2 (en) Multiple control modes
US10340127B2 (en) Using modeling to determine wafer bias associated with a plasma system
US9530620B2 (en) Dual control modes
TWI668725B (zh) 使用模型化、回授及阻抗匹配之蝕刻速率的控制
US9620337B2 (en) Determining a malfunctioning device in a plasma system
KR102208578B1 (ko) 인-챔버 및 챔버-투-챔버 매칭을 위한 다중 매개 변수를 갖는 에칭 레이트 모델링 및 그 사용
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
US10296676B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
TWI609606B (zh) 用於功率控制模式之腔室匹配
TWI639182B (zh) 電漿蝕刻腔室中之rf功率補償用系統、方法、及設備
KR20170103660A (ko) 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템들 및 방법들
US9927481B2 (en) Cable power loss determination for virtual metrology
JP2016081933A (ja) プラズマシステムでの不良に関連する構成要素の識別
TWI692798B (zh) 將電漿系統內之模型加以分段