TW201442079A - Structure of metal gate structure and manufacturing method of the same - Google Patents

Structure of metal gate structure and manufacturing method of the same Download PDF

Info

Publication number
TW201442079A
TW201442079A TW102113658A TW102113658A TW201442079A TW 201442079 A TW201442079 A TW 201442079A TW 102113658 A TW102113658 A TW 102113658A TW 102113658 A TW102113658 A TW 102113658A TW 201442079 A TW201442079 A TW 201442079A
Authority
TW
Taiwan
Prior art keywords
layer
work function
gate structure
germanium
metal gate
Prior art date
Application number
TW102113658A
Other languages
Chinese (zh)
Other versions
TWI582839B (en
Inventor
Nien-Ting Ho
Chien-Hao Chen
Hsin-Fu Huang
Chi-Yuan Sun
Wei-Yu Chen
Min-Chuan Tsai
Tsun-Min Cheng
Chi-Mao Hsu
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW102113658A priority Critical patent/TWI582839B/en
Publication of TW201442079A publication Critical patent/TW201442079A/en
Application granted granted Critical
Publication of TWI582839B publication Critical patent/TWI582839B/en

Links

Abstract

A manufacturing method of a metal gate structure is provided. First, a substrate covered by an interlayer dielectric is provided. A gate trench is formed in the interlayer dielectric, wherein a gate dielectric layer is formed in the gate trench. A silicon-containing work function layer is formed on the gate dielectric layer in the gate trench. Finally, the gate trench is filled up with a conductive metal layer.

Description

金屬閘極結構及其製作方法 Metal gate structure and manufacturing method thereof

本發明係有關於一種金屬閘極結構及其製作方法,特別是一種採用後閘極(gate last)製程之金屬閘極結構及其製作方法。 The invention relates to a metal gate structure and a manufacturing method thereof, in particular to a metal gate structure using a gate last process and a manufacturing method thereof.

隨著半導體元件尺寸持續微縮,傳統方法中利用降低閘極介電層,例如降低二氧化矽層厚度,以達到最佳化目的之方法,係面臨到因電子的穿隧效應(tunneling effect)而導致漏電流過大的物理限制。為了有效延展邏輯元件的世代演進,高介電常數(high dielectric constant,以下簡稱為high-k)材料因具有可有效降低物理極限厚度,並且在相同的等效氧化厚度(equivalent oxide thickness,EOT)下,有效降低漏電流並達成等效電容以控制通道開關等優點,而被用以取代傳統二氧化矽層或氮氧化矽層作為閘極介電層。 As the size of semiconductor components continues to shrink, the conventional method utilizes a tunneling effect that reduces the thickness of the gate dielectric layer, such as reducing the thickness of the yttria layer, for optimization purposes. A physical limitation that causes excessive leakage current. In order to effectively extend the evolution of logic components, high dielectric constant (hereinafter referred to as high-k) materials have an effective reduction in physical limit thickness and the same equivalent oxide thickness (EOT). In order to effectively reduce the leakage current and achieve the equivalent capacitance to control the channel switch, it is used to replace the conventional ruthenium dioxide layer or the ruthenium oxynitride layer as the gate dielectric layer.

而傳統的閘極材料多晶矽則面臨硼穿透(boron penetration)效應,導致元件效能降低等問題;且多晶矽閘極更遭遇難以避免的空乏效應(depletion effect),使得等效的閘極介電層厚度增加、閘極電容值下降,進而導致元件驅動能力的衰退等困境。針對此問題,半導體業界更提出以新的閘極材料,例如利用具有功函數(work function)金屬層的金屬閘極來取代傳統的多晶矽閘極,用以作為匹配high-k閘極介電層的控制電極。 However, the conventional gate material polysilicon is faced with boron penetration effect, which leads to problems such as lower component efficiency; and the polysilicon gate encounters an inevitable depletion effect, making the equivalent gate dielectric layer The increase in thickness and the decrease in the gate capacitance value lead to difficulties such as the deterioration of the component driving capability. In response to this problem, the semiconductor industry has proposed to replace the traditional polysilicon gate with a new gate material, such as a metal gate with a work function metal layer, as a matching high-k gate dielectric layer. Control electrode.

然而,即使利用high-k閘極介電層取代傳統二氧化矽或氮氧化矽介電層,並以具有匹配功函數之金屬閘極取代傳統多晶矽閘極,如何持續地增加半導體元件效能,例如在降低閘極介電層的等效厚度時,同時確保P型金氧半導體(P-type metal-oxide-semiconductor,PMOS)電晶體的金屬閘極具有介於4.9電子伏特(eV)至5.2eV左右的功函數,一直為半導體業者所欲解決的問題。 However, even if a high-k gate dielectric layer is used in place of a conventional germanium dioxide or tantalum oxynitride dielectric layer, and a metal gate with a matching work function is substituted for a conventional polysilicon gate, how to continuously increase the performance of the semiconductor device, for example, When reducing the equivalent thickness of the gate dielectric layer, it is ensured that the metal gate of the P-type metal-oxide-semiconductor (PMOS) transistor has a potential of 4.9 eV to 5.2 eV. The left and right work functions have been the problem that the semiconductor industry has to solve.

因此,本發明之一目的係在於提供一種金屬閘極結構及其製作方法,可調整PMOS電晶體之金屬閘極至所需的功函數。 Accordingly, it is an object of the present invention to provide a metal gate structure and a method of fabricating the same that can adjust the metal gate of a PMOS transistor to a desired work function.

根據本發明之一較佳實施例,係提供一種金屬閘極結構,其包括基底、閘極溝渠、閘極介電層、含矽功函數層以及導電層。基底上覆蓋有一層間介電層,且層間介電層內具有閘極溝渠。閘極介電層、含矽功函數層以及導電金屬層依序被設置於閘極溝渠內的基底上。其中導電金屬層會填滿閘極溝渠。 In accordance with a preferred embodiment of the present invention, a metal gate structure is provided that includes a substrate, a gate trench, a gate dielectric layer, a germanium-containing function layer, and a conductive layer. The substrate is covered with an interlayer dielectric layer, and the interlayer dielectric layer has a gate trench. The gate dielectric layer, the germanium-containing function layer, and the conductive metal layer are sequentially disposed on the substrate in the gate trench. The conductive metal layer fills the gate trench.

根據本發明之另一較佳實施例,係提供一種金屬閘極結構的製作方法,包括下列步驟。首先提供一基底,其上覆蓋有一層間介電層。於層間介電層內形成一閘極溝渠,且閘極溝渠內具有一閘極介電層。於閘極溝渠內之閘極介電層上形成一含矽功函數層。最後,於閘極溝渠內填滿一導電金屬層。 According to another preferred embodiment of the present invention, a method of fabricating a metal gate structure is provided, including the following steps. A substrate is first provided which is covered with an interlevel dielectric layer. A gate trench is formed in the interlayer dielectric layer, and a gate dielectric layer is disposed in the gate trench. A germanium-containing function layer is formed on the gate dielectric layer in the gate trench. Finally, a conductive metal layer is filled in the gate trench.

10‧‧‧第一區域 10‧‧‧First area

12‧‧‧第二區域 12‧‧‧Second area

20‧‧‧第一堆疊結構 20‧‧‧First stack structure

30‧‧‧第二堆疊結構 30‧‧‧Second stacking structure

100‧‧‧基底 100‧‧‧Base

102‧‧‧淺溝隔離結構 102‧‧‧Shallow trench isolation structure

104‧‧‧介質層 104‧‧‧ dielectric layer

106‧‧‧閘極介電層 106‧‧‧gate dielectric layer

108‧‧‧阻障層 108‧‧‧Barrier layer

110‧‧‧蝕刻停止層 110‧‧‧etch stop layer

112‧‧‧第一功函數層 112‧‧‧First work function layer

116‧‧‧圖案化犧牲層 116‧‧‧ patterned sacrificial layer

118‧‧‧圖案化硬遮罩 118‧‧‧ patterned hard mask

120‧‧‧第一輕摻雜汲極 120‧‧‧First lightly doped bungee

122‧‧‧第二輕摻雜汲極 122‧‧‧Second lightly doped bungee

124‧‧‧側壁子 124‧‧‧ Sidewall

130‧‧‧第一源極/汲極 130‧‧‧First source/bungee

132‧‧‧第二源極/汲極 132‧‧‧Second source/bungee

134‧‧‧金屬矽化物 134‧‧‧metal telluride

140‧‧‧接觸洞蝕刻停止層 140‧‧‧Contact hole etch stop layer

142‧‧‧層間介電層 142‧‧‧Interlayer dielectric layer

146‧‧‧圖案化光阻層 146‧‧‧ patterned photoresist layer

150‧‧‧第一閘極溝渠 150‧‧‧First Gate Ditch

152‧‧‧第二閘極溝渠 152‧‧‧Second gate ditches

160‧‧‧第二功函數層 160‧‧‧second work function layer

162‧‧‧頂部阻障層 162‧‧‧Top barrier layer

170‧‧‧金屬層 170‧‧‧metal layer

172‧‧‧閘極金屬層 172‧‧‧ gate metal layer

180‧‧‧第一金屬閘極結構 180‧‧‧First metal gate structure

182‧‧‧第二金屬閘極結構 182‧‧‧Second metal gate structure

第1圖至第10圖為本發明較佳實施例製作一具有金屬閘極之半導體元件示意圖。 1 to 10 are schematic views showing a semiconductor device having a metal gate according to a preferred embodiment of the present invention.

請參照第1圖至第10圖,第1圖至第10圖為本發明較佳實施例製作一具有金屬閘極之半導體元件示意圖。在本實施例中,半導體元件較佳為一互補式金氧半場效電晶體(CMOS transistor),且本較佳實施例採用後閘極(gate-last)製程搭配前高介電常數介電層(high-K first)製程。如第1圖所示,首先提供一基底100,例如一矽基底或一絕緣層上覆矽(silicon-on-insulator,SOI)基底等。基底100上定義有一第一區域10與一第二區域12,例如分別是一PMOS區域與一NMOS區域,且基底100內形成有複數個淺溝隔離結構(shallow trench isolation,STI)102,用來電性絕緣兩相鄰區域。 Please refer to FIG. 1 to FIG. 10 . FIG. 1 to FIG. 10 are schematic diagrams showing a semiconductor device having a metal gate according to a preferred embodiment of the present invention. In this embodiment, the semiconductor device is preferably a complementary CMOS transistor, and the preferred embodiment uses a gate-last process with a front high-k dielectric layer. (high-K first) process. As shown in FIG. 1, a substrate 100 is first provided, such as a germanium substrate or a silicon-on-insulator (SOI) substrate. A first region 10 and a second region 12 are defined on the substrate 100. For example, a PMOS region and an NMOS region are respectively formed, and a plurality of shallow trench isolation (STI) 102 are formed in the substrate 100 for electricity. Sexual insulation of two adjacent areas.

接著選擇性地在基底100表面上形成一由氧化物、氮化物等介電材料所構成的介質層(interfacial layer)104,並再依序形成一閘極介電層106以及一阻障層108以構成堆疊薄膜在介質層104上。其中,閘極介電層106較佳為一介電常數實質上大於20的高介電常數介電層,且具有一層或多層的結構。根據本發明之實施例,高介電常數介電層可包含一金屬氧化物層,例如一稀土金屬氧化物層,且可選自由氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,AlO)、氧化鑭(lanthanum oxide,La2O3)、鋁酸鑭(lanthanum aluminum oxide,LaAlO)、氧化鉭(tantalum oxide,Ta2O3)、氧化鋯(zirconium oxide,ZrO2)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO)、鋯酸鉿 (hafnium zirconium oxide,HfZrO)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)以及鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST)等所構成的群組。此外,阻障層108較佳由氮化鈦(TiN)所構成。 Then, an interfacial layer 104 made of a dielectric material such as an oxide or a nitride is selectively formed on the surface of the substrate 100, and a gate dielectric layer 106 and a barrier layer 108 are sequentially formed. To form a stacked film on the dielectric layer 104. The gate dielectric layer 106 is preferably a high-k dielectric layer having a dielectric constant substantially greater than 20, and has one or more layers. According to an embodiment of the present invention, high-k dielectric layer may include a metal oxide layer, for example, a rare earth metal oxide layer, and optionally consisting of hafnium oxide (hafnium oxide, HfO 2), hafnium silicate oxide ( Hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), aluminum oxide (AlO), lanthanum oxide (La 2 O 3 ), lanthanum aluminum oxide (lanthanum aluminum oxide, LaAlO), tantalum oxide (Ta 2 O 3 ), zirconium oxide (ZrO 2 ), zirconium silicon oxide (ZrSiO), hafnium zirconium oxide (HfZrO), antimony Strontium bismuth tantalate (SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (PbZr x Ti 1-x O 3 , PZT) and barium strontium titanate (BaxSr) a group consisting of 1-x TiO 3 , BST) and the like. Further, the barrier layer 108 is preferably made of titanium nitride (TiN).

然後,如第2圖所示,在阻障層108表面依序形成一犧牲層(圖未示),例如多晶矽層,以及一硬遮罩(圖未示),然後利用一圖案化光阻層(圖未示)當作遮罩進行一圖案轉移製程,以單次蝕刻或逐次蝕刻步驟,去除部分的硬遮罩、犧牲層、阻障層108、閘極介電層106及介質層104,而於基底100上形成圖案化犧牲層116以及圖案化硬遮罩118。仍如第2圖所示,最後剝除圖案化光阻層,便可分別於第一區域10及第二區域12形成一第一堆疊結構20與一第二堆疊結構30。上述之堆疊結構20、30可在後續製程中當做虛置閘極(dummy gate)或取代閘極(replacement gate)結構。其中,上述犧牲層之材質可包括不具有任何摻質(undoped)的多晶矽材料、具有N+摻質的多晶矽材料、及/或非晶矽材料。硬遮罩則由二氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)及/或氮氧化矽(SiON)所構成。 Then, as shown in FIG. 2, a sacrificial layer (not shown), such as a polysilicon layer, and a hard mask (not shown) are sequentially formed on the surface of the barrier layer 108, and then a patterned photoresist layer is used. (not shown) performing a pattern transfer process as a mask, and removing a portion of the hard mask, the sacrificial layer, the barrier layer 108, the gate dielectric layer 106, and the dielectric layer 104 by a single etching or successive etching step, A patterned sacrificial layer 116 and a patterned hard mask 118 are formed on the substrate 100. As shown in FIG. 2, finally, the patterned photoresist layer is stripped, and a first stacked structure 20 and a second stacked structure 30 are formed in the first region 10 and the second region 12, respectively. The stacked structures 20, 30 described above can be used as a dummy gate or a replacement gate structure in subsequent processes. Wherein, the material of the sacrificial layer may include a polycrystalline germanium material having no undoped, a polycrystalline germanium material having an N + dopant, and/or an amorphous germanium material. The hard mask is composed of cerium oxide (SiO 2 ), cerium nitride (SiN), tantalum carbide (SiC), and/or cerium oxynitride (SiON).

然後如第3圖所示,分別在第一堆疊結構20與第二堆疊結構30之各側壁分別形成側壁子124,以及在側壁子124兩側的基底100內各自形成一具有相對應導電型之輕摻雜汲極(lightly doped drain,LDD)與源極/汲極。具體來說,第一輕摻雜汲極120及第一源極/汲極130會形成於第一區域10中,且其均具有第一導電型,例如P型。而第二輕摻雜汲極122及第二源極/汲極132會形成於第二區域12中,且其均具有第二導電型,例如N型。 Then, as shown in FIG. 3, sidewalls 124 are respectively formed on the sidewalls of the first stack structure 20 and the second stack structure 30, and a corresponding conductive type is formed in each of the substrates 100 on both sides of the sidewall spacer 124. Lightly doped drain (LDD) and source/drain. Specifically, the first lightly doped drain 120 and the first source/drain 130 may be formed in the first region 10 and each have a first conductivity type, such as a P-type. The second lightly doped drain 122 and the second source/drain 132 are formed in the second region 12, and each has a second conductivity type, such as an N-type.

接著可選擇性地進行一選擇性磊晶成長(selective epitaxial growth,SEG)製程,例如於第一區域10及/或第二區域12內的側壁子124兩側的基底100中形成一磊晶層(圖未示),以提供適當之壓縮應力於載子通道中。舉例來說,第一區域10內的磊晶層材質可包括矽鍺(silicon germanium,SiGe),而第二區域12內的磊晶層材質可包括矽磷(silicon phosphous,SiP)或矽碳(silicon carbide,SiC)。且上述磊晶層各自可以是單層結構或多層結構。另外,形成磊晶層的時點不限於在形成源極/汲極130、132之後,其也可以被優先形成於基底100中。 Then, a selective epitaxial growth (SEG) process may be selectively performed, for example, an epitaxial layer is formed in the substrate 100 on both sides of the sidewalls 124 in the first region 10 and/or the second region 12. (not shown) to provide proper compressive stress in the carrier channel. For example, the material of the epitaxial layer in the first region 10 may include silicon germanium (SiGe), and the material of the epitaxial layer in the second region 12 may include silicon phosphous (SiP) or germanium carbon ( Silicon carbide, SiC). And each of the above epitaxial layers may be a single layer structure or a multilayer structure. In addition, the timing at which the epitaxial layer is formed is not limited to being formed in the substrate 100 preferentially after the source/drain electrodes 130, 132 are formed.

隨後可進行一矽金屬化(silicidation)製程,例如先形成一由鈷、鈦、鎳、鉑、鈀、鉬等所構成的金屬層(圖未示)於基底100上並覆蓋源極/汲極130、132,接著利用至少一次的快速升溫退火(rapid thermal anneal,RTP)製程使金屬層與源極/汲極130、132反應,以於第一區域10及第二區域12的基底100表面分別形成一矽化金屬層134。最後再去除未反應的金屬層。在此需注意的是,施行矽金屬化製程的時點不限於此,其也可以是在後續形成源極/汲極接觸洞,並暴露出源極/汲極後才施行。 Then, a silicidation process may be performed. For example, a metal layer (not shown) composed of cobalt, titanium, nickel, platinum, palladium, molybdenum or the like is formed on the substrate 100 and covers the source/drain 130, 132, and then using at least one rapid thermal anneal (RTP) process to react the metal layer with the source/drain electrodes 130, 132 to surface the substrate 100 of the first region 10 and the second region 12, respectively A deuterated metal layer 134 is formed. Finally, the unreacted metal layer is removed. It should be noted that the timing of performing the germanium metallization process is not limited thereto, and it may be performed after the source/drain contact hole is formed subsequently and the source/drain is exposed.

然後依序形成一接觸洞蝕刻停止層(contact etch stop layer,CESL)140以及一層間介電層142於基底100表面上,以分別覆蓋第一堆疊結構20與第二堆疊結構30。其中,接觸洞蝕刻停止層140可以具有適當應力,以增進載子的遷移率。最後進行一平坦化製程,例如一化學機械研磨製程及/或蝕刻製程,去除部分的層間介電層142、部分的接觸洞蝕刻停止層140及部分的硬遮罩118,直至暴露 出圖案化犧牲層116。然後進行一蝕刻製程,掏空第一區域10及第二區域12內的圖案化犧牲層116,以於第一區域10以及第二區域12的層間介電層142中分別形成一第一閘極溝渠150以及一第二閘極溝渠152。 Then, a contact etch stop layer (CESL) 140 and an interlayer dielectric layer 142 are sequentially formed on the surface of the substrate 100 to cover the first stacked structure 20 and the second stacked structure 30, respectively. Wherein, the contact hole etch stop layer 140 may have appropriate stress to enhance the mobility of the carrier. Finally, a planarization process, such as a chemical mechanical polishing process and/or an etching process, is performed to remove portions of the interlayer dielectric layer 142, portions of the contact hole etch stop layer 140, and portions of the hard mask 118 until exposed. The patterned sacrificial layer 116 is patterned. Then, an etching process is performed to hollow out the patterned sacrificial layer 116 in the first region 10 and the second region 12 to form a first gate in the interlayer dielectric layer 142 of the first region 10 and the second region 12, respectively. The trench 150 and a second gate trench 152.

在此需注意的是,由於閘極介電層106表面會被阻障層108覆蓋,所以閘極介電層106不會被蝕刻或被掏空。最後,選擇性地全面性沉積一蝕刻停止層110,以順向性地覆蓋第一閘極溝渠150以及一第二閘極溝渠152之內壁。其中,蝕刻停止層110之組成較佳與阻障層108不同,例如可包括氮化鉭(tantalum nitride,TaN),但不限於此。 It should be noted here that since the surface of the gate dielectric layer 106 is covered by the barrier layer 108, the gate dielectric layer 106 is not etched or hollowed out. Finally, an etch stop layer 110 is selectively deposited in a comprehensive manner to cover the first gate trench 150 and the inner wall of a second gate trench 152 in a compliant manner. The composition of the etch stop layer 110 is preferably different from the barrier layer 108, and may include, for example, tantalum nitride (TaN), but is not limited thereto.

另外請參閱第4圖,第4圖係為本較佳實施例之一變化型之示意圖。如第4圖所示,本變化型係採用後高介電常數介電層(high-k last)製程整合。具體來說,本變化型在形成第一堆疊結構(圖未示)與第二堆疊結構(圖未示)之前毋需形成閘極介電層及阻障層,故此實施例初始階段的第一堆疊結構與第二堆疊結構不會包括閘極介電層及阻障層。根據本實施例,在移除閘極犧牲層(圖未示)而形成第一閘極溝渠150與第二閘極溝渠152之後,會暴露出位於第一閘極溝渠150與第二閘極溝渠152底部的介質層104。其中,介質層104可由二氧化矽組成且可作為一介面層。隨後於基底100上形成一閘極介電層106,其可包含上述high-k材料。且如第4圖所示,在第一閘極溝渠150與第二閘極溝渠152內的閘極介電層106係具有一U型形狀,覆蓋第一閘極溝渠150與第二閘極溝渠152之側壁與底部。在形成high-k閘極介電層106後,亦可再於其上形成前述之阻障層(圖未示)與選擇性的蝕刻停止層110。 In addition, please refer to FIG. 4, which is a schematic diagram of a variation of the preferred embodiment. As shown in Fig. 4, this variant uses a post-high-k last process integration. Specifically, the present modification needs to form a gate dielectric layer and a barrier layer before forming the first stacked structure (not shown) and the second stacked structure (not shown), so the first stage of the initial stage of this embodiment The stacked structure and the second stacked structure do not include a gate dielectric layer and a barrier layer. According to this embodiment, after the gate sacrificial layer (not shown) is removed to form the first gate trench 150 and the second gate trench 152, the first gate trench 150 and the second gate trench are exposed. The dielectric layer 104 at the bottom of the 152. The dielectric layer 104 may be composed of cerium oxide and may serve as an interface layer. A gate dielectric layer 106 is then formed over the substrate 100, which may comprise the high-k material described above. As shown in FIG. 4, the gate dielectric layer 106 in the first gate trench 150 and the second gate trench 152 has a U-shape covering the first gate trench 150 and the second gate trench 152 side and bottom. After forming the high-k gate dielectric layer 106, the aforementioned barrier layer (not shown) and the selective etch stop layer 110 may be formed thereon.

隨後如第5圖所示,形成一第一功函數層112,以順向性地覆蓋層間介電層142以及各閘極溝渠150、152的底部及側壁。因此,第一功函數層112較佳會包括一垂直部以及水平部,分別位於溝渠側壁以及底面。在此需注意的是,本實施例之第一功函數層112的組成含有矽原子,因此可以被稱作是一含矽功函數層。更具體來說,其組成較佳包含鈦、矽、以及氮,或進一步包括氧等其他原子。 A first work function layer 112 is then formed as shown in FIG. 5 to laterally cover the interlayer dielectric layer 142 and the bottom and sidewalls of each of the gate trenches 150, 152. Therefore, the first work function layer 112 preferably includes a vertical portion and a horizontal portion, respectively located on the side wall and the bottom surface of the trench. It should be noted here that the composition of the first work function layer 112 of the present embodiment contains germanium atoms, and thus may be referred to as a germanium-containing work function layer. More specifically, the composition preferably includes titanium, ruthenium, and nitrogen, or further includes other atoms such as oxygen.

較佳而言,本實施例形成第一功函數層112的方式是採用原子層沉積(atomic layer deposition,ALD)製程,其包括複數個吸附(adsorption)-潔淨(purge)-吸附-潔淨的循環製程。舉例來說,對於組成包括鈦、矽及氮的第一功函數層112來說,各吸附-潔淨-吸附-潔淨的製程可以對應至提供鈦前驅物(precursor)-提供潔淨氣體-提供矽前驅物及氮前驅物-提供潔淨氣體。因此,鈦前驅物,例如四氯化鈦(TiCl4),以及矽前驅物,矽烷(SiH4),會被交替提供至基底100上,而順向性覆蓋各閘極溝渠150、152的底部及側壁。此外,上述製程可以包括加熱或是電漿製程,以增加反應能力。 Preferably, the first work function layer 112 is formed by the present embodiment by using an atomic layer deposition (ALD) process, which includes a plurality of adsorption-purge-adsorption-clean cycles. Process. For example, for a first work function layer 112 comprising titanium, niobium, and nitrogen, each adsorption-clean-adsorption-clean process can correspond to providing a titanium precursor-providing a clean gas-providing a helium precursor. Matter and nitrogen precursor - provide clean gas. Thus, titanium precursors, such as titanium tetrachloride (TiCl 4), and silicon precursor, Silane (SiH 4), are alternately supplied onto the substrate 100, and the conformality cover the bottom of the gate trench 150, 152 And side walls. In addition, the above process may include heating or a plasma process to increase the reaction capacity.

除了上述的原子層沉積製程之外,第一功函數層112也可以透過其他多種方式形成,例如利用氣相擴散(gas phase diffusion)、離子佈植(ion implantation)、固相擴散(solid phase diffusion)或是上述沉積製程的組合。舉例來說,可以先在各閘極溝渠150、152的底部及側壁沉積依序沉積一矽層,例如多晶矽層或非晶矽層,以及一鈦金屬層。接著施行一熱製程,使矽原子及/或鈦原子擴散,而形成一矽化鈦層。最後再利用氣相擴散或離子佈植的方式以分別使氮原子自由擴散或加速撞擊至金屬層內。 In addition to the atomic layer deposition process described above, the first work function layer 112 can also be formed by other various methods, such as gas phase diffusion, ion implantation, solid phase diffusion. ) or a combination of the above deposition processes. For example, a layer of germanium, such as a polysilicon layer or an amorphous germanium layer, and a titanium metal layer may be deposited sequentially on the bottom and sidewalls of each of the gate trenches 150, 152. Then, a thermal process is performed to diffuse germanium atoms and/or titanium atoms to form a titanium telluride layer. Finally, vapor phase diffusion or ion implantation is used to freely diffuse or accelerate the impact of the nitrogen atoms into the metal layer, respectively.

較佳來說,第一功函數層112的功函數數值會大於4.9eV。其中鈦與矽的原子數比值會介於1.5至4之間,且矽原子百分比會介於10%至30%之間。對於含矽功函數層包括鈦、矽、氮及氧的情況來說,其彼此間的原子數比例較佳為28.9:13.2:46.8:10。此外,第一功函數層112也可以是摻雜有矽的金屬化合物,金屬化合物包括氮化鈦(titanium nitride,TiN)、碳化鈦(titanium carbide,TiC)、氮化鉭(tantalum nitride,TaN)、碳化鉭(tantalum carbide,TaC)、碳化鎢(tungsten carbide,WC)或氮化鋁鈦(aluminum titanium nitride,TiAlN),但不限於此。此外,第一功函數層112也可為一單層結構或一複合層結構。 Preferably, the work function value of the first work function layer 112 will be greater than 4.9 eV. The atomic ratio of titanium to tantalum will be between 1.5 and 4, and the percentage of germanium atoms will be between 10% and 30%. In the case where the ruthenium-containing function layer includes titanium, ruthenium, nitrogen and oxygen, the ratio of the number of atoms between them is preferably 28.9:13.2:46.8:10. In addition, the first work function layer 112 may also be a metal compound doped with antimony. The metal compound includes titanium nitride (TiN), titanium carbide (TiC), and tantalum nitride (TaN). , tantalum carbide (TaC), tungsten carbide (WC) or aluminum titanium nitride (TiAlN), but is not limited thereto. In addition, the first work function layer 112 can also be a single layer structure or a composite layer structure.

本發明之一特徵是將矽原子摻雜至P型功函數層內,以調整其功函數數值。對照於一般使用氮或氧調整P型功函數層功函數數值的情形,本發明之含矽功函數層可以在不增加閘極漏電流密度(gate leakage current,Jg)之情況下,提昇電晶體結構的電性表現,例如增加平帶電壓(flat band voltage,Vfb)以及減少等效氧化厚度。 One feature of the invention is the doping of germanium atoms into the P-type work function layer to adjust its work function value. In contrast to the case where the value of the P-type work function layer work function is generally adjusted using nitrogen or oxygen, the ruthenium-containing work function layer of the present invention can increase the electric power without increasing the gate leakage current (J g ). The electrical behavior of the crystal structure, such as increasing the flat band voltage (V fb ) and reducing the equivalent oxidation thickness.

接著如第6圖所示,施行一光微影製程,於基底100上形成一具有單層或多層結構之圖案化光阻層146。其中,圖案化光阻層146可以暴露出位於第二區域12內之第一功函數層112。繼以利用一合適之蝕刻劑移除未被圖案化光阻層146保護的第一功函數層112,以暴露出於第二閘極溝渠152內之蝕刻停止層108。在移除第一功函數層112時,蝕刻停止層108係可避免其下方的阻障層108與閘極介電層106被進一步蝕刻。 Next, as shown in FIG. 6, a photolithography process is performed to form a patterned photoresist layer 146 having a single layer or a multilayer structure on the substrate 100. The patterned photoresist layer 146 may expose the first work function layer 112 located in the second region 12. The first work function layer 112, which is not protected by the patterned photoresist layer 146, is removed by a suitable etchant to expose the etch stop layer 108 within the second gate trench 152. When the first work function layer 112 is removed, the etch stop layer 108 prevents the barrier layer 108 and the gate dielectric layer 106 from being further etched underneath.

另外如第7圖所示,為了改善後續閘極金屬層的填入結果,可以僅將上述的圖案化光阻層146形成於第一閘極溝渠150內, 使其表面略低於第一閘極溝渠150開口。因此在後續的蝕刻製程中,除了第二閘極溝渠152內之第一功函數層112會被蝕刻去除之外,第一閘極溝渠150開口處的第一功函數層112也可以連帶被削薄或去除。因此,第一功函數層112僅會存留於第一閘極溝渠150內,尤其是第一閘極溝渠150之底部與側壁。在此情況下,靠近第一閘極溝渠150開口處之側壁便不會被第一功函數層112覆蓋,進而增加後續閘極金屬層的填入能力。 In addition, as shown in FIG. 7 , in order to improve the filling result of the subsequent gate metal layer, only the patterned photoresist layer 146 may be formed in the first gate trench 150 . The surface is slightly lower than the opening of the first gate trench 150. Therefore, in the subsequent etching process, in addition to the first work function layer 112 in the second gate trench 152 being etched away, the first work function layer 112 at the opening of the first gate trench 150 may also be stripped. Thin or removed. Therefore, the first work function layer 112 will only remain in the first gate trench 150, especially the bottom and sidewalls of the first gate trench 150. In this case, the sidewalls near the opening of the first gate trench 150 are not covered by the first work function layer 112, thereby increasing the filling ability of the subsequent gate metal layer.

請繼續參閱第8圖。在移除第二閘極溝渠152內的第一功 函數層112後,接著進行一CVD製程或PVD製程,於基底100上形成一第二功函數層160。第二功函數層160具有一預設功函數,即第二功函數層160可為一具有N型導電型式之N型功函數金屬層,其功函數數值較佳介於3.9eV與4.2eV之間。此外,第二功函數層160可為一單層結構或一複合層結構。在本較佳實施例中,第二功函數層160可為一金屬層,較佳為一由CVD製程或PVD製程形成的鈦鋁層。 Please continue to see Figure 8. Removing the first work in the second gate trench 152 After the function layer 112, a CVD process or a PVD process is performed to form a second work function layer 160 on the substrate 100. The second work function layer 160 has a predetermined work function, that is, the second work function layer 160 can be an N-type work function metal layer having an N-type conductivity type, and the work function value is preferably between 3.9 eV and 4.2 eV. . In addition, the second work function layer 160 may be a single layer structure or a composite layer structure. In the preferred embodiment, the second work function layer 160 can be a metal layer, preferably a titanium aluminum layer formed by a CVD process or a PVD process.

請參閱第9圖。於第一閘極溝渠150與第二閘極溝渠152 內的第二功函數層160上選擇性地形成一頂部阻障層162以及一金屬層170。其中,頂部阻障層162之組成可包含TiN或TaN,但不限於此,其可用於增進主導電層在溝渠內的附著及/或填隙能力,亦或用以防止主導電層內之元素產生電擴散或熱擴散現象。金屬層170係用以分別填滿第一閘極溝渠150與第二閘極溝渠152,並可選擇具有優良填充能力與較低阻值的金屬或金屬氧化物,例如鋁(aluminum,Al)、鋁化鈦(titanium aluminide,TiAl)、氧化鋁鈦(titanium aluminum oxide,TiAlO)、鎢(tungsten,W)或銅(copper,Cu),但不限 於此。 Please refer to Figure 9. In the first gate trench 150 and the second gate trench 152 A top barrier layer 162 and a metal layer 170 are selectively formed on the second work function layer 160. The composition of the top barrier layer 162 may include TiN or TaN, but is not limited thereto, and may be used to improve adhesion and/or interstitial ability of the main conductive layer in the trench, or to prevent elements in the main conductive layer. Produces electrical diffusion or thermal diffusion. The metal layer 170 is used to fill the first gate trench 150 and the second gate trench 152, respectively, and may select a metal or metal oxide having excellent filling ability and lower resistance, such as aluminum (Al). Titanium aluminide (TiAl), titanium aluminum oxide (TiAlO), tungsten (tungsten, W) or copper (copper, Cu), but not limited herein.

最後,同時參考第9圖及第10圖所示,進行一平坦化製 程,例如一化學機械研磨製程,用以移除位於層間介電層142頂面上的金屬層170、頂部阻障層162、第二功函數層160、第一功函數層112、以及蝕刻停止層108,而分別於第一閘極溝渠150與第二閘極溝渠152內形成閘極金屬層172。至此,便分別於第一區域10以及第二區域12內完成一第一金屬閘極結構180與一第二金屬閘極結構182之製作。其中,僅第一金屬閘極結構180具有一含矽功函數層。此外,本實施例亦可再選擇性去除層間介電層142與接觸洞蝕刻停止層140等,然後依序重新形成接觸洞蝕刻停止與層間介電層,以有效提升半導體元件的電性表現。由於上述CMP製程等步驟係為該技術領域中具通常知識者所知,故於此係不再贅述。 Finally, referring to Figure 9 and Figure 10, a flattening system is performed. For example, a chemical mechanical polishing process for removing the metal layer 170 on the top surface of the interlayer dielectric layer 142, the top barrier layer 162, the second work function layer 160, the first work function layer 112, and the etch stop The layer 108 forms a gate metal layer 172 in the first gate trench 150 and the second gate trench 152, respectively. Thus, the fabrication of a first metal gate structure 180 and a second metal gate structure 182 is completed in the first region 10 and the second region 12, respectively. Among them, only the first metal gate structure 180 has a germanium-containing function layer. In addition, in this embodiment, the interlayer dielectric layer 142 and the contact hole etch stop layer 140 can be selectively removed, and then the contact hole etch stop and the interlayer dielectric layer are sequentially formed to effectively improve the electrical performance of the semiconductor device. Since the above CMP process and the like are known to those of ordinary skill in the art, they are not described herein.

綜上所述,根據本發明所提供之金屬閘極之製作方法,提 供一用於P型半導體元件中的含矽功函數層。對照於一般使用氮或氧調整P型功函數層功函數數值的情形,本發明之含矽功函數層可以在不增加閘極漏電流密度(gate leakage current,Jg)之情況下,提昇電晶體結構的電性表現,例如增加平帶電壓(flat band voltage,Vfb)以及減少等效氧化厚度。除了確保P型半導體元件之金屬閘極皆具有符合要求之功函數,更進一步確保具有金屬閘極之P型半導體元件之電性表現。 In summary, according to the method of fabricating a metal gate provided by the present invention, a germanium-containing function layer for use in a P-type semiconductor device is provided. In contrast to the case where the value of the P-type work function layer work function is generally adjusted using nitrogen or oxygen, the ruthenium-containing work function layer of the present invention can be boosted without increasing the gate leakage current (J g ). The electrical behavior of the crystal structure, such as increasing the flat band voltage (V fb ) and reducing the equivalent oxidation thickness. In addition to ensuring that the metal gates of the P-type semiconductor elements have a satisfactory work function, the electrical performance of the P-type semiconductor elements having the metal gates is further ensured.

10‧‧‧第一區域 10‧‧‧First area

12‧‧‧第二區域 12‧‧‧Second area

100‧‧‧基底 100‧‧‧Base

102‧‧‧淺溝隔離結構 102‧‧‧Shallow trench isolation structure

104‧‧‧介質層 104‧‧‧ dielectric layer

106‧‧‧閘極介電層 106‧‧‧gate dielectric layer

108‧‧‧阻障層 108‧‧‧Barrier layer

110‧‧‧蝕刻停止層 110‧‧‧etch stop layer

112‧‧‧第一功函數層 112‧‧‧First work function layer

120‧‧‧第一輕摻雜汲極 120‧‧‧First lightly doped bungee

122‧‧‧第二輕摻雜汲極 122‧‧‧Second lightly doped bungee

124‧‧‧側壁子 124‧‧‧ Sidewall

130‧‧‧第一源極/汲極 130‧‧‧First source/bungee

132‧‧‧第二源極/汲極 132‧‧‧Second source/bungee

134‧‧‧金屬矽化物 134‧‧‧metal telluride

140‧‧‧接觸洞蝕刻停止層 140‧‧‧Contact hole etch stop layer

142‧‧‧層間介電層 142‧‧‧Interlayer dielectric layer

150‧‧‧第一閘極溝渠 150‧‧‧First Gate Ditch

152‧‧‧第二閘極溝渠 152‧‧‧Second gate ditches

Claims (20)

一種金屬閘極結構,包括:一閘極介電層;一導電金屬層,設置於該閘極介電層之上;以及一含矽功函數層,設置於該閘極介電層以及該導電金屬層之間。 A metal gate structure includes: a gate dielectric layer; a conductive metal layer disposed on the gate dielectric layer; and a germanium function layer disposed on the gate dielectric layer and the conductive Between metal layers. 如請求項第1項所述之金屬閘極結構,另包括一阻障層,設置於該閘極介電層以及該含矽功函數層之間,其中該阻障層之組成包括氮化鈦。 The metal gate structure of claim 1, further comprising a barrier layer disposed between the gate dielectric layer and the germanium-containing function layer, wherein the barrier layer comprises titanium nitride . 如請求項第2項所述之金屬閘極結構,另包括一蝕刻停止層,設置於該阻障層以及該含矽功函數層之間,其中該蝕刻停止層之組成包括氮化鉭。 The metal gate structure of claim 2, further comprising an etch stop layer disposed between the barrier layer and the germanium-containing work function layer, wherein the composition of the etch stop layer comprises tantalum nitride. 如請求項第1項所述之金屬閘極結構,其中該含矽功函數層的功函數數值大於4.9電子伏特(eV)。 The metal gate structure of claim 1, wherein the work function value of the work function layer is greater than 4.9 electron volts (eV). 如請求項第1項所述之金屬閘極結構,其中該含矽功函數層內鈦與矽的原子數比值介於1.5至4。 The metal gate structure of claim 1, wherein the atomic ratio of titanium to germanium in the work function layer is between 1.5 and 4. 如請求項第1項所述之金屬閘極結構,其中該含矽功函數層的矽原子百分比介於10%至30%。 The metal gate structure of claim 1, wherein the germanium atomic function layer has a germanium atomic percentage of between 10% and 30%. 如請求項第1項所述之金屬閘極結構,其中該含矽功函數層的成份另包含氧。 The metal gate structure of claim 1, wherein the composition of the work function layer further comprises oxygen. 如請求項第7項所述之金屬閘極結構,其中該含矽功函數層內的鈦、矽、氮以及氧間的原子數比例為28.9:13.2:46.8:10。 The metal gate structure according to claim 7, wherein the ratio of the number of atoms between titanium, germanium, nitrogen and oxygen in the work function layer is 28.9:13.2:46.8:10. 如請求項第8項所述之金屬閘極結構,其中該含矽功函數層包括一垂直部以及一水平部。 The metal gate structure of claim 8, wherein the work function layer comprises a vertical portion and a horizontal portion. 一種金屬閘極結構之製作方法,包括:提供一基底,其上覆蓋有一層間介電層;於該層間介電層內形成一閘極溝渠,且該閘極溝渠內具有一閘極介電層;於該閘極溝渠內之該閘極介電層上形成一含矽功函數層;以及填滿一導電金屬層於該閘極溝渠內。 A method for fabricating a metal gate structure includes: providing a substrate covered with an interlayer dielectric layer; forming a gate trench in the interlayer dielectric layer; and having a gate dielectric layer in the gate trench Forming a work function layer on the gate dielectric layer in the gate trench; and filling a conductive metal layer in the gate trench. 如請求項第10項所述之金屬閘極結構之製作方法,其中形成該含矽功函數層的方法包括原子層沉積(atomic layer deposition,ALD)製程。 The method for fabricating a metal gate structure according to claim 10, wherein the method of forming the germanium-containing work function layer comprises an atomic layer deposition (ALD) process. 如請求項第11項所述之金屬閘極結構之製作方法,其中該原子層沉積製程包括交替提供鈦前驅物(precursor)以及矽前驅物至該基底上。 The method of fabricating a metal gate structure according to claim 11, wherein the atomic layer deposition process comprises alternately providing a titanium precursor and a hafnium precursor onto the substrate. 如請求項第10項所述之金屬閘極結構之製作方法,其中該含矽功函數層的功函數數值大於4.9 eV。 The method for fabricating a metal gate structure according to claim 10, wherein the work function value of the work function layer containing the work function is greater than 4.9 eV. 如請求項第10項所述之金屬閘極結構之製作方法,其中該含矽功函數層內鈦與矽的原子數比值介於1.5至4。 The method for fabricating a metal gate structure according to claim 10, wherein the atomic ratio of titanium to germanium in the work function layer is between 1.5 and 4. 如請求項第10項所述之金屬閘極結構之製作方法,其中該含矽功函數層內的矽原子百分比介於10%至30%。 The method for fabricating a metal gate structure according to claim 10, wherein the percentage of germanium atoms in the work function layer is between 10% and 30%. 如請求項第10項所述之金屬閘極結構之製作方法,其中該含矽功函數層的成份另包含氧。 The method for fabricating a metal gate structure according to claim 10, wherein the composition of the work function layer further comprises oxygen. 如請求項第10項所述之金屬閘極結構之製作方法,其中該含矽功函數層包括一垂直部以及一水平部。 The method of fabricating a metal gate structure according to claim 10, wherein the germanium-containing function layer comprises a vertical portion and a horizontal portion. 如請求項第10項所述之金屬閘極結構之製作方法,另包括形成一阻障層,其設置於該閘極介電層以及該含矽功函數層之間,且該頂部阻障層的組成包括氮化鈦。 The method for fabricating a metal gate structure according to claim 10, further comprising forming a barrier layer disposed between the gate dielectric layer and the germanium-containing function layer, and the top barrier layer The composition includes titanium nitride. 如請求項第18項所述之金屬閘極結構之製作方法,另包括一蝕刻停止層,設置於該阻障層以及該含矽功函數層之間,其中該蝕刻停止層之組成包括氮化鉭。 The method for fabricating a metal gate structure according to claim 18, further comprising an etch stop layer disposed between the barrier layer and the germanium-containing function layer, wherein the composition of the etch stop layer comprises nitriding Hey. 如請求項第10項所述之金屬閘極結構之製作方法,其中在形成該含矽功函數層之後,另包括形成一頂部阻障層,其設置於該含矽功函數層以及該導電金屬層之間,且該頂部阻障層的組成包括氮化鈦。 The method for fabricating a metal gate structure according to claim 10, wherein after forming the germanium-containing work function layer, further comprising forming a top barrier layer disposed on the germanium-containing work function layer and the conductive metal Between the layers, and the composition of the top barrier layer includes titanium nitride.
TW102113658A 2013-04-17 2013-04-17 Structure of metal gate structure and manufacturing method of the same TWI582839B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102113658A TWI582839B (en) 2013-04-17 2013-04-17 Structure of metal gate structure and manufacturing method of the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102113658A TWI582839B (en) 2013-04-17 2013-04-17 Structure of metal gate structure and manufacturing method of the same

Publications (2)

Publication Number Publication Date
TW201442079A true TW201442079A (en) 2014-11-01
TWI582839B TWI582839B (en) 2017-05-11

Family

ID=52422985

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102113658A TWI582839B (en) 2013-04-17 2013-04-17 Structure of metal gate structure and manufacturing method of the same

Country Status (1)

Country Link
TW (1) TWI582839B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709167B (en) * 2019-07-17 2020-11-01 台灣積體電路製造股份有限公司 Semiconductor device with reduced trap defect and method of forming the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7071086B2 (en) * 2003-04-23 2006-07-04 Advanced Micro Devices, Inc. Method of forming a metal gate structure with tuning of work function by silicon incorporation
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
DE102009023376B4 (en) * 2009-05-29 2012-02-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Adjusting the work function in high-k metal gate electrode structures by selectively removing a barrier layer
JP5937297B2 (en) * 2010-03-01 2016-06-22 キヤノンアネルバ株式会社 Metal nitride film, semiconductor device using the metal nitride film, and method for manufacturing the semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709167B (en) * 2019-07-17 2020-11-01 台灣積體電路製造股份有限公司 Semiconductor device with reduced trap defect and method of forming the same
US11329139B2 (en) 2019-07-17 2022-05-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with reduced trap defect and method of forming the same

Also Published As

Publication number Publication date
TWI582839B (en) 2017-05-11

Similar Documents

Publication Publication Date Title
US10199228B2 (en) Manufacturing method of metal gate structure
US9875901B2 (en) Manufacturing method of metal oxide semiconductor transistor
US9142649B2 (en) Semiconductor structure with metal gate and method of fabricating the same
TWI476823B (en) Semiconductor device and method for maunfacturing semiconductor device with metal gate
JP6218384B2 (en) Manufacturing method of semiconductor device having tungsten gate electrode
TWI464809B (en) Semiconductor devices and fabrication methods thereof
US8673758B2 (en) Structure of metal gate and fabrication method thereof
US8890218B2 (en) Semiconductor device
US20150061042A1 (en) Metal gate structure and method of fabricating the same
US8507338B2 (en) Semiconductor structure and fabricating method thereof
TWI663656B (en) Semiconductor device having metal gate and fabrication method thereof
TW201904063A (en) Semiconductor device having metal gate and method for manufacturing the same
CN108538837A (en) Semiconductor devices and forming method thereof
CN112331648A (en) Semiconductor component and method for manufacturing the same
TWI612666B (en) Method for fabricating finfet transistor
TWI511205B (en) Method for fabricating a semiconductor integrated circuit
TWI582839B (en) Structure of metal gate structure and manufacturing method of the same
TWI446456B (en) Metal gate transistor and method for fabricating the same
TWI517379B (en) Metal gate structure and manufacturing method thereof
TWI569333B (en) Method for fabricating semiconductor device
TWI515830B (en) Method for fabricating semiconductor device
TWI509667B (en) Structure of metal gate and fabrication method thereof
CN114121660B (en) Semiconductor element and manufacturing method thereof
TWI490949B (en) Metal gate transistor and method for fabricating the same
TWI527125B (en) Menufacturing method for semiconductor device having metal gate