TW201435135A - Metal amide deposition precursors and their stabilization with an inert ampoule liner - Google Patents

Metal amide deposition precursors and their stabilization with an inert ampoule liner Download PDF

Info

Publication number
TW201435135A
TW201435135A TW103104427A TW103104427A TW201435135A TW 201435135 A TW201435135 A TW 201435135A TW 103104427 A TW103104427 A TW 103104427A TW 103104427 A TW103104427 A TW 103104427A TW 201435135 A TW201435135 A TW 201435135A
Authority
TW
Taiwan
Prior art keywords
precursor
gas
manganese
substrate
metal oxide
Prior art date
Application number
TW103104427A
Other languages
Chinese (zh)
Other versions
TWI615497B (en
Inventor
David Knapp
David Thompson
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201435135A publication Critical patent/TW201435135A/en
Application granted granted Critical
Publication of TWI615497B publication Critical patent/TWI615497B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Abstract

Described are methods and apparatuses for the stabilization of precursors, which can be used for the deposition of manganese-containing films. Certain methods and apparatus relate to lined ampoules and/or 2-electron donor ligands.

Description

金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化 Metal amide deposition precursor and stabilization of the precursor with an inert ampere lining

本發明之實施例一般而言係關於薄膜沉積。更特定言之,本發明之實施例係關於薄膜沉積製程期間前驅物的穩定化。 Embodiments of the invention relate generally to thin film deposition. More specifically, embodiments of the present invention relate to stabilization of precursors during a thin film deposition process.

積體電路已發展為在單一晶片上可包括數百萬之電晶體、電容器及電阻器之複雜裝置。晶片設計之發展不斷要求更快的電路及更大的電路密度,更快的電路及更大的電路密度要求越來越精確之製造製程。基板之精確處理要求對在處理期間所使用的流體之傳遞中的溫度、速率及壓力的精確控制。 Integrated circuits have evolved into complex devices that can include millions of transistors, capacitors, and resistors on a single wafer. The development of wafer design continues to require faster circuits and greater circuit density, and faster circuits and greater circuit densities require more and more precise manufacturing processes. Precise processing of the substrate requires precise control of the temperature, rate and pressure in the transfer of the fluid used during processing.

化學氣相沈積(CVD)及原子層沉積(ALD)為用於在基板上形成或沉積多種材料之兩種沉積製程。一般而言,CVD及ALD製程涉及將氣態反應物遞送至基板表面,在有利於該反應之熱力學的溫度及壓力條件下,在該基板表面 處發生化學反應。 Chemical vapor deposition (CVD) and atomic layer deposition (ALD) are two deposition processes for forming or depositing a variety of materials on a substrate. In general, CVD and ALD processes involve the delivery of gaseous reactants to the surface of the substrate at the temperature and pressure conditions that favor the thermodynamics of the reaction, on the surface of the substrate. A chemical reaction takes place.

許多該等沉積製程使用加熱容器或罐(諸如安瓿或起泡器),該加熱容器或罐在有助於汽化該前驅物的條件下含有揮發性液態前驅物。儘管如此,薄膜之沉積的共有問題為在安瓿內,許多前驅物具有有限的穩定性。尤其是在具有低配位數之金屬前驅物的情況下如此,因為金屬中心更易受與其他化合物反應之攻擊。該錯合物可與雜質、分解產物或甚至安瓿本身之金屬表面反應。在不增加前驅物之穩定性的情況下,沉積工具之產量減少,或更糟的是,可能需要完全重新設計製程條件,以抑制分解及/不良反應。因此,需要用於穩定金屬前驅物之額外的設備及方法。 Many of these deposition processes use a heated vessel or canister (such as an ampoule or a bubbler) that contains a volatile liquid precursor under conditions that aid in vaporizing the precursor. Despite this, a common problem with the deposition of thin films is that many precursors have limited stability within the ampoule. This is especially the case with metal precursors with low coordination numbers, as metal centers are more susceptible to attack with other compounds. The complex can react with impurities, decomposition products or even the metal surface of the ampoule itself. The yield of the deposition tool is reduced without increasing the stability of the precursor, or worse, the process conditions may need to be completely redesigned to inhibit decomposition and/or adverse reactions. Therefore, additional equipment and methods for stabilizing metal precursors are needed.

本發明之一個態樣係關於一種用於生成化學前驅物氣體之設備。該設備包含:罐,該罐具有形成內部體積之側壁、頂端及底部;進氣埠及出氣埠,該進氣埠及出氣埠與內部體積形成流體連通;襯裡,該襯裡位於該側壁、頂端或底部之至少部分上,其中該襯裡包含惰性金屬氧化物;以及前驅物,該前驅物位於該罐之內部體積中,其中該前驅物包含至少一個Mn-N鍵及2-電子供體配位子。在一或更多實施例中,該襯裡位於底部之至少一部分上。在一些實施例中,該惰性金屬氧化物包含一介電質。在一或更多實施例中,該惰性金屬氧化物包含SiO2、Al2O3、TiO2、碳化矽、碳氧化矽或Ta2O5。在一些實施例中,2-電子供體配位子包含吡啶、四氫呋喃或四氫噻吩、四甲基乙二胺、乙腈、叔胺或2,2’-聯吡啶。 在一或更多實施例中,該前驅物具有一結構,該結構表示為: One aspect of the invention pertains to an apparatus for generating a chemical precursor gas. The apparatus includes: a can having a side wall, a top end and a bottom forming an internal volume; an intake port and an outlet port, the intake port and the outlet port being in fluid communication with the internal volume; the liner being located on the side wall, the top end or At least a portion of the bottom portion, wherein the liner comprises an inert metal oxide; and a precursor, the precursor being located in an interior volume of the can, wherein the precursor comprises at least one Mn-N bond and a 2-electron donor ligand . In one or more embodiments, the liner is on at least a portion of the bottom. In some embodiments, the inert metal oxide comprises a dielectric. In one or more embodiments, the inert metal oxide comprises SiO 2 , Al 2 O 3 , TiO 2 , lanthanum carbide, lanthanum oxychloride or Ta 2 O 5 . In some embodiments, the 2-electron donor ligand comprises pyridine, tetrahydrofuran or tetrahydrothiophene, tetramethylethylenediamine, acetonitrile, a tertiary amine, or 2,2'-bipyridine. In one or more embodiments, the precursor has a structure that is expressed as:

本發明之另一態樣係關於一種沉積含錳薄膜之方法。該方法包含以下步驟:提供含有至少一個Mn-N鍵之前驅物;以及使該前驅物流動穿過用於生成化學前驅物氣體之設備,其中該設備具有包含惰性金屬氧化物之襯裡。在一或更多實施例中,該惰性金屬氧化物包含一介電質。在一些實施例中,該惰性金屬氧化物包含SiO2、Al2O3、TiO2、碳化矽、碳氧化矽或Ta2O5。在一或更多實施例中,該前驅物具有一結構,該結構表示為: 其中各A獨立地選自於碳或矽,且各R獨立地選自於氫、甲基、取代或非取代烷烴、支鏈或非支鏈烷烴、取代或非取代烯烴、支鏈或非支鏈烯烴、取代或非取代炔烴、支鏈或非支鏈炔烴或取代或非取代芳族物。 Another aspect of the invention is directed to a method of depositing a manganese-containing film. The method comprises the steps of: providing a precursor comprising at least one Mn-N bond; and flowing the precursor through a device for generating a chemical precursor gas, wherein the device has a liner comprising an inert metal oxide. In one or more embodiments, the inert metal oxide comprises a dielectric. In some embodiments, the inert metal oxide comprises SiO 2 , Al 2 O 3 , TiO 2 , tantalum carbide, tantalum carbonoxide or Ta 2 O 5 . In one or more embodiments, the precursor has a structure that is expressed as: Wherein each A is independently selected from carbon or hydrazine, and each R is independently selected from hydrogen, methyl, substituted or unsubstituted alkane, branched or unbranched alkane, substituted or unsubstituted olefin, branched or unbranched Alkenes, substituted or unsubstituted alkynes, branched or unbranched alkynes or substituted or unsubstituted aromatics.

在一或更多實施例中,各A為矽。在一些實施例中, 各R基為甲基。在一或更多實施例中,前驅物包含雙(雙三甲基矽烷基)醯胺錳。在一些實施例中,該方法進一步包含以下步驟:將基板表面曝露於該雙(雙三甲基矽烷基)醯胺錳及包含NH3之第二前驅物。在一或更多實施例中,該前驅物進一步含有2-電子供體配位子。在一些實施例中,2-電子供體配位子包含吡啶、四氫呋喃或四氫噻吩、四甲基乙二胺、乙腈、叔胺或2,2’-聯吡啶。在一或更多實施例中,至少Mn-N鍵為該2-電子供體配位子之部分。在一些實施例中,該前驅物具有一結構,該結構表示為: 在一或更多實施例中,該方法進一步包含將基板表面曝露於該前驅物。 In one or more embodiments, each A is 矽. In some embodiments, each R group is a methyl group. In one or more embodiments, the precursor comprises bis(bistrimethyldecyl)guanamine manganese. In some embodiments, the method further comprises the steps of: exposing the substrate surface to the bis (bis trimethyl silicon alkyl) Amides of NH 3 containing manganese and second precursor. In one or more embodiments, the precursor further comprises a 2-electron donor ligand. In some embodiments, the 2-electron donor ligand comprises pyridine, tetrahydrofuran or tetrahydrothiophene, tetramethylethylenediamine, acetonitrile, a tertiary amine, or 2,2'-bipyridine. In one or more embodiments, at least the Mn-N bond is part of the 2-electron donor ligand. In some embodiments, the precursor has a structure that is represented as: In one or more embodiments, the method further includes exposing the surface of the substrate to the precursor.

本發明之另一態樣係關於一種沉積含錳薄膜之方法,該方法包含以下步驟:將基板表面曝露於該汽化前驅物,其中該前驅物包含: Another aspect of the invention is directed to a method of depositing a manganese-containing film, the method comprising the steps of: exposing a surface of a substrate to the vaporized precursor, wherein the precursor comprises:

300‧‧‧來源罐 300‧‧‧ source cans

302‧‧‧載氣源 302‧‧‧ Carrier gas source

306‧‧‧處理腔室 306‧‧‧Processing chamber

312‧‧‧閥 312‧‧‧ valve

314‧‧‧閥 314‧‧‧ valve

402‧‧‧圓筒形側壁 402‧‧‧Cylindrical side wall

404‧‧‧蓋 404‧‧‧ Cover

406‧‧‧進氣埠 406‧‧‧Intake 埠

408‧‧‧出氣埠 408‧‧‧Exhaust gas

410‧‧‧擋板 410‧‧ ‧ baffle

414‧‧‧前驅物材料 414‧‧‧Precursor materials

416‧‧‧液體 416‧‧‧Liquid

418‧‧‧上部區域 418‧‧‧ upper area

420‧‧‧外殼 420‧‧‧ Shell

422‧‧‧進氣管 422‧‧‧Intake pipe

424‧‧‧第一端 424‧‧‧ first end

426‧‧‧第二端 426‧‧‧ second end

430‧‧‧電阻加熱器 430‧‧‧Resistive heater

432‧‧‧底部 432‧‧‧ bottom

436A‧‧‧斷開配件 436A‧‧‧Disconnected parts

436B‧‧‧斷開配件 436B‧‧‧Disconnecting accessories

438‧‧‧內部體積 438‧‧‧ internal volume

444‧‧‧襯裡 444‧‧‧ lining

450‧‧‧集油器 450‧‧‧ oil collector

452‧‧‧集油器主體 452‧‧‧ oil collector body

454‧‧‧擋板 454‧‧ ‧ baffle

456‧‧‧中心線 456‧‧‧ center line

因此,參照實施例來提供於上文簡要概述的本發明的更詳細敘述,以達到且更詳細瞭解本發明的上述的特徵結構,其中一部分實施例在附圖中圖示。然而應注意,附圖僅圖示本發明之典型實施例,且因為本發明承認其他同等有效之實施例,所以該等圖式並不欲視為本發明之範疇的限制。 The above detailed description of the present invention, which is set forth in the <RTIgt; It is to be understood, however, that the appended claims

第1圖為根據本發明之一或更多實施例之設備的一個示例性實施例之截面側視圖;第2圖為圖表,該圖表圖示根據本發明之一或更多實施例之一對照實例及三個實例的非揮發性殘留物之百分比;第3圖為圖表,該圖表圖示根據本發明之一或更多實施例之一對照實例及三個實例的非揮發性殘留物之百分比;第4圖為Mn(TMSA)2之熱解重量曲線;第5圖為Mn(TMSA)2(py)2之熱解重量曲線;以及第6圖為Mn(TMSA)2(TMEDA)2之熱解重量曲線。 1 is a cross-sectional side view of an exemplary embodiment of an apparatus in accordance with one or more embodiments of the present invention; and FIG. 2 is a diagram illustrating a comparison of one or more embodiments in accordance with the present invention. Examples and percentages of non-volatile residues of three examples; Figure 3 is a graph showing the percentage of non-volatile residues in one of the comparative examples and three examples according to one or more embodiments of the present invention ; 4 graph Mn (TMSA) solution of 2 wt thermal curves; 5 graph Mn (TMSA) 2 (py) 2 of the thermal gravimetric curve; and a sixth graph Mn (TMSA) 2 (TMEDA) 2 of Pyrolysis weight curve.

在描述本發明之若干示例性實施例之前,應瞭解,本發明不受以下描述所闡述的構造或製程步驟之詳細內容限制。本發明能夠包括其他實施例,且能夠以多種方式實踐或實施本發明。 Before the several exemplary embodiments of the invention are described, it is understood that the invention is not limited by the details of the construction or process steps set forth in the following description. The invention is capable of other embodiments and the invention may be

已發現,藉由修改配位子及/或修改在薄膜沉積製程期間使用的裝置,可穩定化Mn前驅物。如以上所論述,前驅物可與安瓿之內壁反應,該安瓿之內壁可由例如不誘鋼製成。儘管如此,使用惰性金屬氧化物為安瓿之內壁添加襯裡,可助於防止前驅物之反應。另外,該前驅物可經合成,以便該前驅物經配位為亦含有2-電子供體部分之醯胺配位子,或可添加獨立的2-電子供體配位子,從而提供化學穩定性。本文所描述之設備及製程助於穩定化金屬有機物(亦即前驅物),該金屬有機物在高溫下可經受降解。 It has been discovered that the Mn precursor can be stabilized by modifying the ligand and/or modifying the means used during the thin film deposition process. As discussed above, the precursor can react with the inner wall of the ampoule, and the inner wall of the ampoule can be made, for example, of stainless steel. Nevertheless, the use of an inert metal oxide to add a lining to the inner wall of the ampoule can help prevent the reaction of the precursor. Alternatively, the precursor can be synthesized such that the precursor is coordinated to a guanamine ligand that also contains a 2-electron donor moiety, or a separate 2-electron donor ligand can be added to provide chemical stability. Sex. The apparatus and processes described herein assist in stabilizing metalorganic materials (i.e., precursors) that can undergo degradation at elevated temperatures.

因此,本發明之態樣提供增強之穩定性,該增強之穩定性防止前驅物在生產運行期間降解。前驅物穩定性的增大意謂沉積工具的產量可增加,同時完全避免必須重新設計製程條件。 Thus, aspects of the present invention provide enhanced stability that prevents degradation of the precursor during production runs. An increase in the stability of the precursor means that the yield of the deposition tool can be increased while completely avoiding having to redesign the process conditions.

設備device

本發明之一態樣係關於一種用於生成化學前驅物氣體之設備,在一些實施例中,該設備被稱為安瓿。該設備包含:罐,該罐具有形成內部體積之側壁、頂端及底部;進氣埠及出氣埠,該進氣埠及出氣埠與內部體積形成流體連通;襯裡,該襯裡位於該側壁、頂端或底部之至少部分上,其中該襯裡包含惰性金屬氧化物;以及前驅物,該前驅物位於該 罐之內部體積中,其中該前驅物包含至少一個Mn-N鍵及2-電子供體配位子。在一或更多實施例中,該襯裡位於底部之至少部分上。在一些實施例中,該設備為含有金屬有機物之機載貯器(on-board reservoir)。在一或更多實施例中,該設備含有加熱元件,以加熱該等前驅物。 One aspect of the invention pertains to an apparatus for generating a chemical precursor gas, which in some embodiments is referred to as an ampoule. The apparatus includes: a can having a side wall, a top end and a bottom forming an internal volume; an intake port and an outlet port, the intake port and the outlet port being in fluid communication with the internal volume; the liner being located on the side wall, the top end or At least a portion of the bottom portion, wherein the liner comprises an inert metal oxide; and a precursor, the precursor being located In the internal volume of the can, wherein the precursor comprises at least one Mn-N bond and a 2-electron donor ligand. In one or more embodiments, the liner is located on at least a portion of the bottom. In some embodiments, the device is an on-board reservoir containing metalorganic materials. In one or more embodiments, the apparatus includes a heating element to heat the precursors.

該設備之變體包括不同的襯裡材料。例如,在一些實施例中,該惰性金屬氧化物包含介電質。在其他實施例中,該惰性金屬氧化物包含SiO2、Al2O3、TiO2、碳化矽、碳氧化矽或Ta2O5。在一或更多實施例中,該惰性金屬氧化物為矽烷基惰性金屬氧化物。 Variants of the device include different lining materials. For example, in some embodiments, the inert metal oxide comprises a dielectric. In other embodiments, the inert metal oxide comprises SiO 2 , Al 2 O 3 , TiO 2 , tantalum carbide, tantalum carbonoxide or Ta 2 O 5 . In one or more embodiments, the inert metal oxide is a decyl alkyl inert metal oxide.

在一些實施例中,該2-電子供體配位子為助於穩定化該前驅物之配位子。在一些實施例中,Mn-N鍵為該2-電子供體配位子之一部分。在一或更多實施例中,該2-電子供體配位子包含吡啶(py)、四氫呋喃(THF)、四氫噻吩、四甲基乙二胺(TMEDA)配位子、乙腈、叔胺或2,2’-聯吡啶。在一些實施例中,該前驅物含有三甲基矽烷基醯胺(TMSA)配位子。在其他實施例中,該前驅物具有一結構,該結構表示為: In some embodiments, the 2-electron donor ligand is to help stabilize the ligand of the precursor. In some embodiments, the Mn-N bond is part of the 2-electron donor ligand. In one or more embodiments, the 2-electron donor ligand comprises pyridine (py), tetrahydrofuran (THF), tetrahydrothiophene, tetramethylethylenediamine (TMEDA) ligand, acetonitrile, tertiary amine Or 2,2'-bipyridyl. In some embodiments, the precursor contains a trimethyldecyl decylamine (TMSA) ligand. In other embodiments, the precursor has a structure that is expressed as:

根據Horvath等人所著的「Manganese(II)silylamides」(「Manganese(II)silylamides」特定地描述了Mn(TMSA)2(THF)之合成)中所述之方法可合成本文所描述之穩定化前驅物。THF中之MnCl2及LiN(SiMe3)2用於生產該前驅物。該製程可適用於其他配位子及使用並行製程。 The method described in Horvath et al., "Manganese(II)silylamides"("Manganese(II)silylamides" specifically describes the synthesis of Mn(TMSA) 2 (THF)) can be synthesized as described herein. Precursor. MnCl 2 and LiN(SiMe 3 ) 2 in THF were used to produce the precursor. This process can be applied to other ligands and use parallel processes.

可有利地為安瓿添加襯裡,因為該安瓿之內表面可在很長一段時間內保持與沉積化學物接觸。因此,在一或更多實施例中,僅為沉積腔室系統之安瓿添加襯裡。儘管閥及接線可能不與沉積化學物有長時間之接觸,但在本發明之一或更多實施例中,彼等閥及接線亦可被塗覆。 It may be advantageous to add a liner to the ampoule because the inner surface of the ampoule may remain in contact with the deposition chemistry for a long period of time. Thus, in one or more embodiments, only the ampules of the deposition chamber system are lined. Although valves and wiring may not be in prolonged contact with deposition chemicals, in one or more embodiments of the invention, such valves and wiring may also be coated.

第1圖圖示該等設備之一實施例之剖視圖,或者該等設備被稱為「來源罐」300。「來源罐」300通常耦接在載氣源302與處理腔室306之間。來源罐300通常包含安瓿或其他具有外殼420之密封容器,該安瓿或其他密封容器適用於儲存前驅物材料414(例如Mn(TMSA)2(py)2),前驅物材料414經由昇華或汽化製程可生成製程(或其他)氣體。前驅物材料414包含一前驅物,該前驅物含有至少一個Mn-N鍵及2-電子供體配位子。外殼420通常由實質上對前驅物材料414及自前驅物材料生成之氣體為惰性的材料製成,且因此,構造之材料可基於欲生成之氣體變化。 Figure 1 illustrates a cross-sectional view of one embodiment of such devices, or such devices are referred to as "source cans" 300 . The "source canister" 300 is typically coupled between the carrier gas source 302 and the processing chamber 306 . Source tank 300 typically includes an ampoule or other sealed container having a housing 420 suitable for storing precursor material 414 (e.g., Mn(TMSA) 2 (py) 2 ), and precursor material 414 via sublimation or vaporization process Process (or other) gases can be generated. Precursor material 414 comprises a precursor comprising at least one Mn-N bond and a 2-electron donor ligand. The outer casing 420 is typically made of a material that is substantially inert to the precursor material 414 and gases generated from the precursor material, and thus, the material of the construction can vary based on the gas to be produced.

外殼420可具有任何數目之幾何形狀。在第1圖圖示之實施例中,外殼420包含圓筒形側壁402及由蓋404密封之底部432。藉由焊接、接合、黏著劑或其他密封方法可將蓋404耦接至側壁402。或者,側壁402與蓋404之間的接合 處可安置密封件、O形環、密合墊片或類似物,以防止自來源罐300滲漏。側壁402或者可包含其他中空幾何形狀,例如中空方管。 Housing 420 can have any number of geometries. In the embodiment illustrated in FIG. 1, the outer casing 420 includes a cylindrical side wall 402 and a bottom portion 432 sealed by a cover 404 . The cover 404 can be coupled to the side wall 402 by soldering, bonding, adhesive or other sealing methods. Alternatively, a seal, O-ring, close gasket or the like may be placed at the junction between the side wall 402 and the cover 404 to prevent leakage from the source canister 300 . Side wall 402 may alternatively comprise other hollow geometries, such as hollow square tubes.

根據本發明之一或更多實施例,第1圖之設備亦具有沿底部432之襯裡444。該襯裡可包含介電質,或在其他實施例中包含SiO2、Al2O3、TiO2、碳化矽、碳氧化矽或Ta2O5In accordance with one or more embodiments of the present invention, the apparatus of Figure 1 also has a lining 444 along the bottom 432 . The liner may comprise a dielectric or, in other embodiments, SiO 2 , Al 2 O 3 , TiO 2 , tantalum carbide, tantalum carbonoxide or Ta 2 O 5 .

進氣埠406及出氣埠408形成為穿過該來源罐,以允許氣體流入或流出來源罐300。埠406408可形成為穿過來源罐300之蓋404及/或側壁402。埠406408通常為可密封的,以在將來源罐300從該氣體遞送系統(未圖示)移除期間,允許來源罐300之內部與周圍環境隔離。在一實施例中,閥312314密封地耦接至埠406408,以在為再充裝前驅物材料414或置換來源罐300而將來源罐300從該氣體遞送系統移除時,防止自來源罐300滲漏。配對斷開配件436A、436B可耦接至閥312314,以促進從氣體遞送系統304移除來源罐300,及將來源罐300置換至氣體分配系統304。閥312314通常為球形閥或其他可靠密封閥,該等閥允許將來源罐300從該系統移除、有效地加載及再循環,同時在填充、傳輸或耦接來源罐300至該氣體遞送系統期間,最小化自來源罐300之潛在滲漏。或者,經由再填充埠(未圖示)對來源罐300進行再填充,該再填充埠諸如具有VCR配件的小管,該再填充埠安置於來源罐300之蓋404上。藉由移除蓋404或經由埠406408之一者可將前驅物材料414引入來源罐300Intake enthalation 406 and outlet enthalpy 408 are formed through the source tank to allow gas to flow into or out of source tank 300 . The crucibles 406 , 408 may be formed to pass through the cover 404 and/or the side walls 402 of the source canister 300 . The crucibles 406 , 408 are generally sealable to allow the interior of the source canister 300 to be isolated from the surrounding environment during removal of the source canister 300 from the gas delivery system (not shown). In an embodiment, the valves 312 , 314 are sealingly coupled to the crucibles 406 , 408 to prevent the source canister 300 from being removed from the gas delivery system when refilling the precursor material 414 or replacing the source canister 300 Leakage from the source tank 300 . Pairing disconnect fittings 436 A, 436 B can be coupled to valves 312 , 314 to facilitate removal of source canister 300 from gas delivery system 304 and displacement of source canister 300 to gas distribution system 304 . Valves 312 , 314 are typically ball valves or other reliable sealing valves that allow source tank 300 to be removed from the system, efficiently loaded and recirculated while filling, transferring or coupling source tank 300 to the gas delivery Potential leakage from source tank 300 is minimized during the system. Alternatively, the source canister 300 is refilled via a refill cartridge (not shown), such as a vial having a VCR fitting that is placed over the lid 404 of the source canister 300 . Precursor material 414 can be introduced into source tank 300 by removing cover 404 or via one of crucibles 406 , 408 .

來源罐300可包括至少一個擋板410,擋板410安置於來源罐300之上部區域418之內部。擋板410安置於進氣埠406與出氣埠408之間,形成延長的平均流道,進而防止載氣自進氣埠406至出氣埠408的直接(亦即直線)流動。此具有增加載氣在來源罐300中的平均停留時間,及增加由載氣所載運的昇華或汽化前驅物氣體之數量的效應。此外,擋板410將載氣導引在安置於來源罐300中之前驅物材料414之整體曝露表面上,以確保可重複的氣體生成特徵及對前驅物材料414之有效的消耗。擋板410之數目、間隔及形狀可經選擇以調諧來源罐300,以用於前驅物氣體的最佳生成。舉例而言,更多數目之擋板410可經選擇以在前驅物材料414處賦予較高的載氣速度,或擋板410之形狀可經配置以控制前驅物材料414之消耗,以更有效地使用該前驅物材料。 Source tank 300 may include at least one baffle 410, an internal baffle 410 is disposed in an upper region 418 of the source tank 300. The baffle 410 is disposed between the intake enthalpy 406 and the bleed air enthalpy 408 to form an extended average flow path to prevent direct (ie, straight) flow of carrier gas from the intake enthalpy 406 to the bleed air enthalpy 408 . This has the effect of increasing the average residence time of the carrier gas in the source tank 300 and increasing the amount of sublimation or vaporized precursor gas carried by the carrier gas. In addition, the baffle 410 directs the carrier gas over the overall exposed surface of the precursor material 414 disposed in the source canister 300 to ensure repeatable gas generation characteristics and efficient consumption of the precursor material 414 . The number, spacing and shape of the baffles 410 can be selected to tune the source canister 300 for optimal generation of precursor gases. For example, a greater number of baffles 410 can be selected to impart a higher carrier gas velocity at the precursor material 414 , or the shape of the baffle 410 can be configured to control the consumption of the precursor material 414 to be more effective. The precursor material is used.

視情況,進氣管422可安置於來源罐300之內部體積438中。管422藉由第一端424耦接至來源罐300之進氣埠406,且在來源罐300之上部區域418中第二端426處終止。 Intake manifold 422 may be disposed in interior volume 438 of source tank 300 , as appropriate. Tube 422 is coupled to intake port 406 of source canister 300 by first end 424 and terminates at second end 426 in upper region 418 of source canister 300 .

視情況,該設備可含有集油器450,因為前驅物材料414之攪動可能引發液滴被夾帶於載氣中且載運至處理腔室306。為防止液體416之液滴到達處理腔室306,集油器450可視情況耦接至來源罐300之出口埠408。集油器450可包括主體452,主體452包含複數個交錯的擋板454,該等複數個擋板454延伸超過集油器主體452之中心線456,且朝向來源罐300至少輕微地向下傾斜。擋板454迫使氣體流向處理腔室306,以圍繞擋板454沿曲折路徑流動。擋板454之表面區 域提供曝露於流動氣體之較大的表面區域,該表面區域黏附有可能夾帶於氣體中之油液滴。擋板454之向下傾斜允許積聚於集油器中之任何油向下流動,且回流至來源罐300Optionally, the apparatus may contain an oil collector 450 because the agitation of the precursor material 414 may cause droplets to be entrained in the carrier gas and carried to the processing chamber 306 . To prevent droplets of liquid 416 from reaching the processing chamber 306 , the oil collector 450 can be coupled to the outlet port 408 of the source tank 300 , as appropriate. Oil collector 450 may include a body 452, body 452 includes a plurality of staggered baffles 454, such a plurality of baffles 454 extends beyond the centerline 452 oil collector body 456, and toward the source tank 300 is inclined downward slightly at least . The baffle 454 forces gas to the processing chamber 306 to flow around the baffle 454 along a tortuous path. The surface area of the baffle 454 provides a larger surface area exposed to the flowing gas that adheres to oil droplets that may be entrained in the gas. The downward slope of the baffle 454 allows any oil that accumulates in the oil collector to flow downward and back to the source tank 300 .

在預定的溫度和壓力下,前驅物材料414生成前驅物氣體。自前驅物材料414昇華或汽化之氣體可在來源罐300之上部區域418中積聚,且該氣體可藉由惰性載氣清除,該惰性氣體經由進氣埠406進入且自出氣埠408流出,以經載運到達處理腔室306。在一實施例中,藉由安置於側壁402附近之電阻加熱器430將前驅物材料414加熱至一預定溫度。或者,可藉由其他方式加熱前驅物材料414,諸如藉由安置於來源罐300之上部區域418或下部區域434中之匣式加熱器(未圖示),或藉由使用置放於載氣進氣埠406之上游的加熱器(未圖示)預加熱載氣。 The precursor material 414 generates a precursor gas at a predetermined temperature and pressure. Gas sublimated or vaporized from the precursor material 414 may accumulate in the upper region 418 of the source tank 300 , and the gas may be purged by an inert carrier gas that enters through the inlet enthalpy 406 and flows out of the gas outlet 408 to It is transported to the processing chamber 306 . In one embodiment, the precursor material 414 is heated to a predetermined temperature by a resistive heater 430 disposed adjacent the sidewalls 402 . Alternatively, the precursor material 414 can be heated by other means, such as by a rake heater (not shown) disposed in the upper region 418 or the lower region 434 of the source can 300 , or by using a carrier gas. A heater (not shown) upstream of the intake port 406 preheats the carrier gas.

沉積製程Deposition process

在沉積含錳薄膜期間可使用本文所描述之設備。因此,本發明之一態樣係關於一種使用本文所描述的用於生成化學前驅物氣體之任何設備沉積含錳薄膜之方法。包含在該設備內部之前驅物在薄膜沉積製程中可用作錳源。一或更多實施例係關於在本文所描述的用於生成化學前驅物氣體之設備中汽化本文所描述之前驅物。隨後可將基板表面曝露於該汽化前驅物。在一或更多實施例中,2-電子供體配位子包含吡啶(py)、四氫呋喃(THF)、四氫噻吩或四甲基乙二胺(TMEDA)配位子。在其他實施例中,該前驅物具有一結構,該結構表示為: The equipment described herein can be used during the deposition of the manganese containing film. Accordingly, one aspect of the present invention is directed to a method of depositing a manganese-containing film using any of the apparatus described herein for generating a chemical precursor gas. The precursor contained in the interior of the device can be used as a source of manganese in the thin film deposition process. One or more embodiments relate to vaporizing the precursors described herein in the apparatus for generating a chemical precursor gas described herein. The surface of the substrate can then be exposed to the vaporized precursor. In one or more embodiments, the 2-electron donor ligand comprises a pyridine (py), tetrahydrofuran (THF), tetrahydrothiophene or tetramethylethylenediamine (TMEDA) ligand. In other embodiments, the precursor has a structure that is expressed as:

本發明之另一態樣係關於一種沉積含錳薄膜之方法,該方法包含以下步驟:提供包含至少一個Mn-N鍵之前驅物;以及使該前驅物流動穿過安瓿,該安瓿具有包含惰性金屬氧化物之襯裡。該方法可為使用用於生成化學前驅物氣體之安瓿或其他設備之任何沉積製程之部分。因此例如,該方法可為化學氣相沉積(CVD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)、電漿增強原子層沉積(PEALD)或其他沉積製程之部分。本文所描述之製程可用於沉積多種含錳薄膜,包括但不限於MnN及基本上由錳構成之薄膜。因此,在一或更多實施例中,該方法進一步包含將基板表面曝露於本文所描述之任何前驅物。 Another aspect of the invention is directed to a method of depositing a manganese-containing film, the method comprising the steps of: providing a precursor comprising at least one Mn-N bond; and flowing the precursor through an ampoule having an inertness Lining of metal oxide. The method can be part of any deposition process using an ampoule or other device for generating a chemical precursor gas. Thus, for example, the method can be part of chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma enhanced chemical vapor deposition (PECVD), plasma enhanced atomic layer deposition (PEALD), or other deposition processes. The processes described herein can be used to deposit a variety of manganese containing films including, but not limited to, MnN and films consisting essentially of manganese. Thus, in one or more embodiments, the method further comprises exposing the surface of the substrate to any of the precursors described herein.

以上描述之設備的任何變體亦可應用於該方法。因此,例如,在一或更多實施例中,惰性金屬氧化物包含介電質。在其他實施例中,該惰性金屬氧化物包含SiO2、Al2O3、TiO2、碳化矽、碳氧化矽或Ta2O5Any variation of the apparatus described above can also be applied to the method. Thus, for example, in one or more embodiments, the inert metal oxide comprises a dielectric. In other embodiments, the inert metal oxide comprises SiO 2 , Al 2 O 3 , TiO 2 , tantalum carbide, tantalum carbonoxide or Ta 2 O 5 .

如上所述,前驅物包含至少一個Mn-N鍵。在一或更多實施例中,該前驅物為任意一種如上所述之穩定化前驅物。亦即,在一些實施例中,該前驅物進一步包含2-電子供 體配位子,該2-電子供體配位子自身可能含有Mn-N鍵。在一或更多實施例中,2-電子供體配位子為有助於穩定化該前驅物之配位子。在一些實施例中,2-電子供體配位子包含吡啶(py)、四氫呋喃(THF)、四氫噻吩或四甲基乙二胺(TMEDA)配位子。在其他實施例中,該前驅物具有一結構,該結構表示為: As mentioned above, the precursor comprises at least one Mn-N bond. In one or more embodiments, the precursor is any of the stabilized precursors described above. That is, in some embodiments, the precursor further comprises a 2-electron donor ligand, which itself may contain a Mn-N bond. In one or more embodiments, the 2-electron donor ligand is a ligand that helps stabilize the precursor. In some embodiments, the 2-electron donor ligand comprises a pyridine (py), tetrahydrofuran (THF), tetrahydrothiophene or tetramethylethylenediamine (TMEDA) ligand. In other embodiments, the precursor has a structure that is expressed as:

在一些實施例中,該前驅物具有一結構,該結構表示為: 其中各A獨立地選自於碳或矽,且各R獨立地選自於氫、甲基、取代或非取代烷烴、支鏈或非支鏈烷烴、取代或非取代烯烴、支鏈或非支鏈烯烴、取代或非取代炔烴、支鏈或非支鏈炔烴或取代或非取代芳族物。錳之氧化態可為能夠與基板或第二前驅物反應之任何適當的氧化態。在一些實施例中,錳為Mn(II)或Mn(III)。在一或更多實施例中,各A為矽。在 一些實施例中,各R基為甲基。在其他實施例中,該前驅物包含雙(雙三甲基矽烷基)醯胺錳,該雙(雙三甲基矽烷基)醯胺錳具有一結構,該結構表示為: In some embodiments, the precursor has a structure that is represented as: Wherein each A is independently selected from carbon or hydrazine, and each R is independently selected from hydrogen, methyl, substituted or unsubstituted alkane, branched or unbranched alkane, substituted or unsubstituted olefin, branched or unbranched Alkenes, substituted or unsubstituted alkynes, branched or unbranched alkynes or substituted or unsubstituted aromatics. The oxidation state of manganese can be any suitable oxidation state that can react with the substrate or the second precursor. In some embodiments, the manganese is Mn(II) or Mn(III). In one or more embodiments, each A is 矽. In some embodiments, each R group is a methyl group. In other embodiments, the precursor comprises bis(bistrimethyldecyl)guanamine manganese, the bis(bistrimethyldecyl)guanamine manganese having a structure, the structure being expressed as:

以上前驅物可用於自有機金屬前驅物生成錳(Mn)或氮化錳(MnNx)。該等沉積方法可為原子層沉積(ALD)或化學氣相沉積(CVD)。該有機金屬前驅物可包括錳矽烷基醯胺基錯合物。沉積之錳或MnNx薄膜可用作後端製程銅互連中之替代擴散阻障層,以替代當前使用的PVD TaN或ALD TaN。該沉積方法可與ALD TaN沉積整合,以生成摻雜錳之TaN或摻雜有MnNx的鉭。亦可使用其他摻雜劑。在一或更多實施例中,基於錳層之重量,阻障層包含0.1%至10%之摻雜劑。在一些實施例中,阻障層包含0.2重量%至8重量%之摻雜劑。在特定實施例中,阻障層包含0.5重量%至5重量%之摻雜劑。 The above precursors can be used to form manganese (Mn) or manganese nitride (MnN x ) from organometallic precursors. The deposition methods may be atomic layer deposition (ALD) or chemical vapor deposition (CVD). The organometallic precursor can include a manganese sulfonium alkyl amide complex. The deposited manganese or MnN x film can be used as an alternative diffusion barrier layer in back-end process copper interconnects to replace the currently used PVD TaN or ALD TaN. The deposition method can be integrated with ALD TaN deposition to produce manganese-doped TaN or MnN x doped germanium. Other dopants can also be used. In one or more embodiments, the barrier layer comprises from 0.1% to 10% dopant based on the weight of the manganese layer. In some embodiments, the barrier layer comprises from 0.2% to 8% by weight of dopant. In a particular embodiment, the barrier layer comprises from 0.5% to 5% by weight of dopant.

在一些實施例中,該基板曝露於第一前驅物及第二前驅物。因此,在一或更多實施例中,該方法進一步包含將基板表面曝露於雙(雙三甲基矽烷基)醯胺錳及包含NH3之第二前驅物之步驟。曝露於此等前驅物可實質上同時進行(如 在CVD反應中),或順序地進行(如在ALD反應中)。如在此說明書及附加申請專利範圍中所使用,術語「實質上同時地」意謂兩種前驅物氣體至少部分地共同流入腔室中(以與彼此反應),且共同流向基板表面。熟習此項技術者將瞭解基板之某些區域可能僅簡單地曝露於一種前驅物,直至另一種前驅物擴散至同一區域。 In some embodiments, the substrate is exposed to the first precursor and the second precursor. Thus, in one or more embodiments, the method further comprises exposing the substrate surface to bis (bis trimethyl silicon group) of the step of second precursor Amides of NH 3 and comprising manganese. Exposure to such precursors can be carried out substantially simultaneously (as in a CVD reaction) or sequentially (as in an ALD reaction). As used in this specification and the appended claims, the term "substantially simultaneously" means that the two precursor gases flow at least partially into the chamber (to react with each other) and co-flow toward the surface of the substrate. Those skilled in the art will appreciate that certain regions of the substrate may simply be exposed to one precursor until the other precursor diffuses into the same region.

在一些實施例中,Mn前驅物可能與一或更多額外前驅物一起使用。實例包括氨或氫。因此,作為實例,若使用氨,則可形成氮化錳薄膜。反之,若將氫用作第二前驅物,則可能形成基本上由錳構成之薄膜。 In some embodiments, the Mn precursor may be used with one or more additional precursors. Examples include ammonia or hydrogen. Therefore, as an example, if ammonia is used, a manganese nitride thin film can be formed. Conversely, if hydrogen is used as the second precursor, it is possible to form a film consisting essentially of manganese.

在一些實施例中,錳薄膜包含MnNx。一些實施例之x在約0.1至約3之範圍內,或在約0.2至約2之範圍內,或在約0.25至約1之範圍內。在一些實施例中,該薄膜包含矽酸錳,且該薄膜可形成於介電層之上。在一或更多實施例中,當錳薄膜經沉積接近介電質表面時,該錳薄膜包含矽酸錳,而當該錳薄膜經沉積遠離該表面時,該錳薄膜包含氮化錳。從矽酸鹽至氮化物之過渡可為漸進的或以離散步驟進行。 In some embodiments, the manganese film comprises MnNx. The x of some embodiments is in the range of from about 0.1 to about 3, or in the range of from about 0.2 to about 2, or in the range of from about 0.25 to about 1. In some embodiments, the film comprises manganese ruthenate and the film can be formed over the dielectric layer. In one or more embodiments, the manganese film comprises manganese citrate when the manganese film is deposited near the surface of the dielectric, and the manganese film comprises manganese nitride when the manganese film is deposited away from the surface. The transition from citrate to nitride can be progressive or in discrete steps.

根據本發明之多種實施例,該薄膜實質上可沉積於任何基板材料之上。如本文所使用之「基板表面」代表形成於基板之上的任何基板或材料表面,在製造製程期間於該基板或材料表面上執行薄膜處理。舉例而言,基板表面(可在該基板表面上執行處理)視應用而定包括以下材料:諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳之氧化矽、氮化矽、經摻雜之矽、鍺、砷化鎵、玻璃、藍寶石及任何其他 材料(諸如金屬、金屬氮化物、金屬合金及其他導電材料)。基板表面上之阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮化鎢、鉭及氮化鉭、鋁、銅或用於裝置製造之任何其他導體或導電或非導電阻障層。基板可具有多種尺寸,諸如200mm或300mm直徑的晶圓以及矩形或方形之窗格(pane)。基板(在該等基板上可適用本發明之實施例)包括但不限於半導體晶圓(諸如晶態矽(例如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或非摻雜之多晶矽、摻雜或非摻雜之矽晶圓)、III-V族材料(諸如GaAs、GaN、InP等)及圖案化或非圖案化之晶圓。基板可曝露於預處理製程,以拋光、蝕刻、減少、氧化、羥化、退火及/或烘烤該基板表面。 According to various embodiments of the invention, the film can be deposited substantially on any substrate material. As used herein, "substrate surface" refers to any substrate or material surface formed over a substrate upon which a thin film treatment is performed during the manufacturing process. For example, the surface of the substrate on which the processing can be performed includes, depending on the application, materials such as tantalum, niobium oxide, strain tantalum, silicon germanium (SOI), tantalum oxide doped with tantalum, tantalum nitride. Doped yttrium, ytterbium, gallium arsenide, glass, sapphire and any other Materials (such as metals, metal nitrides, metal alloys, and other conductive materials). The barrier layer, metal or metal nitride on the surface of the substrate comprises titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride, aluminum, copper or any other conductor or conductive or non-conductive resistive barrier for device fabrication. . The substrate can have a variety of sizes, such as 200 mm or 300 mm diameter wafers and rectangular or square panes. Substrates (embodiments to which the invention may be applied) include, but are not limited to, semiconductor wafers (such as crystalline germanium (eg, Si<100> or Si<111>), tantalum oxide, strained tantalum, niobium, doped Hetero- or undoped polysilicon, doped or undoped germanium wafers, III-V materials (such as GaAs, GaN, InP, etc.) and patterned or unpatterned wafers. The substrate can be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface.

由於本發明之實施例提供一種用於沉積或形成摻雜之含錳薄膜的方法,處理腔室經設置以在氣相沉積製程期間將該基板曝露於一連串氣體及/或電漿。處理腔室將包括反應物之獨立供應器,及任何載氣、淨化氣體及惰性氣體(諸如氬氣及氮氣)之供應器,該等供應器與用於各反應物及氣體之氣體入口流體連通。各入口可藉由適當的流量控制器(諸如質量流量控制器或容積流量控制器)控制,該流量控制器與中央處理單元(CPU)連通,以允許各反應物流至基板,以執行如本文所描述之沉積製程。中央處理單元可為一種可在工業設置中用於控制多個腔室及子處理器的任何形式之電腦處理器。CPU可耦接至記憶體,且CPU可為一或更多易於獲得記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、快閃記憶體、壓縮碟、軟碟、硬碟或任何其他形式之本端或 遠端數位儲存器。支援電路可耦接至CPU,以用習知方式支持CPU。此等電路包括快取記憶體、電源、時脈電路、輸入/輸出電路系統、子系統及類似電路。 Since embodiments of the present invention provide a method for depositing or forming a doped manganese-containing film, the processing chamber is configured to expose the substrate to a series of gases and/or plasmas during a vapor deposition process. The processing chamber will include a separate supply of reactants, and a supply of any carrier gas, purge gas, and inert gases such as argon and nitrogen, which are in fluid communication with the gas inlets for each reactant and gas. . Each inlet may be controlled by a suitable flow controller, such as a mass flow controller or a volumetric flow controller, in communication with a central processing unit (CPU) to allow each reactant to flow to the substrate to perform as herein Describe the deposition process. The central processing unit can be any form of computer processor that can be used to control multiple chambers and sub-processors in an industrial setting. The CPU can be coupled to the memory, and the CPU can be one or more easy to obtain memory, such as random access memory (RAM), read only memory (ROM), flash memory, compressed disc, floppy disk, Hard drive or any other form of local or Remote digital storage. The support circuit can be coupled to the CPU to support the CPU in a conventional manner. Such circuits include cache memory, power supplies, clock circuits, input/output circuitry, subsystems, and the like.

共反應物通常為蒸汽或氣體形式。反應物可使用載氣傳送。載氣、淨化氣體、沉積氣體或其他製程氣體可含有氮氣、氫氣、氬氣、氖氣、氦氣或以上各者之組合。本文所描述之多種電漿(諸如氮電漿或惰性氣體電漿)可自電漿共反應物氣體點燃及/或可包含電漿共反應物氣體。 The co-reactant is typically in the form of a vapor or a gas. The reactants can be delivered using a carrier gas. The carrier gas, purge gas, deposition gas or other process gas may contain nitrogen, hydrogen, argon, helium, neon or a combination of the above. The various plasmas described herein (such as nitrogen plasma or inert gas plasma) may be ignited from the plasma co-reactant gas and/or may comprise a plasma co-reactant gas.

在一或更多實施例中,用於該製程之多種氣體可自多個孔或出口經脈衝傳遞入口,穿過氣體通道且進入中央通道。在一或更多實施例中,沉積氣體可順序地經脈衝傳遞至噴淋頭,且穿過該噴淋頭。或者,該等氣體可同時流動穿過氣體供應噴嘴或頭,且該基板及/或氣體供應頭可移動,以便將該基板順序地曝露於該等氣體。 In one or more embodiments, a plurality of gases for the process can be pulsed through the inlet from a plurality of orifices or outlets, through the gas passages and into the central passage. In one or more embodiments, the deposition gas may be sequentially pulsed to the showerhead and passed through the showerhead. Alternatively, the gases may flow simultaneously through the gas supply nozzle or head and the substrate and/or gas supply head may be moved to sequentially expose the substrate to the gases.

在一些實施例中,一或更多層可在電漿增強原子層沉積(PEALD)製程期間形成。在一些製程中,使用電漿提供充足的能量,以促進物質達到激發態,在激發態下表面反應變為有利的或合適的。將電漿引入製程可為連續的或經脈衝的。在一些實施例中,前驅物(或反應氣體)及電漿之順序脈衝被用於處理一層。在一些實施例中,試劑可經本端(亦即,在處理區域內部)離子化或遠端(亦即,在處理區域外部)離子化。在一些實施例中,遠端離子化可發生在沉積腔室之上游,以使得離子或其他高能或發光物質不與沉積薄膜直接接觸。在一些PEALD製程中,電漿自處理腔室之外部生 成,諸如藉由遠端電漿產生器系統。可經由任何為熟習此項技術者所熟知的適當電漿生成製程或技術生成電漿。舉例而言,電漿可藉由一或更多微波(MW)頻率產生器或射頻(RF)產生器生成。視正使用之特定反應物質而定,該電漿之頻率可經調諧。適當之頻率包括但不限於2MHz、13.56MHz、40MHz、60MHz及100MHz。儘管在本文所揭示之沉積製程期間可使用電漿,但應注意,亦可能不需要該等電漿。 In some embodiments, one or more layers may be formed during a plasma enhanced atomic layer deposition (PEALD) process. In some processes, the use of plasma provides sufficient energy to promote the material to an excited state where the surface reaction becomes favorable or suitable. Introducing the plasma into the process can be continuous or pulsed. In some embodiments, sequential pulses of precursor (or reactive gas) and plasma are used to treat a layer. In some embodiments, the reagent can be ionized via the native end (ie, inside the processing region) or ionized at the distal end (ie, outside of the processing region). In some embodiments, distal ionization can occur upstream of the deposition chamber such that ions or other high energy or luminescent materials are not in direct contact with the deposited film. In some PEALD processes, the plasma is external to the processing chamber. In, for example, by a remote plasma generator system. The plasma can be generated by any suitable plasma generation process or technique known to those skilled in the art. For example, the plasma can be generated by one or more microwave (MW) frequency generators or radio frequency (RF) generators. The frequency of the plasma can be tuned depending on the particular reactive species being used. Suitable frequencies include, but are not limited to, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, and 100 MHz. Although plasma may be used during the deposition process disclosed herein, it should be noted that such plasmas may not be required.

根據一或更多實施例,基板在形成層之前及/或之後經受處理。此處理可在同一腔室或一或更多獨立處理腔室中執行。在一些實施例中,將該基板自第一腔室移動至獨立的第二腔室,以進行進一步處理。該基板可直接自第一腔室移動至獨立處理腔室,或該基板可自第一腔室移動至一或更多移送室,且隨後移動至所要的獨立處理腔室。因此,處理設備可包含與移送站連通之多個腔室。可將此類設備稱為「群集工具」或「群集系統」及類似物。 According to one or more embodiments, the substrate is subjected to processing before and/or after forming the layer. This process can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or the substrate can be moved from the first chamber to one or more transfer chambers and subsequently moved to the desired separate processing chamber. Thus, the processing device can include a plurality of chambers in communication with the transfer station. Such devices can be referred to as "cluster tools" or "cluster systems" and the like.

一般而言,群集工具為包含多個腔室之模組化系統,該等腔室執行多種功能,該等功能包括基板中心探索及定向、除氣、退火、沉積及/或蝕刻。根據一或更多實施例,群集工具包括至少一個第一腔室及一中心移送室。該中心移送室可容納機器人,該機器人可使基板往返於處理腔室與負載鎖定腔室之間及各處理腔室之間及各負載鎖定腔室之間。移送室通常維持在真空條件下,且移送室提供中間平臺,該中間平臺用於使基板自一腔室至另一腔室及/或至負載鎖定腔室往返,該負載鎖定腔室定位於群集工具之前端。經調適可 用於本發明之兩種眾所熟知的群集工具為Centura®及Endura®,Centura®及Endura®兩者均可購自於加利福尼亞州聖克拉拉市的應用材料公司。發表於1993年2月16日,Tepman等人所著的標題為「Staged-Vacuum Wafer Processing Apparatus and Method」之美國專利第5,186,718號中揭示了一種此類分級真空基板處理設備的詳細內容。儘管如此,為達成執行如本文所描述之製程的特定步驟之目的,腔室之具體配置及組合可經改變。可使用之其他處理腔室可包括但不限於:循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沈積(CVD)、物理氣相沈積(PVD)、蝕刻、預清洗、化學清洗、熱處理(諸如RTP)、電漿氮化、除氣、定向、羥基化及其他基板製程。藉由在群集工具上之腔室中執行製程,可避免基板之表面污染與大氣雜質,使基板不在沉積隨後的薄膜之前發生氧化。 In general, a cluster tool is a modular system that includes a plurality of chambers that perform a variety of functions including substrate center exploration and orientation, degassing, annealing, deposition, and/or etching. According to one or more embodiments, the cluster tool includes at least one first chamber and one central transfer chamber. The central transfer chamber can house a robot that can move the substrate between the processing chamber and the load lock chamber and between the processing chambers and between the load lock chambers. The transfer chamber is typically maintained under vacuum and the transfer chamber provides an intermediate platform for reciprocating the substrate from one chamber to another and/or to the load lock chamber, the load lock chamber being positioned in the cluster The front end of the tool. Adapted it can be used for both the public present invention known cluster tools for Centura ® and Endura ®, Centura Endura ® ®, and both can be purchased from the city of Santa Clara, California, Applied Materials. The details of such a grading vacuum substrate processing apparatus are disclosed in U.S. Patent No. 5,186,718, the entire disclosure of which is incorporated herein by reference. Nonetheless, the specific configuration and combination of chambers may be varied for the purpose of achieving the specific steps of performing the processes as described herein. Other processing chambers that may be used may include, but are not limited to, cyclic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etching, pre-cleaning, chemical cleaning. , heat treatment (such as RTP), plasma nitriding, degassing, orientation, hydroxylation, and other substrate processes. By performing the process in a chamber on the cluster tool, surface contamination of the substrate and atmospheric impurities can be avoided, so that the substrate does not oxidize prior to deposition of the subsequent film.

根據一或更多實施例,該基板連續地處於真空或「負載鎖定」條件下,且在從一腔室移動至另一腔室時,該基板並未曝露於周圍空氣。因此,該等移送室在真空條件下,且該等移送室被「排空」至真空壓力下。惰性氣體可存在於處理腔室或移送室中。在一些實施例中,將惰性氣體用作淨化氣體,以在基板之表面上形成層之後移除一些或全部的反應物。根據一或更多實施例,將淨化氣體注入沉積腔室之出口,以防止反應物自沉積腔室移動至移送室及/或額外的處理腔室。因此,該惰性氣體流在腔室之出口處形成屏障。 According to one or more embodiments, the substrate is continuously under vacuum or "load lock" conditions, and the substrate is not exposed to ambient air as it moves from one chamber to the other. Thus, the transfer chambers are under vacuum conditions and the transfer chambers are "emptied" to vacuum pressure. An inert gas may be present in the processing chamber or transfer chamber. In some embodiments, an inert gas is used as the purge gas to remove some or all of the reactants after forming a layer on the surface of the substrate. According to one or more embodiments, a purge gas is injected into the outlet of the deposition chamber to prevent movement of reactants from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the inert gas stream forms a barrier at the outlet of the chamber.

可在單一基板沉積腔室中處理基板,在該沉積腔室 中,在處理另一基板之前,一單一基板經加載、處理且卸載。亦可以連續方式(如傳送帶系統)處理該基板,在該種方式中,將多個基板單獨地載入腔室之第一部分,移動穿過該腔室,且自該腔室之第二部分卸載。該腔室及相關傳送帶系統之形狀可形成直線路徑或曲線路徑。另外,處理腔室可為旋轉式傳送,其中多個基板圍繞中心軸移動,且經曝露以在旋轉式傳送路徑各處進行沉積、蝕刻、退火、清洗等製程。 The substrate can be processed in a single substrate deposition chamber in which the deposition chamber A single substrate is loaded, processed, and unloaded prior to processing another substrate. The substrate can also be processed in a continuous manner, such as a conveyor belt system, in which a plurality of substrates are individually loaded into the first portion of the chamber, moved through the chamber, and unloaded from the second portion of the chamber . The shape of the chamber and associated conveyor system can form a straight path or a curved path. Additionally, the processing chamber can be a rotary transfer in which a plurality of substrates are moved about a central axis and exposed for deposition, etching, annealing, cleaning, etc., throughout the rotary transfer path.

在處理期間,該基板可經加熱或冷卻。該加熱或冷卻可藉由任何適當的方式完成,該等方式包括但不限於改變基板支撐件的溫度,及使加熱或冷卻氣體流動至基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,該加熱器/冷卻器可經控制以傳導性地改變基板溫度。在一或更多實施例中,所採用之氣體(反應氣體或惰性氣體)可經加熱或冷卻,以局部地改變基板溫度。在一些實施例中,將加熱器/冷卻器定位於腔室內,鄰近於基板表面,以對流地改變基板溫度。 The substrate can be heated or cooled during processing. This heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing the heating or cooling gas to the surface of the substrate. In some embodiments, the substrate support includes a heater/cooler that can be controlled to conductively change the substrate temperature. In one or more embodiments, the gas (reaction gas or inert gas) employed may be heated or cooled to locally change the substrate temperature. In some embodiments, the heater/cooler is positioned within the chamber adjacent the substrate surface to convectively vary the substrate temperature.

在處理期間,基板亦可為固定的或旋轉的。旋轉基板可經連續地旋轉或以不連續的步驟旋轉。舉例而言,在整個製程中可一直旋轉基板,或在曝露基板至不同反應氣體或淨化氣體之步驟間少量地旋轉基板。在處理期間(連續或分步地)旋轉基板可藉由最小化例如氣體流動幾何中局部變化之效應,而助於產生更均勻之沉積或蝕刻。 The substrate can also be fixed or rotated during processing. The rotating substrate can be rotated continuously or in discrete steps. For example, the substrate can be rotated all the way through the entire process, or the substrate can be rotated a small amount between the steps of exposing the substrate to different reactive gases or purge gases. Rotating the substrate during processing (continuously or stepwise) can help result in more uniform deposition or etching by minimizing effects such as local variations in gas flow geometry.

在原子層沉積類型腔室中,可將基板曝露於製程空間上分離的或時間上分離的第一及第二前驅物。時間ALD為 傳統製程,在該製程中,第一前驅物流動至腔室中以與表面反應。在使該第二前驅物流動至腔室之前,將第一前驅物從腔室中清除。在空間ALD中,第一前驅物及第二前驅物兩者同時流動至腔室,但兩種前驅物在空間上經分離,以便在該等氣體流之間具有一區域,該區域防止該等前驅物混合。在空間ALD中,基板必須相對於氣體分配面板移動,或反之亦然。 In an atomic layer deposition type chamber, the substrate may be exposed to first or second precursors separated or temporally separated in the process space. Time ALD is Conventional processes in which a first precursor flows into a chamber to react with a surface. The first precursor is removed from the chamber prior to flowing the second precursor to the chamber. In space ALD, both the first precursor and the second precursor flow simultaneously to the chamber, but the two precursors are spatially separated to have an area between the gas streams that prevents such The precursor is mixed. In space ALD, the substrate must move relative to the gas distribution panel, or vice versa.

本說明書通篇引用的「一(one/an)實施例」、「某些實施例」或「一或更多實施例」意謂關於實施例描述的特定特徵、結構、材料或特性包括於本發明之至少一個實施例中。因此,本說明書通篇多處出現的用語,諸如「在一或更多實施例中」、「在某些實施例中」或「在一(one/an)實施例中」不必代表本發明之同一實施例。此外,在一或更多實施例中,特定的特徵、結構、材料或特性可以任何適當的方式組合。 The "one or one embodiment", "some embodiments" or "one or more embodiments" referred to throughout the specification means that the particular features, structures, materials, or characteristics described in connection with the embodiments are included herein. In at least one embodiment of the invention. Therefore, terms such as "in one or more embodiments", "in some embodiments" or "one/an embodiment" are not necessarily representative of the present invention. The same embodiment. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

儘管本文參考特定實施例描述本發明,但應瞭解,此等實施例僅為本發明之原則及應用之說明。對熟習此項技術者將顯而易見的是,在不脫離本發明之精神及範疇的情況下,可對本發明之方法及設備做出多種修改及變化。因此,本發明意欲包括在隨附申請專利範圍及彼等效物之範疇內所作出的修改及變化。 Although the invention is described herein with reference to the particular embodiments, it is understood that these embodiments are only illustrative of the principles and applications of the invention. It will be apparent to those skilled in the art that various modifications and changes can be made in the method and apparatus of the present invention without departing from the spirit and scope of the invention. Accordingly, the present invention is intended to cover such modifications and alternatives

實例 Instance

第1實例 First instance

根據下表,將兩種錳前驅物置放於容器中,該等容 器添加有不銹鋼或SiO2襯裡: According to the table below, two manganese precursors were placed in containers filled with stainless steel or SiO 2 lining:

將全部樣品加熱至90℃之溫度,並將該溫度保持18天之時間,且在18天中在不同的時間間隔量測非揮發性殘留物之百分比。錳前驅物之一者含有兩個雙(三甲基矽烷基)醯胺(TMSA)配位子。另一錳前驅物含有兩個TMSA配位子及兩個吡啶(py)配位子,且稱為「穩定化」前驅物。具有不誘鋼之實例表示習用安瓿,該安瓿通常添加有不誘鋼襯裡。具有SiO2之實例模擬具有襯裡之安瓿。非揮發性殘留物之百分比表示由於與該表面反應而損失的前驅物數量。亦即,非揮發性殘留物之百分比越高,前驅物損失越多。因此需要較低的百分比。 All samples were heated to a temperature of 90 ° C and maintained for a period of 18 days, and the percentage of non-volatile residue was measured at different time intervals over 18 days. One of the manganese precursors contains two bis(trimethyldecyl)decylamine (TMSA) ligands. The other manganese precursor contains two TMSA ligands and two pyridine (py) ligands and is referred to as a "stabilized" precursor. An example of a non-induced steel is a conventional ampule, which is usually provided with a non-induced steel lining. An example with SiO 2 simulates a lining with lining. The percentage of non-volatile residue indicates the amount of precursor lost due to reaction with the surface. That is, the higher the percentage of non-volatile residues, the more the precursor losses. Therefore a lower percentage is required.

第2圖為一圖表,該圖表圖示18天內非揮發性殘留物的百分比,包括基於資料點之趨勢線。第1A實例(該實例含有位於被加熱之不銹鋼容器中的Mn(TMSA)2)提供基線製程,這是因為容器不含有襯裡,且該前驅物不含有額外的穩定化配位子。因此,第1A實例亦用作一基線,以用於與其他實例之對照。由該圖式可見,至第18天,約有17%之非揮發性殘留物。相比之下,具有同一前驅物之添加襯裡容器(第1B實例)僅顯示約7%之非揮發性殘留物,相當於下降了一半以上。第1C實例(該實例以不銹鋼容器中之穩定化前驅物為特徵)以與第1B實例之經添加襯裡的容器中不穩定的前驅 物比較之方式執行。亦即,在18天后,第1C實例顯示約7.5%之非揮發性殘留物,該殘留物亦少於第1A實例中所顯示的一半。第1D實例(該圖以穩定化前驅物及經添加襯裡之容器兩者為特徵)顯示最好的結果。18天后,第1D實例之資料的推斷顯示非揮發性殘留物之百分比(%)預期將為約3.5%,該百分比低於第1B實例及第1C實例,且顯著低於第1A實例。從此等實施例中看出穩定化該前驅物及/或為安瓿添加襯裡之優勢係明顯的。 Figure 2 is a graph showing the percentage of non-volatile residues over a 18-day period, including trend lines based on data points. The 1A example (this example contains Mn(TMSA) 2 in a heated stainless steel vessel) provides a baseline process because the vessel does not contain a liner and the precursor does not contain additional stabilizing ligands. Therefore, the 1A example is also used as a baseline for comparison with other examples. As can be seen from this figure, by day 18, there were approximately 17% non-volatile residues. In contrast, the addition of a liner container (Example 1B) with the same precursor showed only about 7% of non-volatile residue, which was equivalent to a drop of more than half. The 1C example (which is characterized by a stabilized precursor in a stainless steel vessel) is performed in a manner comparable to the unstable precursor in the liner-added vessel of the first example B. That is, after 18 days, the 1C example showed about 7.5% non-volatile residue, which was also less than half as shown in the Example 1A. The 1D example (which is characterized by both stabilized precursors and liner-added containers) shows the best results. After 18 days, the inference of the data of the 1D example shows that the percentage (%) of non-volatile residues is expected to be about 3.5%, which is lower than the 1B and 1C examples, and significantly lower than the 1A example. It is apparent from these examples that the advantages of stabilizing the precursor and/or adding a liner to the ampoule are evident.

第2實例 Second instance

根據下表,將Mn(TMSA)2前驅物置放於具有不同襯裡之若干容器中,且Mn(TMSA)2前驅物經加熱至90℃之溫度,將該溫度保持20天: According to the following table, the Mn(TMSA) 2 precursor was placed in several containers with different liners, and the Mn(TMSA) 2 precursor was heated to a temperature of 90 ° C, and the temperature was maintained for 20 days:

DursanTM及silcolloyTM代表使用兩種不同的矽基抗腐蝕塗層塗覆之不銹鋼標籤。此等標籤與樣品包括於一玻璃容器中(與第2A實例相同),且此等標籤將藉由將Mn(TMSA)2置放成與塗覆之不銹鋼接觸來模擬經添加襯裡之SST安瓿。該加熱容器模擬加熱安瓿經歷之條件。在不同時間間隔為每一樣品量測非揮發性殘留物之百分比。第3圖為一圖表,該圖表圖示在20天內非揮發性殘留物的百分比,包括該等資料點之趨勢線。如該圖式表明,多種具有金屬氧化物襯裡之容 器大大減少了非揮發性殘留物之百分比。 Dursan TM and silcolloy TM representatives of two different silicon based anti-corrosion coating to the stainless steel tag. The labels and samples are included in a glass container (same as the Example 2A) and these labels will simulate the addition of a lined SST ampule by placing Mn(TMSA) 2 in contact with the coated stainless steel. The heating vessel simulates the conditions under which the ampoules are heated. The percentage of non-volatile residue was measured for each sample at different time intervals. Figure 3 is a graph showing the percentage of non-volatile residues over a 20-day period, including the trend line for those data points. As shown in the figure, a variety of containers with metal oxide liners greatly reduce the percentage of non-volatile residues.

第3實例(對照實例) Third example (control example)

第4圖為Mn(TMSA)2的熱解重量分析曲線,以及該曲線之導數。將該前驅物之重量作為溫度函數進行量測。少量的前驅物以2℃/分鐘穩定升溫。實線曲線表示重量,且虛線曲線表示重量的時間導數,以提供給定溫度下質量損失(亦即汽化)之速率。 Figure 4 is a thermogravimetric analysis curve for Mn(TMSA) 2 , and the derivative of the curve. The weight of the precursor was measured as a function of temperature. A small amount of precursor stabilized at 2 ° C / min. The solid curve represents the weight and the dashed curve represents the time derivative of the weight to provide a rate of mass loss (i.e., vaporization) at a given temperature.

第4實例 Fourth instance

第4實例重複第3實例之試驗,除前驅物為Mn(TMSA)2(py)2之外(Mn(TMSA)2(py)2被視為穩定化前驅物)。再次,實線曲線表示重量,且虛線曲線表示重量之導數,以提供給定溫度下質量損失(亦即汽化)的速率。第5圖圖示熱解重量分析曲線及該熱解重量分析曲線之導數。由該圖式可見,與Mn(TMSA)2相比,具有吡啶配位子之穩定化前驅物具有增加之穩定性。 The fourth example was repeated for the test of the third example except that the precursor was Mn(TMSA) 2 (py) 2 (Mn(TMSA) 2 (py) 2 was regarded as a stabilizing precursor). Again, the solid curve represents the weight and the dashed curve represents the derivative of the weight to provide a rate of mass loss (i.e., vaporization) at a given temperature. Figure 5 illustrates the thermogravimetric analysis curve and the derivative of the thermogravimetric analysis curve. As can be seen from this figure, the stabilized precursor with a pyridine ligand has increased stability compared to Mn(TMSA) 2 .

第5實例 Fifth instance

第5實例重複第3實例之試驗,除前驅物為Mn(TMSA)2(TMEDA)2之外(該Mn(TMSA)2(TMEDA)2被視為穩定化前驅物)。再次,實線曲線表示重量,且虛線曲線表示重量之導數,以提供給定溫度下質量損失(亦即汽化)的速率。第6圖圖示熱解重量分析曲線及該熱解重量分析曲線之導數。由該圖式可見,與Mn(TMSA)2相比,穩定化前驅物具有增加之穩定性。另外,與Mn(TMSA)2相比,TMEDA穩定化前驅物不具有任何顯著的揮發性損失。雖然導數曲線所 圖示之頂點可意謂在彼等溫度下發生了一些分解,但是質量曲線到達零之事實意謂分解之產物仍為揮發性的,且可能為可接受的。 The fifth example was repeated for the test of the third example except that the precursor was Mn(TMSA) 2 (TMEDA) 2 (the Mn(TMSA) 2 (TMEDA) 2 was regarded as a stabilizing precursor). Again, the solid curve represents the weight and the dashed curve represents the derivative of the weight to provide a rate of mass loss (i.e., vaporization) at a given temperature. Figure 6 illustrates the thermogravimetric analysis curve and the derivative of the thermogravimetric analysis curve. As can be seen from this figure, the stabilized precursor has increased stability compared to Mn(TMSA) 2 . In addition, the TMEDA stabilized precursor does not have any significant volatility loss compared to Mn(TMSA) 2 . Although the vertices illustrated by the derivative curve may mean some decomposition at their temperatures, the fact that the mass curve reaches zero means that the product of the decomposition is still volatile and may be acceptable.

300‧‧‧來源罐 300‧‧‧ source cans

302‧‧‧載氣源 302‧‧‧ Carrier gas source

306‧‧‧處理腔室 306‧‧‧Processing chamber

312‧‧‧閥 312‧‧‧ valve

314‧‧‧閥 314‧‧‧ valve

402‧‧‧圓筒形側壁 402‧‧‧Cylindrical side wall

404‧‧‧蓋 404‧‧‧ Cover

406‧‧‧進氣埠 406‧‧‧Intake 埠

408‧‧‧出氣埠 408‧‧‧Exhaust gas

410‧‧‧擋板 410‧‧ ‧ baffle

414‧‧‧前驅物材料 414‧‧‧Precursor materials

416‧‧‧液體 416‧‧‧Liquid

418‧‧‧上部區域 418‧‧‧ upper area

420‧‧‧外殼 420‧‧‧ Shell

422‧‧‧進氣管 422‧‧‧Intake pipe

424‧‧‧第一端 424‧‧‧ first end

426‧‧‧第二端 426‧‧‧ second end

430‧‧‧電阻加熱器 430‧‧‧Resistive heater

432‧‧‧底部 432‧‧‧ bottom

436A‧‧‧斷開配件 436A‧‧‧Disconnected parts

436B‧‧‧斷開配件 436B‧‧‧Disconnecting accessories

438‧‧‧內部體積 438‧‧‧ internal volume

444‧‧‧襯裡 444‧‧‧ lining

450‧‧‧集油器 450‧‧‧ oil collector

452‧‧‧集油器主體 452‧‧‧ oil collector body

454‧‧‧擋板 454‧‧ ‧ baffle

456‧‧‧中心線 456‧‧‧ center line

Claims (20)

一種用於生成一化學前驅物氣體之設備,該設備包含:一罐,該罐具有一側壁、一頂端及一底部,該側壁、該頂端及該底部形成一內部體積;一進氣埠及一出氣埠,該進氣埠及該出氣埠與該內部體積流體連通;一襯裡,該襯裡在該側壁、頂端或底部之至少部分上,其中該襯裡包含一惰性金屬氧化物;以及一前驅物,該前驅物在該罐之該內部體積中,其中該前驅物含有至少一個Mn-N鍵及一2-電子供體配位子。 An apparatus for generating a chemical precursor gas, the apparatus comprising: a can having a side wall, a top end and a bottom, the side wall, the top end and the bottom forming an internal volume; an intake port and a The gas inlet port and the gas outlet port are in fluid communication with the inner volume; a liner, the liner being on at least a portion of the side wall, the top end or the bottom portion, wherein the liner comprises an inert metal oxide; and a precursor, The precursor is in the internal volume of the can, wherein the precursor contains at least one Mn-N bond and a 2-electron donor ligand. 如請求項1所述之設備,其中該襯裡位於該底部之至少部分上。 The apparatus of claim 1 wherein the liner is on at least a portion of the bottom. 如請求項1所述之設備,其中該惰性金屬氧化物包含一介電質。 The device of claim 1 wherein the inert metal oxide comprises a dielectric. 如請求項1所述之設備,其中該惰性金屬氧化物包含SiO2、Al2O3、TiO2、碳化矽、碳氧化矽或Ta2O5The apparatus of claim 1, wherein the inert metal oxide comprises SiO 2 , Al 2 O 3 , TiO 2 , lanthanum carbide, lanthanum oxychloride or Ta 2 O 5 . 如請求項1所述之設備,其中該2-電子供體配位子包含吡啶、四氫呋喃或四氫噻吩、四甲基乙二胺、乙腈、三級胺或2,2’-聯吡啶。 The apparatus of claim 1, wherein the 2-electron donor ligand comprises pyridine, tetrahydrofuran or tetrahydrothiophene, tetramethylethylenediamine, acetonitrile, tertiary amine or 2,2'-bipyridine. 如請求項5所述之設備,其中該前驅物具有一結構,該結構表示為: The device of claim 5, wherein the precursor has a structure, the structure being represented as: 一種沉積一含錳薄膜之方法,該方法包含以下步驟:提供含有至少一個Mn-N鍵之一前驅物;以及使該前驅物流動穿過用於生成一化學前驅物氣體之一設備,其中該設備具有包含一惰性金屬氧化物之一襯裡。 A method of depositing a manganese-containing film, the method comprising the steps of: providing a precursor comprising at least one Mn-N bond; and flowing the precursor through a device for generating a chemical precursor gas, wherein The device has a lining comprising a layer of an inert metal oxide. 如請求項7所述之方法,其中該惰性金屬氧化物包含一介電質。 The method of claim 7, wherein the inert metal oxide comprises a dielectric. 如請求項7所述之方法,其中該惰性金屬氧化物包含SiO2、Al2O3、TiO2、碳化矽、碳氧化矽或Ta2O5The method of claim 7, wherein the inert metal oxide comprises SiO 2 , Al 2 O 3 , TiO 2 , lanthanum carbide, lanthanum oxyhydroxide or Ta 2 O 5 . 如請求項7所述之方法,其中該前驅物具有一結構,該結構表示為: 其中各A獨立地選自於碳或矽,且各R獨立地選自於氫、甲基、取代或非取代烷烴、支鏈或非支鏈烷徑、取代或非取代烯烴、支鏈或非支鏈烯烴、取代或非取代炔烴、支鏈或非支鏈炔烴或取代或非取代芳族物。 The method of claim 7, wherein the precursor has a structure, the structure being represented as: Wherein each A is independently selected from carbon or hydrazine, and each R is independently selected from hydrogen, methyl, substituted or unsubstituted alkane, branched or unbranched alkane, substituted or unsubstituted olefin, branched or non- Branched olefins, substituted or unsubstituted alkynes, branched or unbranched alkynes or substituted or unsubstituted aromatics. 如請求項10所述之方法,其中各A為矽。 The method of claim 10, wherein each A is 矽. 如請求項10所述之方法,其中各R基為甲基。 The method of claim 10, wherein each R group is a methyl group. 如請求項10所述之方法,其中該前驅物包含雙(雙三甲基矽烷基)醯胺錳。 The method of claim 10, wherein the precursor comprises bis(bistrimethyldecyl)guanamine manganese. 如請求項12所述之方法,該方法進一步包含以下步驟:將一基板表面曝露於該雙(雙三甲基矽烷基)醯胺錳及包含NH3之一第二前驅物。 The method of item 12 request, the method further comprising the steps of: exposing a substrate surface to the bis (bis trimethyl silicon alkyl) Amides NH 3 contains one of manganese and second precursor. 如請求項7所述之方法,其中該前驅物進一步含有一2-電子供體配位子。 The method of claim 7, wherein the precursor further comprises a 2-electron donor ligand. 如請求項15所述之方法,其中該2-電子供體配位子包含 吡啶、四氫呋喃或四氫噻吩、四甲基乙二胺、乙腈、叔胺或2,2’-聯吡啶。 The method of claim 15, wherein the 2-electron donor ligand comprises Pyridine, tetrahydrofuran or tetrahydrothiophene, tetramethylethylenediamine, acetonitrile, tertiary amine or 2,2'-bipyridine. 如請求項15所述之方法,其中至少Mn-N鍵為該2-電子供體配位子之部分。 The method of claim 15, wherein at least the Mn-N bond is part of the 2-electron donor complex. 如請求項17所述之方法,其中該前驅物具有一結構,該結構表示為: The method of claim 17, wherein the precursor has a structure, the structure being represented as: 如請求項7所述之方法,其中該方法進一步包含將一基板表面曝露於該前驅物之步驟。 The method of claim 7, wherein the method further comprises the step of exposing a substrate surface to the precursor. 一種沉積一含錳薄膜之方法,該方法包含以下步驟:將一基板表面曝露於該汽化前驅物,其中該前驅物包含: A method of depositing a manganese-containing film, the method comprising the steps of: exposing a substrate surface to the vaporized precursor, wherein the precursor comprises:
TW103104427A 2013-02-28 2014-02-11 Metal amide deposition precursors and their stabilization with an inert ampoule liner TWI615497B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361770786P 2013-02-28 2013-02-28
US61/770,786 2013-02-28

Publications (2)

Publication Number Publication Date
TW201435135A true TW201435135A (en) 2014-09-16
TWI615497B TWI615497B (en) 2018-02-21

Family

ID=51388576

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103104427A TWI615497B (en) 2013-02-28 2014-02-11 Metal amide deposition precursors and their stabilization with an inert ampoule liner

Country Status (6)

Country Link
US (1) US9196474B2 (en)
JP (1) JP6411385B2 (en)
KR (1) KR102271767B1 (en)
CN (2) CN105026613A (en)
TW (1) TWI615497B (en)
WO (1) WO2014134481A1 (en)

Families Citing this family (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI577824B (en) * 2013-06-06 2017-04-11 應用材料股份有限公司 Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016108247A (en) * 2014-12-02 2016-06-20 宇部興産株式会社 Bis(silylamideaminoalkane) manganese compound and method for producing manganese-containing film using the manganese compound
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI726944B (en) * 2015-12-06 2021-05-11 美商應用材料股份有限公司 Continuous liquid level measurement detector for closed metal containers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9738971B2 (en) 2015-12-31 2017-08-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition methods to form group 8-containing films
US10011903B2 (en) * 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
WO2018041695A1 (en) * 2016-08-31 2018-03-08 Basf Se Process for the generation of thin inorganic films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR101973700B1 (en) * 2016-11-21 2019-04-30 한국화학연구원 Manganese aminoamide amide precursors, preparation method thereof and process for the formation of thin film using the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
JP2021138972A (en) * 2018-05-09 2021-09-16 株式会社高純度化学研究所 Container for evaporation raw material
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20220411924A1 (en) * 2021-06-28 2022-12-29 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI822465B (en) * 2021-11-18 2023-11-11 南韓商韓松化學股份有限公司 Method for preparing thin film using organometallic compound and the thin film prepared therefrom

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP4259677B2 (en) * 1999-06-30 2009-04-30 旭化成株式会社 METAL OXIDE STRUCTURE HAVING PROJECTION IN A SPECIFIC POSITION AND PROCESS FOR PRODUCING THE SAME
FI117942B (en) * 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
JP5342110B2 (en) * 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド Source canister containing precursor and method for filling features using the same
KR20050004379A (en) * 2003-07-02 2005-01-12 삼성전자주식회사 Gas supplying apparatus for atomic layer deposition
JP2006182709A (en) * 2004-12-28 2006-07-13 Adeka Corp Raw material for producing thin film, method for producing thin film and metallic compound
KR100891779B1 (en) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
JP2007308789A (en) 2006-04-19 2007-11-29 Tokyo Electron Ltd Film deposition apparatus and film deposition method
CN101426950A (en) * 2006-04-19 2009-05-06 东京毅力科创株式会社 Film deposition apparatus and film deposition method
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US7959994B2 (en) * 2007-01-29 2011-06-14 Praxair Technology, Inc. Diptube apparatus and delivery method
GB2479322A (en) * 2009-01-16 2011-10-05 Veeco Instr Inc Composition and method for low temperature deposition of ruthenium
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
CN103313993A (en) * 2010-11-02 2013-09-18 宇部兴产株式会社 (Amide amino alkane) metal compound, method of manufacturing metal-containing thin film by using said metal compound
WO2012059881A1 (en) * 2010-11-03 2012-05-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Bis-pyrroles-2-aldiminate manganese precursors for deposition of manganese containing films
WO2012067439A2 (en) * 2010-11-17 2012-05-24 주식회사 유피케미칼 Diazadiene-based metal compound, method for preparing same and method for forming a thin film using same
CN103688339B (en) 2011-07-22 2016-09-28 应用材料公司 Reactant delivery system for ALD/CVD technique

Also Published As

Publication number Publication date
US9196474B2 (en) 2015-11-24
WO2014134481A1 (en) 2014-09-04
CN112323039A (en) 2021-02-05
KR102271767B1 (en) 2021-06-30
US20140242806A1 (en) 2014-08-28
JP6411385B2 (en) 2018-10-24
JP2016513185A (en) 2016-05-12
KR20150122659A (en) 2015-11-02
CN105026613A (en) 2015-11-04
TWI615497B (en) 2018-02-21

Similar Documents

Publication Publication Date Title
TWI615497B (en) Metal amide deposition precursors and their stabilization with an inert ampoule liner
JP5342110B2 (en) Source canister containing precursor and method for filling features using the same
US7597758B2 (en) Chemical precursor ampoule for vapor deposition processes
CN102725438B (en) Deposition device
CN110592554A (en) Method for depositing metal alloy film
CN111630204A (en) Vapor deposition of molybdenum using bis (alkylaromatic) molybdenum precursors
US20140030436A1 (en) Methods for Producing Nickel-Containing Films
JP2004096060A (en) Film forming method
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition
US9005704B2 (en) Methods for depositing films comprising cobalt and cobalt nitrides
US9683287B2 (en) Deposition of films comprising aluminum alloys with high aluminum content
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
CN107534013B (en) Process for filling high aspect ratio trenches with ligand co-flow during thermal CVD
TWI559381B (en) Atomic layer deposition of metal alloy films
TWI527823B (en) Methods for producing nickel-containing films
JP5583078B2 (en) Method and apparatus for generating precursors for semiconductor processing systems
WO2023114106A1 (en) Large grain tungsten growth in features