TW201310575A - 半導體設備中的射頻功率傳輸系統 - Google Patents

半導體設備中的射頻功率傳輸系統 Download PDF

Info

Publication number
TW201310575A
TW201310575A TW101140102A TW101140102A TW201310575A TW 201310575 A TW201310575 A TW 201310575A TW 101140102 A TW101140102 A TW 101140102A TW 101140102 A TW101140102 A TW 101140102A TW 201310575 A TW201310575 A TW 201310575A
Authority
TW
Taiwan
Prior art keywords
support assembly
substrate support
transmission line
substrate
assembly
Prior art date
Application number
TW101140102A
Other languages
English (en)
Inventor
Zhi-Gang Chen
Shahid Rauf
Kartik Ramaswamy
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201310575A publication Critical patent/TW201310575A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明之實施例係提供一種設備,該設備係提供在製程腔室內的良好RF均一性。在一實施例中,該設備包括一基板支撐組件、一終端及一介電絕緣體。基板支撐組件具有一中央通道,而中央通道係沿著一中心軸形成。提供有一RF傳輸線,而該RF傳輸線具有一實質垂直部分以及一實質水平部分。其中終端係耦接至RF傳輸線的實質水平部分。介電絕緣體係環繞RF傳輸線的實質水平部分。介電絕緣體具有一第一開口,而終端係通過第一開口。

Description

半導體設備中的射頻功率傳輸系統
本發明之實施例一般係涉及在半導體基板製程設備及諸如此類者中的RF傳輸系統。
對於更快速及效力更高之積體電路(IC)元件的需求,係對IC製造技術引入新的挑戰,包括在基板(例如半導體晶圓)上蝕刻次微米特徵結構(submicron feature)以使其具有跨越基板的良好均一性。舉例來說,在部分動態隨機存取記憶體的應用中所使用的深溝渠儲存結構係需要在半導體基板中蝕刻深的高深寬比(aspect ratio)溝渠。深矽溝渠蝕刻典型係在反應性離子蝕刻(RIE)製程中進行。
第1圖繪示習知的製程腔室100,該製程腔室100用於對設置在基板144上的材料層進行蝕刻,以在材料層中形成特徵結構。製程腔室100具有設置在其中的內部容積106內之基板支撐組件148。基板支撐組件148包括一靜電吸座166、一基底板164以及一設施板(facility plate)190。基底板164與設施板190係藉由設置在其間的絕緣材料192而電性絕緣。或者是,在基底板164與設施板190之間界定出一間隙或空間以提供電性絕緣。可將介電絕緣環120附接至設施板190的邊緣。靜電吸 座166與基底板164一般係由陶瓷或相似介電材料所形成。加熱元件176係設置在靜電吸座166或基底板164內,並用於控制設置在基板支撐組件148上之基板的溫度。加熱元件176係藉由設置在基板支撐組件148之中央區域內的金屬線而耦接至加熱器電源178。
至少一鉗位電極(clamping electrode)180係設置在靜電吸座166或基底板164中。鉗位電極180係透過基板支撐組件148的中央部分而耦接至吸座RF功率源164。設置在靜電吸座166或基底板164之其中一者內的RF電極182係經由RF傳輸線150並透過匹配電路188而耦接至一或多個RF功率源184、186,藉以維持製程腔室100內的電漿。RF傳輸線150係穿設於基板支撐組件148,而其穿設位置係與基板支撐組件148之中心軸而呈偏置。RF傳輸線150係用於將RF功率源184、186所供應的RF功率傳輸至RF電極182。由於部分的基板支撐設備係佔據沿著基板支撐組件148之中心軸的空間,故RF傳輸線150係耦接至設置於基板支撐組件148內的金屬板154。金屬板154係用於將來自偏置之RF傳輸線150的RF功率傳導至中央饋送件(feed through)152,而該中央饋送件152的路徑係通過基板支撐組件148的中央。
一般來說,將RF功率施加至基板表面的方式係期望能產生跨越基板表面的均一電場,以促進電漿均一性。跨越基板表面之均一的電場以及解離離子電漿係提供跨越基板表面的均一蝕刻作用。為了維持均一電場及電漿分 佈,係期望透過製程腔室的中央區域(例如透過噴灑頭電極及/或透過基板支撐電極)而將RF功率供應至基板。如上所討論者,基板支撐組件148的中央部分係被設施及/或設置用於致動升舉銷(圖中未示)的軸桿所佔據。RF傳輸線150則必須與基板支撐組件148的中央呈偏置。因此,在習知配置中,RF傳輸線150通常係在與基板支撐組件148之中心軸而呈偏置的一位置而耦接至基底板164。故金屬板154係用於將來自偏置RF傳輸線150的RF功率透過設置在基板支撐組件148的中央區域中的中央導管152而傳送至該中央區域。
由於RF傳輸線150的頂部部分156係位於設施板190的正下方,而處於與基板支撐組件148的中心軸呈偏置之一區域158,故在區域158周圍所產生的電場特別不同於接觸區域158外側的其他區域。舉例來說,在RF傳輸線150的正上方之區域158中,電場通常係較於其他區域(與RF傳輸線150相鄰,但RF傳輸線150並未位於其正下方)散播之電場還要弱。RF傳輸線150的偏置通常造成非均一的電場,因而產生跨越基板表面的電場偏斜(skew)圖案。
第2圖繪示施加RF功率至基板144表面的同時,對於跨越基板支撐組件148上之基板144表面所量測到的電場分佈。RF傳輸線150所在之處的區域158中的電場係相較於跨越基板的其他區域160之電場還來的弱,因而導致電場的不期望偏斜。電場的偏斜會造成非均一的離 子解離以及跨越基板表面的電漿分佈,因而導致不良的蝕刻均一性。
因此,需要一種提供跨越基板表面之均一電場分佈的改良設備。
本發明之實施例係提供一種設備,例如一基板支撐組件,其係適於用在蝕刻反應器中。可預期該設備亦可利用在其他類型的反應器中,例如用於沉積、退火、佈植及其他期望基板支撐件周圍存在均一電場的製程之反應器。
本發明之實施例係提供一種設備,該設備係提供在製程腔室內的良好RF均一性。在一實施例中,該設備包括一基板支撐組件、一終端(terminal)及一介電絕緣體。基板支撐組件具有一中央通道,而中央通道係沿著一中心軸形成。提供有一RF傳輸線,該RF傳輸線包括一實質垂直部分以及一實質水平部分。終端係耦接至RF傳輸線的實質水平部分。介電絕緣體係環繞該RF傳輸線的實質水平部分。介電絕緣體具有一第一開口,而終端係通過第一開口而與RF傳輸線的實質水平部分接合。
在另一實施例中,係提供有一種基板支撐組件,該基板支撐組件具有一靜電吸座(electrostatic chuck)、一傳導基部以及一傳導設施板(facility plate)。一中央通道 係界定穿過靜電吸座、傳導基部與傳導設施板。一終端係耦接至設施板與RF傳輸線。一介電絕緣體係環繞RF傳輸線的至少一部分。介電絕緣體具有一第一開口與一第二開口,而終端係通過第一開口而與RF傳輸線接合,第二開口係與第一開口為共中心地對準。一外殼組件係將介電絕緣體緊固至設施板。一高壓功率饋送件(power feed)係延伸穿過外殼組件的一孔、介電絕緣體的第一與第二開口以及終端而至吸引電極(chucking electrode),而高壓功率饋送件係與RF傳輸線為絕緣。
在又另一實施例中,一設備包括:一基板支撐組件;一RF傳輸線,係在與基板支撐組件的中心軸呈偏置的一區域而耦接至基板支撐組件的底部;一金屬板,耦接至RF傳輸線,並配置以將傳輸自RF傳輸線的RF功率轉向至基板支撐組件,其中金屬板包括複數個設置在一基部上的導管。
第3圖繪示製程腔室300之一實施例的剖面視圖,該製程腔室300可提供跨越基板表面之均一電場,因而提供跨越基板表面的蝕刻均一性。雖然圖中所示之製程腔室300包括有複數個使能擁有較佳蝕刻效能的特徵,但可預期其他製程腔室亦可適用而受益於此處所述之一或多個本發明的特徵,包括用於非蝕刻半導體製程應用的 製程腔室。
製程腔室300包括圍繞出一內部容積306的腔室主體302以及蓋304。腔室主體302通常由鋁、不鏽鋼或是其他適合材料製成。腔室主體302一般包括側壁308以及底部310。基板進出口(圖中未示)通常係界定在側壁308中,並藉由狹縫閥而選擇性密封,以利於基板344進出製程腔室300。排氣口326係界定在腔室主體302中,並將內部容積306耦接至抽氣系統328。抽氣系統328一般包括一或多個幫浦以及節流閥,用於排放及調節製程腔室300之內部容積306的壓力。在一實施例中,抽氣系統328維持內部容積306內的壓力在一般介於約10 mTorr(毫托)~約20 Torr(托)的操作壓力下。
蓋304係密封地支撐在腔室主體302的側壁308上。可開啟蓋304以允許進入製程腔室300的內部容積306。蓋304包括一窗342,以利於光學製程監控。在一實施例中,窗342係由石英或其他適合材料構成,其可被光學監控系統340使用的訊號所透射。光學監控系統340係經定位以透過窗342來觀看設置在基板支撐組件348上的基板344。可適用而受益於本發明的一光學監控系統為購自加州聖克拉拉的應用材料公司(Applied Materials,Inc.)之EyeD®全光譜干涉計量模組。如何使用光學監控之一實例的細節係揭露於:共同受讓之美國專利申請序號第60/479,601號,申請日為2003年6月18日,專利名稱為「監控蝕刻製程之方法及系統(Method and System for Monitoring an Etch Process);美國專利號第6,413,837號,公告日為2002年7月2日,專利名稱為「使用光譜干涉術之薄膜厚度控制(Film Thickness Control Using Spectral Interferometry)」;以及美國專利申請序號第60/462,493號,申請日為2003年4月11日,專利名稱為「在多次傳遞晶圓製程中,使用原位及移位計量學及數據檢索之製程控制增進及錯誤偵測(Process Control Enhancement and Fault Detection Using In-situ and Ex-situ Metrologies and Data Retrieval In Multiple Pass Wafer Processing)」。
氣體面板358係耦接至製程腔室300,以提供製程及/或清潔氣體至內部容積306。在第3圖所示之實施例中,蓋304中係提供有進入口332’、332”,以允許氣體由氣體面板358輸送至製程腔室300的內部容積306。
噴灑頭組件330係耦接至蓋304的內部表面314。噴灑頭組件330包括複數個孔洞以及一中央通道338,以允許氣體由進入口332流經噴灑頭組件330而進入製程腔室300的內部容積306,且氣體係以跨越基板344(待於製程腔室300中進行製程)表面之預定分佈方式而流經該噴灑頭組件330。在一實施例中,噴灑頭組件330係配置而具有複數個區域,其允許對流入製程腔室300之內部容積306的氣體進行分別的控制。在第3圖所示之實施例中,噴灑頭組件330具有一內部區域334以及一外部區域336,且該些區域係透過分離的入口332而 耦接至氣體面板358。
基板支撐組件348係設置在製程腔室300之內部容積306中而位於噴灑頭組件330的下方。基板支撐組件348在製程過程中係支承該基板344。基板支撐組件348一般係包括穿設於其中的複數個升舉銷(圖中未示),其係配置以將基板自基板支撐組件348升舉,而助於利用機械手臂(圖中未示)而採習知方式交換基板344。
在一實施例中,基板支撐組件348包括一附接至基底板364的靜電吸座366。靜電吸座366係設置在基底板364上,且周圍環繞有一聚集環(focus ring)346。一設施板309係附接至基底板364。基底板364與設施板309係藉由絕緣材料301而電性絕緣。或者,基底板364與設施板309可以藉由形成於其間的空間或間隙而電性絕緣。介電絕緣環320係耦接至設施板309的底表面。設施(utility)之路徑係耦接穿過介電絕緣環320而至基底板364及靜電吸座366。
基底板364或靜電吸座366之至少一者可包括至少一選用的(optional)的嵌設加熱器376、至少一選用的嵌設隔離器374及複數個導管368、370,以控制支撐組件348的橫向溫度分佈。導管368、370係流體耦接至流體源372,而流體源372係將溫度調節流體循環通過該些導管368、370。加熱器376係藉由電源378來調節之。導管368、370與加熱器376係用於控制基底板364的溫度,並且加熱及/或冷卻靜電吸座366。在一實施例中, 導管與加熱器係至少部分地控制設置在靜電吸座366上之基板344的溫度。靜電吸座366與基底板364的溫度係使用複數個感測器392來監控,而該些感測器392係藉由控制器350而控制,以偵測靜電吸座366與基底板364之不同區域中的溫度。
靜電吸座366通常係由陶瓷或相似介電材料來形成,其包括至少一鉗位電極(clamping electrode)380,而該鉗位電極380係使用吸引電源(chucking power source)382控制之。RF電極381係藉由一傳導饋送件(feed through)383而透過匹配電路388耦接至一或多個RF功率源384、386,以在製程腔室300中維持由製程氣體及/或其他氣體所形成的電漿。設施板309係透過RF傳輸系統312而耦接至RF功率源384、386,以透過形成在基板支撐組件348的中央部分中之通道318而提供RF電極381能量。設施板309係由傳導性材料製成,其可將來自RF功率源384、386之RF功率電性地及傳導性地攜帶通過中央通道318而至設置在靜電吸座366中的RF電極381。在該實施例中,RF功率源384、386係大致能夠產生一RF訊號,其頻率為約50 kHz~約3 GHz,且功率高達約10,000瓦。匹配網路388係將RF功率源384、386的阻抗匹配至電漿阻抗。
通道318包括同軸設置在導管303中的高壓(HV)電纜305。通道318係有利於吸引電力(chucking power)及RF功率在形成於通道中之電纜305及導管303內獨立 傳送。通道318有利於由吸引電源382供應至鉗位電極380之吸引電力的傳送,以及由RF功率源384、386供應至RF電極381之RF功率的傳送。RF傳輸系統312係設置在介電絕緣環320中,且附接至設施板309。通道318中的HV電纜305係延伸並通過RF傳輸系統312的一部分而至吸引電源382。外殼組件316係耦接至設施板309的底部,並且環繞RF傳輸系統312的一彎曲部分。關於通道318、RF傳輸系統312及外殼組件316之細節將參照第4A~D圖而進一步描述。
第4A圖繪示耦接至形成於基板支撐組件348中之通道318的示範性RF傳輸系統312的剖面視圖。RF傳輸系統312具有中心軸406,而其係與基板支撐組件348的中心軸實質對準。RF傳輸系統312包括一彎曲的RF傳輸線428、一終端410以及環繞一部分RF傳輸線428的一外殼組件316。終端410具有一中央孔洞,其允許功率饋送件(power feed)420通過。功率鎖送件420具有一頂端414,其係形成並暴露以連接至HV電纜305(設置在形成於基板支撐組件348中央內的通道318中)的底端。功率饋送件420係有助於吸引電力由吸引電源382(示於第3圖)傳輸通過HV電纜305而至設置在靜電吸座366中的鉗位電極380。在功率饋送件420與終端410之間係使用絕緣體412以提供良好的密封及電性絕緣。在一實施例中,絕緣體412係由介電材料製成,例如塑膠、聚合物、或氟碳化物(fluorocarbon),舉例為 TEFLON(特夫綸),其可提供良好的密封與電性絕緣。
在一實施例中,終端410具有一實質縱長主體,其具有一下方部分418設置在彎曲的RF傳輸線428中,以及一上方部分416往上延伸並突出於彎曲的RF傳輸線428之外側。終端410的下方部分418係與設置在其中的功率饋送件420為電性隔離,舉例來說,係藉由絕緣套筒而電性隔離。彎曲的RF傳輸線428具有一第一孔洞496,該第一孔洞496係形成並按一定尺寸製作以容設RF終端410的下方部分418,且RF傳輸線428係有利於來自RF功率源的RF功率傳導通過終端410而至RF電極381。在一實施例中,終端410可以由傳導性材料製成,例如銅、鋁、不鏽鋼及其組合。
在一實施例中,彎曲的RF傳輸線428係包括有一連接件402以及一RF桿422,而介電絕緣體424係環繞連接件402與RF桿422。首先參照第4B圖,RF傳輸線428包括一介電絕緣體424。絕緣體424係由緊配的殼所構成,其環繞RF桿422與連接件402。介電絕緣體424係由介電材料製成,例如塑膠、聚合物或TEFLON,而其可提供良好的密封及電性絕緣。
介電絕緣體424包括一孔洞482,而孔洞482係與孔洞484對準。孔洞482、484與中心軸406為共中心地對準。孔洞484允許終端410延伸穿過絕緣體424並與連接件402緊配。孔洞482允許功率饋送件420向上延伸穿過絕緣體424的底部,並且共中心地延伸穿過終端 410。殼424A、424B係通常包括一緊配特徵結構488,該緊配特徵結構488係預防殼424A、424B之間察看到視線(line of sight)。
在第4B圖所示之實施例中,緊配特徵結構488為榫(tongue)與溝槽接合的形式。緊配特徵結構488可包括一壓接或扣接(snap fit),而可將殼424A、424B緊固在一起。
RF桿422係由具有高傳導性之材料製成,以助於來自RF功率源384、386之RF功率的傳送。在一實施例中,RF桿422可以由金屬材料製成,該金屬材料係選自由銅、銀、金及其他適合金屬材料所組成之群組。彎曲的RF傳輸線428具有一實質L形,該形狀係由耦接至實質垂直RF桿422之實質水平定向的連接件402所界定。
參照第4D圖,RF傳輸線428的連接件402具有第一孔洞496以及一第二孔洞498,該第一孔洞496係配置以容設終端410的下方部分418,該第二孔洞498係配置以容設RF傳輸線428的RF桿422之上方端。RF傳輸線428及RF終端之詳細描述將參照第4C圖而進一步討論如下。
往回參照第4A圖,由於實質圍繞基板支撐組件348之中心軸406之空間可用於提供設施、感測器導線及其類似者或是設置在其中的機械支撐件之設置路徑,其阻止RF傳輸線428設置在正下方。而RF傳輸線428的L形及彎曲配置可有效地提供空間,以提供該些設施的設 置路徑,並同時有效地傳送RF功率通過基板支撐件348的中央。連接件402的長度426係足以使RF桿422偏置定位,並且與置中設置在基板支撐組件348下方之設施或其他機械支撐件保有距離。在其中設置在基板支撐組件348之中央部分下方的設施或機械支撐件之通過路徑具有相對較小的尺寸之實施例中,連接件402的長度426可為較短,以使得RF傳輸線428中的RF功率之偏置最小化。在一實施例中,連接件402的長度426為約1英吋~約10英吋,例如為約1英吋~約5英吋,又例如為約1英吋~約2英吋。
外殼組件316係設置在介電絕緣環320下方,並與其接觸,而該絕緣環320具有一中央開口以容設RF終端410的下方部分。外殼組件316係環繞RF傳輸線428的連接件402以及RF桿422的上方部分430。在一實施例中,外殼組件316可以由一材料製成,其可在製程過程中屏蔽RF功率而使其不與電漿、離子、或解離物種產生交互作用,而上述之交互作用可能會導致電場分佈的非均一性。當外殼組件316可以屏蔽RF功率,則傳輸通過連接件402的RF功率會有效地屏蔽而不與製程腔室300中所產生的電漿有交互作用。在一實施例中,外殼組件316係由硬質且非磁性的不鏽鋼製成。外殼組件316具有一孔480,該孔480係與絕緣體424的孔洞482對準,以允許功率饋送件420沿著軸406而通過。外殼組件316將RF傳輸線428的上方端緊固至支撐組件348。外殼組 件316係額外地環繞絕緣體424的上方部分,該上方部分係覆蓋住連接件402。因此,外殼係有助於將殼424A、424B緊固在一起。
因此,絕緣體424與外殼組件316係實質防止RF傳輸線428與基板支撐組件348直接接觸,藉以避免局部的電場非均一性。環繞連接件402之絕緣體424的水平部分係作為電性屏蔽,其可預防來自RF傳輸線428的電磁力在RF功率傳輸期間干涉跨越基板表面之電場分佈。
在一實施例中,絕緣體432係設置在RF終端410的下方部分418以及彎曲RF傳輸線428上方的外殼組件316之間。絕緣體432協助填充可能存在於介電絕緣環320、外殼組件316與RF傳輸線428之間的空隙或間隔,並提供對於相鄰腔室部件的良好密封。
第4C圖繪示設置在RF傳輸線428上的終端410之立體視圖。終端410之上方部分416具有一環狀開口,該環狀開口係用於附接至設施板309的底表面。終端410的下方部分418係延伸穿過絕緣體432,並與RF傳輸線428的開口496緊配。
第5A圖繪示基板支撐組件500之另一實施例的剖面視圖,其可提供跨越基板表面之均一電場。類似於第3圖之配置,基板支撐組件500包括靜電吸座366,該靜電吸座366係附接至基底板364。介電絕緣環320係耦接至基底板364的底表面。RF傳輸線508係附接至基底板364,而該基底板364係環繞有介電絕緣環320。來自RF 傳輸線508之RF功率係透過設置在介電絕緣環320上的金屬板502而傳輸至基板支撐組件500,該介電絕緣環320連接至RF傳輸線508。如上所討論者,常規的設施及/或部分機械支撐件可設置在基板支撐組件500下方的中心軸506(參見第5B圖)周圍,RF傳輸線508因此期望設置在與中心軸506呈偏置的一位置520。藉由使用設置在介電絕緣環320中的金屬板502,則來自RF傳輸線508的RF功率可以透過與基板支撐組件500連接之金屬板502而傳送至基板支撐組件500。為了避免因為RF傳輸線508之偏置附接所造成的偏斜圖案,金屬板502係配置以具有由基部510往上突出的多個導管504。導管504係形成而實質垂直於基部510,以用於將RF功率傳送至基板支撐組件500之RF電極512的不同位置。形成在金屬板502中的各個導管504具有耦接至靜電吸座366之RF電極的一端,以將RF功率輸送至基板支撐組件500的不同位置。在一實施例中,金屬板502係由金屬材料製成,該金屬材料係選自由銅、鋁、不鏽鋼及其組合所組成之群組。
第5B圖繪示嵌設在基板支撐組件500之靜電吸座366的RF電極512之立體上視圖。RF傳輸線508係偏置附接於基板支撐組件500下方的區域520,如虛線所示。由於RF傳輸線508的偏置附接可能會造成非均一的電場分佈,故導管504的分佈係配置為對稱方式,而使用通過區域520的一軸522做為中心軸。導管504的分佈係 有效地改變跨越基板表面所分佈的電場。金屬板502之可調整及可改變的導管分佈可有效地控制及重新分佈跨越基板支撐組件之表面的電場。在一實施例中,金屬板502之可調整及可改變的導管分佈係解決了RF傳輸線508之偏置附接可能造成之局部及非均一的電場分佈。導管504提供跨越靜電吸座366之RF電極512的不同接觸點,以均一地傳送RF功率至跨越靜電吸座表面的不同位置,藉此,在位置520處的電場對於在傳輸線508位置上方的影響可被平衡掉且可被忽略。雖然第5B圖示出6個導管504,應注意到導管504的數量、分佈、形狀及位置可採任何方式分配,且該方式係適於提供RF功率所產生之電場的均一分佈及分配,以平衡掉傳輸線偏置的作用。當電場分佈係受到控制且跨越基板表面而均一地維持,則因此可獲得均一的蝕刻效能。
製程、直接注射及惰性氣體的混合物係提供至腔室以供電漿蝕刻。混合物可包括HBr、NF3、O2、SiF4、SiCl4及Ar的至少其中之一者。在一實施例中,提供至混合歧管的製程氣體包括HBr和NF3,而O2、SiF4及SiCl4係選擇性地提供。在一示範實施例中,係將約50~約500 sccm的HBr、約10~約200 sccm的NF3、約0~約200 sccm的O2、約0~約200 sccm的SiF4、約0~約200 sccm的SiCl4及約0~約200 sccm的Ar係提供至混合歧管,以供適於蝕刻300 mm基板的製程。混合氣體以低流率提供至充氣部(plenum),而該流率係經選擇而相稱於特 徵結構之密度、尺寸及橫向位置。SiCl4係用作為直接注射氣體,其係提供至噴灑頭組件的充氣部而繞過混合歧管。
本發明之各種實施例係提供一種設備及方法,該設備及方法係提供跨越基板表面之高蝕刻均一性。對於RF功率輸送及/或彎曲RF傳輸線的多接觸點配置係有利地提供一種補償在習知設備中可能發生之電場偏斜圖案之方式。另外,RF功率輸送及/或彎曲RF傳輸線的多接觸點配置可增進分配跨越基板表面之電場分佈的均一性,因而增進總蝕刻均一性。
惟本發明雖以較佳實施例說明如上,然其並非用以限定本發明,任何熟習此技術人員,在不脫離本發明的精神和範圍內所作的更動與潤飾,仍應屬本發明的技術範疇。
100‧‧‧製程腔室
106‧‧‧內部容積
120‧‧‧介電絕緣環
144‧‧‧基板
148‧‧‧基板支撐組件
150‧‧‧RF傳輸線
152‧‧‧中央饋送件/導管
154‧‧‧金屬板
156‧‧‧頂部部分
158,160‧‧‧區域
164‧‧‧基底板
166‧‧‧靜電吸座
176‧‧‧加熱元件
178‧‧‧加熱器電源
180‧‧‧鉗位電極
182‧‧‧RF電極
184,186‧‧‧RF功率源
188‧‧‧匹配電路
190‧‧‧設施板
192‧‧‧絕緣材料
300‧‧‧製程腔室
301‧‧‧絕緣材料
302‧‧‧腔室主體
303‧‧‧導管
304‧‧‧蓋
305‧‧‧電纜
306‧‧‧內部容積
308‧‧‧側壁
309‧‧‧設施板
310‧‧‧底部
312‧‧‧RF傳輸系統
314‧‧‧內部表面
316‧‧‧外殼(組件)
318‧‧‧通道
320‧‧‧絕緣環
326‧‧‧排氣口
328‧‧‧抽氣系統
330‧‧‧噴灑頭組件
332,332’,332”‧‧‧進入口
334‧‧‧內部區域
336‧‧‧外部區域
338‧‧‧中央通道
340‧‧‧光學監控系統
342‧‧‧窗
344‧‧‧基板
346‧‧‧聚集環
348‧‧‧基板支撐組件
350‧‧‧控制器
358‧‧‧氣體面板
364‧‧‧基底板
366‧‧‧靜電吸座
368,370‧‧‧導管
372‧‧‧流體源
374‧‧‧隔離器
376‧‧‧加熱器
378‧‧‧電源
380‧‧‧鉗位電極
381‧‧‧RF電極
382‧‧‧吸引電源
383‧‧‧傳導饋送件
384,386‧‧‧RF功率源
388‧‧‧匹配電路/網路
392‧‧‧感測器
402‧‧‧連接件
406‧‧‧中心軸
410‧‧‧終端
412‧‧‧絕緣體
414‧‧‧頂端
416‧‧‧上方部分
418‧‧‧下方部分
420‧‧‧功率饋送件
422‧‧‧RF桿
424‧‧‧絕緣體
424A,424B‧‧‧外殼
426‧‧‧長度
428‧‧‧RF傳輸線
430‧‧‧上方部分
432‧‧‧絕緣體
480‧‧‧孔
482‧‧‧孔洞
484‧‧‧孔洞
488‧‧‧緊配特徵結構
496‧‧‧第一孔洞/開口
498‧‧‧第二孔洞
500‧‧‧基板支撐組件
502‧‧‧金屬板
504‧‧‧導管
506‧‧‧中心軸
508‧‧‧RF傳輸線
510‧‧‧基部
512‧‧‧RF電極
520‧‧‧位置
522‧‧‧軸
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1圖,繪示習知製程腔室之剖面視圖。
第2圖,設置在第1圖之習知製程腔室中的跨越基板 表面之電場分佈。
第3圖,繪示根據本發明之製程腔室的一實施例之剖面視圖。
第4A圖,繪示根據本發明之基板支撐組件的剖面視圖。
第4B圖,繪示耦接至第4A圖之基板支撐組件的RF傳輸線之剖面視圖。
第4C圖,繪示安裝在第4A圖之RF傳輸線上的RF終端之立體視圖。
第4D圖,繪示第4A圖之RF傳輸線的水平通道之剖面視圖。
第5A圖,繪示根據本發明之基板支撐組件的另一實施例之剖面視圖。
第5B圖,繪示第5A圖之基板支撐組件的上視圖。
為便於了解,圖式中相同的元件符號表示相同的元件。某一實施例採用的元件當不需特別詳述而可應用到其他實施例。
須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
300‧‧‧製程腔室
301‧‧‧絕緣材料
302‧‧‧腔室主體
303‧‧‧導管
304‧‧‧蓋
305‧‧‧電纜
306‧‧‧內部容積
308‧‧‧側壁
309‧‧‧設施板
310‧‧‧底部
312‧‧‧RF傳輸系統
314‧‧‧內部表面
316‧‧‧外殼(組件)
318‧‧‧通道
320‧‧‧絕緣環
326‧‧‧排氣口
328‧‧‧抽氣系統
330‧‧‧噴灑頭組件
332,332’,332”‧‧‧進入口
334‧‧‧內部區域
336‧‧‧外部區域
338‧‧‧中央通道
340‧‧‧光學監控系統
342‧‧‧窗
344‧‧‧基板
346‧‧‧聚集環
348‧‧‧基板支撐組件
350‧‧‧控制器
358‧‧‧氣體面板
364‧‧‧基底板
366‧‧‧靜電吸座
368,370‧‧‧導管
372‧‧‧流體源
374‧‧‧隔離器
376‧‧‧加熱器
378‧‧‧電源
380‧‧‧鉗位電極
381‧‧‧RF電極
382‧‧‧吸引電源
383‧‧‧傳導鎖送件
384,386‧‧‧RF功率源
388‧‧‧匹配電路/網路
392‧‧‧感測器

Claims (19)

  1. 一種基板支撐組件,包括:一基板支撐組件;一RF傳輸線,係位在與該基板支撐組件的一中心軸呈偏置的一區域而耦接至該基板支撐組件的一底部;以及一金屬板,耦接至該RF傳輸線,其中該金屬板包括:複數個導管,係設置於該金屬板中。
  2. 如申請專利範圍第1項所述之基板支撐組件,其中該金屬板的該等導管係設置於該基板支撐組件內。
  3. 如申請專利範圍第1項所述之基板支撐組件,其中該等導管具有一頂端,該頂端係耦接至該基板支撐組件的一RF電極。
  4. 如申請專利範圍第3項所述之基板支撐組件,其中該基板支撐組件更包括:一基底板,係耦接至一靜電吸座(electrostatic chuck)。
  5. 如申請專利範圍第3項所述之基板支撐組件,其中該RF電極係嵌設於該靜電吸座中。
  6. 如申請專利範圍第1項所述之基板支撐組件,更包括:一介電絕緣環,係耦接至該基板支撐組件的一底部。
  7. 如申請專利範圍第6項所述之基板支撐組件,其中該RF傳輸線被該介電絕緣環所圍繞。
  8. 如申請專利範圍第6項所述之基板支撐組件,其中該金屬板係設置於該介電絕緣環中。
  9. 如申請專利範圍第1項所述之基板支撐組件,其中該等導管係形成為實質垂直於該金屬板。
  10. 如申請專利範圍第1項所述之基板支撐組件,其中該等導管從該金屬板突出,該金屬板延伸至該基板支撐組件。
  11. 如申請專利範圍第1項所述之基板支撐組件,其中該金屬板係從由銅、鋁、不鏽鋼及其組合所組成之群組所製成。
  12. 如申請專利範圍第1項所述之基板支撐組件,其中該等導管係以一對稱方式分佈,該對稱方式利用通過該RF 傳輸線所附接的區域的一軸做為一中心軸。
  13. 如申請專利範圍第1項所述之基板支撐組件,其中該等導管的位置為可調整的。
  14. 如申請專利範圍第6項所述之基板支撐組件,其中該介電絕緣環係由選自塑膠、聚合物或氟碳化物(fluorocarbon)的至少其中之一者的一塑膠材料所製成。
  15. 一種基板支撐組件,包括:一基板支撐組件;一RF傳輸線,係位在與該基板支撐組件的一中心軸呈偏置的一區域而耦接至該基板支撐組件的一底部;以及一金屬板,耦接至該RF傳輸線:以及複數個導管,係設置於該金屬板中,其中設置於該金屬板中的該等導管係以一對稱方式分佈,該對稱方式利用通過該RF傳輸線所附接的區域的一軸做為一中心軸。
  16. 如申請專利範圍第15項所述之基板支撐組件,更包括:一介電絕緣環,係耦接至該基板支撐組件的一底部。
  17. 如申請專利範圍第16項所述之基板支撐組件,其中該RF傳輸線被該介電絕緣環所圍繞。
  18. 如申請專利範圍第15項所述之基板支撐組件,其中該等導管具有一頂端,該頂端係耦接至該基板支撐組件的一RF電極。
  19. 如申請專利範圍第15項所述之基板支撐組件,其中該等導管的位置為可調整的。
TW101140102A 2008-06-25 2009-06-15 半導體設備中的射頻功率傳輸系統 TW201310575A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/146,189 US8206552B2 (en) 2008-06-25 2008-06-25 RF power delivery system in a semiconductor apparatus

Publications (1)

Publication Number Publication Date
TW201310575A true TW201310575A (zh) 2013-03-01

Family

ID=41445204

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098119954A TWI383468B (zh) 2008-06-25 2009-06-15 半導體設備中的射頻功率傳輸系統
TW101140102A TW201310575A (zh) 2008-06-25 2009-06-15 半導體設備中的射頻功率傳輸系統

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW098119954A TWI383468B (zh) 2008-06-25 2009-06-15 半導體設備中的射頻功率傳輸系統

Country Status (6)

Country Link
US (2) US8206552B2 (zh)
JP (1) JP2011527107A (zh)
KR (1) KR101514942B1 (zh)
CN (1) CN102077328B (zh)
TW (2) TWI383468B (zh)
WO (1) WO2009158192A2 (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP2011192991A (ja) 2010-03-12 2011-09-29 Asml Netherlands Bv リソグラフィ装置および方法
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
KR101329030B1 (ko) * 2010-10-01 2013-11-13 주식회사 실리콘밸류 유동층 반응기
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
WO2013078152A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Peripheral rf feed and symmetric rf return with rf strap input
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US10109510B2 (en) * 2014-12-18 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
US10083853B2 (en) * 2015-10-19 2018-09-25 Lam Research Corporation Electrostatic chuck design for cooling-gas light-up prevention
WO2017165032A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Ceramic heater with enhanced rf power delivery
US10062620B1 (en) * 2017-04-13 2018-08-28 Nanya Technology Corporation Housing assembly and memory device
US20180308667A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with groups of electrodes
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
JP6865128B2 (ja) * 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
US11056372B2 (en) * 2019-04-30 2021-07-06 Applied Materials, Inc. Low temperature biasable substrate support
US20220319896A1 (en) * 2021-04-02 2022-10-06 Applied Materials, Inc. Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
JPH10256574A (ja) * 1997-03-14 1998-09-25 Toko Inc ダイオード装置
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
US6267839B1 (en) * 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
JP4450883B2 (ja) 1999-03-30 2010-04-14 東京エレクトロン株式会社 プラズマ処理装置
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6729850B2 (en) * 2001-10-31 2004-05-04 Tokyo Electron Limited Applied plasma duct system
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
CN1316531C (zh) * 2002-06-14 2007-05-16 国际商业机器公司 具有可变形弹性体导电元件的微机电开关
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050274324A1 (en) * 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
JP2006236867A (ja) * 2005-02-25 2006-09-07 Ngk Insulators Ltd プラズマ処理部材
US20070256789A1 (en) * 2005-06-24 2007-11-08 Invista North Americal S.A.R.L. Guide for bonding tapes or films
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current

Also Published As

Publication number Publication date
WO2009158192A3 (en) 2010-03-11
TWI383468B (zh) 2013-01-21
US8206552B2 (en) 2012-06-26
WO2009158192A2 (en) 2009-12-30
CN102077328A (zh) 2011-05-25
KR20110022084A (ko) 2011-03-04
US20090321019A1 (en) 2009-12-31
TW201017810A (en) 2010-05-01
US20120241091A1 (en) 2012-09-27
CN102077328B (zh) 2013-05-22
JP2011527107A (ja) 2011-10-20
KR101514942B1 (ko) 2015-04-24

Similar Documents

Publication Publication Date Title
TWI383468B (zh) 半導體設備中的射頻功率傳輸系統
KR102423749B1 (ko) 대칭적인 플라즈마 프로세스 챔버
KR100900596B1 (ko) 고 종횡비 피쳐를 식각하기에 적당한 진공 처리 챔버 및 그구성 부품
US6375750B1 (en) Plasma enhanced chemical processing reactor and method
US9443753B2 (en) Apparatus for controlling the flow of a gas in a process chamber
US10374358B2 (en) Feeder-cover structure and semiconductor production apparatus
KR100697158B1 (ko) 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법
KR102045484B1 (ko) 저주파 rf 전력의 분포 조절 기능을 갖는 플라즈마 반응기 및 이에 적용되는 방법
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
KR20030051765A (ko) 기판내의 고 애스펙트 비 형성체의 에칭
US8558134B2 (en) Plasma processing apparatus and plasma processing method
KR101218554B1 (ko) 대면적의 유도결합형 플라즈마를 균일하게 발생시키는플라즈마 발생장치