TW200419716A - Method of forming an inter-metal dielectric layer in an interconnect structure - Google Patents

Method of forming an inter-metal dielectric layer in an interconnect structure Download PDF

Info

Publication number
TW200419716A
TW200419716A TW093104898A TW93104898A TW200419716A TW 200419716 A TW200419716 A TW 200419716A TW 093104898 A TW093104898 A TW 093104898A TW 93104898 A TW93104898 A TW 93104898A TW 200419716 A TW200419716 A TW 200419716A
Authority
TW
Taiwan
Prior art keywords
layer
scope
item
density
patent application
Prior art date
Application number
TW093104898A
Other languages
English (en)
Other versions
TWI229918B (en
Inventor
Yi-Lung Cheng
Ming-Hwa Yoo
Szu-An Wu
Ying-Lung Wang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW200419716A publication Critical patent/TW200419716A/zh
Application granted granted Critical
Publication of TWI229918B publication Critical patent/TWI229918B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

200419716
五、發明說明(1) 發明所屬之技術領域 特別有關於一種形成低 層的半導體製程。 本發明係有關於半導體製程, 介電常數(low k)之金屬層矿介電 先前技術
隨著半導體產品的積集化,半導體元件尺寸日益縮 小,晶片運作速度除了取決於元件操作速度外,元件之間 導線之訊號傳輸速度也扮演重要角色。而為了降低訊號傳 遞的RC延遲(RC delay),具有低電阻和低電容的材料則成 為目前半導體製程技術的開發重點。為了降低電阻部份, 金屬銅由於具備高熔點,低電阻係數(〜l 7mW_cm)及高抗 電子遷移(Electron migration)的能力,因而成為取代金 屬鋁的金屬材質。另一方面,在低電容部份,電容c = e (A / d )’而由於製程上的限制,因此,業界通常不考虞以 幾何改變(例如:改變導線面積)來降低寄生電容。目u前, 低介電常數(1 ow k )材質的研究,就成為主要的發展趨 勢。可分為無機類及有機類聚合物 X 在多重金屬内連線結構中的層間介電層(Inter_metal dielectric layer,以下簡稱IMD),其本要求為高可靠 度、低應力、製程簡單化、不易吸水以及易於與金屬内連 線間作整合。在先前技術中,介電層材料多以電製輔助化 學氣相沉積法(PECVD)沉積Si 02,其介電常數約為3 9〜4 2。另一些常用於介電層的材料,則以二氧化石夕基 (Si02-based)、矽氧烷基(Si 1 oxane-based)、^ λν ^ Α ϋ
kT.C·); 1
I 〇503-8966TWf(Nl) : TSMC2002-0587;peggy.ptd 第5頁 200419716 五、發明說明(2) (SiN)或陶瓷類(ceramic_iike)材質為主。然而,上述材 料的介電常數大多高於3 · 〇以上,在邁入深次微米製程 後’已有許多低介電常數(巧/於3 · 9 )的材質被發展出來。 此類材料主要分為無機類與有機類兩種。另外,而其沉積 的方式主要藉由化學氣相沉積(CVD)或旋塗式塗佈法 (Spin-〇n)等方式。在無機類低介電材質方面,較常見的 材料如·含氟的石夕氧化物。氟化氧化石夕中氟的含量可以降 低介電常數至3 · 〇左右,還可以改善沈積薄膜時的填溝能 力(Gap f i 1 1 ing)。然而,含氟的矽氧化物中的氟含量不 易控制,容易導致吸水的傾向,而呈現較不穩定的特性, 使内連線結構的可靠性(R e 1 i a b i 1丨七y )不易控制。 另外’諸如像HSQ (Hydrogen silesquioxane)和MSQ (Methylsequioxane)等聚合物,其最小介電常數值約為2. 6〜2.8 ’可採用旋塗式玻璃法(Spin_〇n giass,簡稱s〇G) 進行塗佈。由於採用S0G製程’填溝能力良好,因此此類 材料也逐漸被廣泛使用中。 然而此類低介電常數材料與製程技術的搭配,一般需 要不斷的調整與驗證,以達到量產的目的。如美國專利第 6051321號中,提出一種藉由電漿或光子輔助cvd形成imd 層的方法。藉由調整其操作條件,可以得到介電常數介於 2 · 0至2 · 6之間的低介電常數層間界電層,主要材料為特定 的矽氧烷(siloxanes)與含氟之芳香類化合物 (F~containi ng aromatic compounds)。 又如美國專利第5 8 5 8 8 6 9號中,提出一種以異方性電
0503-8966TWf(Nl) ; TSMC2002-0587;peggy.ptd 第6頁 200419716 五、發明說明(3) 漿與低介電常數材料形成層間介電層的方法。其主要在金 屬結構上形成異方性電漿氧化物,在金屬頂端較厚而側壁 較薄,接著沈積一低介電常k材料於其表面,並以平坦化 製程露出該金屬層之頂端。最後,續覆蓋一摻氟氧化物層 作為一層間介電層。 發明内容 本發明的一個目的在於提供一種低介電常數層的形成 方法與藉其形成之内連線結構,以形成穩定的金屬層間介 電層。 根據本發明,係提供一種形成金屬層間介電層(I MD ) 的方法,適用於一多重金屬鑲嵌内連線結構,特別為銅鑲 嵌製程,係包含下列步驟。首先,提供一半導體基底基 底,其中設置半導體元件或導電元件。接著,以高密度電 漿化學氣相沈積法(HDP-CVD)以臨場方式(in-si tu)形成一 高密度電漿内襯氧化物層與一高密度電漿低介電常數層依 序覆蓋於半導體基底表面作為層間介電層。接續於該層間 介電層上定義並形成鑲嵌開口。之後,沈積一導電層於該 基底上填滿鑲嵌開口。 本發明更提供一種形成金屬層間介電層(I MD)的方 法,適用於一多重金屬内連線結構,特別為鋁金屬内連線 結構,包含下列步驟:提供一基底,其上具有半導體元件 或導電元件;於該基底上沈積並定義一導電層與該半導體 元件或導電元件成電性接觸;以及,以高密度電漿化學氣
0503-8966TWf(Nl) ; TSMC2002-0587;peggy.ptd 第7頁 200419716 五 發明說明 2,法(HDP_CVD)臨場方式(in_si⑻形成—二、 ♦面=物層與一高密度電气低介電常數層覆::度電聚 表面作為—層間介電層。” |於該基底 邮美=據本發明,更提供一種内連線結構,包含· 二土 &,其中包含半導體元件或導電元件;一 · 一半導 包;::開口與該半導體元件或導電元件相通:U,其 二;=度電漿化學氣相沈積法(HDP_C ?電層 ;ί:;内襯氧化物…高密度電漿低介電Λ之—高 =·-、μ基底表面,其中該高密度電漿内 之層依序 南密度電漿低介電常數層係以臨場('乳化物層與請 广-導電層,㈣滿該介電S;二方門式依序生 内連線。 豕開口以形成 在上述方法或内連線結構中,該美 圓,其中該高密度低介電常數層為較者:半導體晶 氣石夕玻璃層(fluorlnated slllcon glafs為:途、度電衆推 hdp-FSG),厚度大體介於5〇〇〇_8〇〇〇埃之^間簡稱 6000埃’而該高密度電漿内襯氧化 又二者為 漿含秒氧化物層(SlllC〇n — rlch 〇Xlde以下者為/密度電 ,娜’厚度大體介於25 0_5 0 0埃之間,為300 高密ΐ電漿含石夕氧化物層在該晶圓之中間區 而邊緣厚度較小,以引導電荷流通,避免 作條實施例中’高密度電漿氣相沈__的操
200419716
HDP-SRO 操作壓力:4_6mT,較佳者為5mT 射頻RF(頂 / 側):looo —f0 0 0 /20 0 0 —3〇〇〇Hz,較佳 150072500Hz ^ ^ ^ 偏壓射頻:0
Ar(頂/SiH4) :2-8/30-50sccm,較佳者為5/4〇sccm 〇2 :35-42sccm,較佳者為 38sccm HDP-FSG
操作壓力:4-6mT,較佳者為5mT 射頻(RF)(頂 / 側)·· 50 0 - 1 20 0/3 0 0 0-3 8 0 0Hz,較佳去
為800/3400HZ 偏壓射頻:2 0 0 0 -3 0 〇〇Hz,較佳者為25〇〇Hz
Ar(頂/SiH4 ) :2- 8/ 3 0 -5 0 sccm,較佳者為 5/4〇sccm 〇2 : 3 5-4 2 seem,較佳者為38 sccm
SiF4(頂、/ 側):3- 4/2 5 —3 5 sccm,較佳者為3. 5/3〇SCCm 根據上述方法與藉其形成之内連線結構,本發明的優 點之一在於在一機台中,以臨場方式即可完成作為阻障層 之SR0層與低介電常數層之FSG,可節省内連線製程步驟與 時間。
本發明的優點之二在於可以在晶圓上形成中間部分較 厚的HDP低介電常數層,如中間厚度較大的HDpSR〇層使 得晶圓在進行後續的電漿製程時,不易受到電聚損S害 (plasma damage) 〇 本發明的優點之三在於由高密度電漿形成之含矽氧化
0503-8966TWf(Nl) : TSMC2002-0587;peggy.ptd 第9頁 200419716
物層(HDPSRO),其可以有效防 方止上層的氟離子穿透,避免 在層間介電層與金屬層間形成务% i卞牙逍 t尤 , Ί . Λ $乳泡狀突起(bubble)或剝落 (peel ing),而影響内連線結構之電性。 為了讓本發明之上述目的 κ π人 的、特徵、及優點能更明顯易 憧,以下配a所附圖式,作詳細說明如下: 實施方式 實施例一 以下藉由第1 A至1 E圖,埤4 0口 μ认ί 砰細說明根據本發明之一種形 成金屬層間”電層(IMD)的方法,適用於一多重金屬銅 敌内連線結構。首先,參見第“圖,卜具有半導體元件 或導電兀件11 G之半導體基底i⑽,先形成—阻障層或银刻 阻擔層12G,可為習知的氮切層。接著,以臨場方式 (111 S1 tU),>以冋始、度電漿化學氣相沈積機台中(HDp_CVD) 依序形成一鬲密度電漿含矽氧化物層(HDP_SR0) 130,厚度 大體介於250 -50 0埃之間,較佳者為3⑽埃。其操作壓力較 佳者為5mT,射頻(RF)(頂/側)較佳者為15〇〇/25〇(),而 Ar(頂/SiH4)較佳者為5/40sccm,而〇2較佳者為38sccm。而 在較佳情況中,在基底1 0 0上中間區域的fj J) P — S R 〇層1 3 〇厚 度大於周邊區域。 接著於同一而禮度電裂機台中,依序形成高密度電梁 摻氟石夕玻璃層(HDP-FSG)140,厚度大體介於5000-8000埃 之間,較佳者為6 0 0 0埃,覆蓋於半導體基底丨00表面作為 層間介電層。一般而言,摻氟矽玻璃具有較低的介電常數
200419716 五、發明說明(7) 值,可降低内連線結構中的RC延遲。其操作壓力較佳 5mT,射頻RF (頂/側)較佳者為8〇〇/34〇〇Hz,偏壓射頻輕為 者為2500Hz,而操作氣體包备:αγ(頂/Si HJ較佳者為^佳 seem、〇2,較佳者為38sccn^SiF4(頂/側),較佳 氣' /40 3·5/30sccm 。 馬 接著參見第1B圖,塗佈一光阻層15〇,並於其上6、, 開口 160。接著參見第1C圖,根據該具有開口之光阻 為幕罩餘刻HDPFSO層140與HDPSRO層130以形成鑲叙開'口。 Φ 之後,移除該光阻層1 50,以形成具有鑲嵌開口;:口。 (130+140)。 "屯層 接著參見第1D圖,沈積一導電層17〇,如填入金 於該半導體基底1 〇 〇表面以填滿介電層内的開口。最、, 如第1E圖所示,對該金屬層1?〇進行一平坦化製程,疳 成一銅鑲嵌内連線結構。 y 實施例二 、以下藉由第2A至2〇圖,詳細說明根據本發明之一 成金屬層間介電層(I MD)的方法,特別適用於一多重 / 鋁内連線結構。首先,參見第^圖,在一具有半、开^ 或導電το件220之半導體基底2〇〇,先形成一導電層22〇, 如鋁金屬層。接著於該鋁金屬層22〇定義 % 圖案之光阻2 3 0。 連線 接著參見第2B圖,以該光阻層2 30為幕罩,蝕刻哕 屬層22 0以形成金屬導線22〇a。 接著,參見第2C圖,以臨場方式(in —situ),以高密
200419716 五、發明說明(8) 度電漿化學氣相沈積機台中(HDP_CVD)依 tt^,^M^(HDP-SRO)230,Γ^^^25〇_5〇; (RF)(頂/側)較佳者為15〇〇/25〇〇Hz,而 4)、,土气佳者為5/40sccm,以及%,較佳者為貝 而在車乂仏丨月况中,在基底100上中間區域的仙p sR〇 厚度大於周邊區域。 接巧同一高密度電漿機台+,依序形成高密 摻氟珍玻璃層(HDP-FSG) 240,厚度大體介於5〇〇〇_8〇〇〇埃 之間,以凡全覆盍該金屬導線22 〇a ,而較佳者厚度約 600 0埃,覆蓋於半導體基底2〇〇表面作為層間介電層。一 般而言,摻氟矽玻璃具有較低的介電常數值,可降低内 線結構中的RC延遲。其操作壓力較佳者為5mT,射頻r /側)較佳者為80 0/ 3400,偏塵RF較佳者為25〇〇,而操、 體包含:Ar(頂/SlH4),較佳者為5/4〇sccm、〇2,較佳者1 38sCCm,以及SiF4(頂/側),較佳者為3.5/3〇%^。 两 最後,參見第2D圖,對該肋卜以(;層24()進行一 製程。 丁一化 以下,進一步以下列數據與資料揭露本發明之優點愈 特徵。 ” 一 試驗樣品 對照組(習知的PEOX SRO + HDP FSG) 該樣品係先在半導體基底上,先以電漿輔助化學氣相 沈積機台(PECVD)形成PE SRO層,接著將基底送至高密度
200419716 五、發明說明(9) 電漿機台形成HDP FSG層。 試驗組(根據本發明之HDP SRO + HDP FSG) 該樣品係根據本發明,^半導體基底上,以臨場方式 (in-situ)直接在同一高密度電漿機台(HDPCVD)上依序形 成HDP-SR0 層與HDP FSG 層。 試驗結果 參見第3A與3B圖,所示為兩組半導體基底之厚度分佈 圖。由第3B圖可以看出,習知的PE〇x層的其厚度分佈成同 心圓狀’其厚度由内往外加厚。而根據本發明之HDp層, 其呈現中間較厚’而周邊較薄的分佈狀況。而由於習知 ΡΕ0Χ的中間部分較薄,容易使電漿製程中,電荷往中間部 分累積,造成中間區域的元件受到電漿損害(plasma damage)。而根據本發明之臨場HDPSR〇與HDpFSG層則可有 效避免晶圓中間過薄的問題。 ' 參見第4A與4B圖,圖中所示為晶圓表面在電漿製程後 的表面電荷分佈。由圖中可以明顯看出,第4β圖中對照組 的習知製程,其表面電荷平均高達4· 68v,而根據本發明 之试驗組’其第4 A圖之結果顯示其平均電荷僅為〇 · 4 9 v。 接著參見第5圖,所示為兩組晶圓的二次離子質譜儀 (SIMS)分析結果。由圖中可以清楚看出,習知的對照組中 的PEOX SR0層並無法有效阻擋上層的氟離子滲透。然而,
顯示根據本發明之臨場生成HDPSR〇與HDpFSG層,有效改善 電漿製程後的電荷累積。 ° 根據本發明的HDP SR0,其緻密度更能有效的阻擋上層
200419716 五、發明說明(ίο) HDPFSG層中的氟離子滲透,因此可有效避免採用FSG材料 時,氟離子滲透對下層金屬或元件的損害。 接著蒼見第6圖,所示鎵¥兩組之X—光電子光譜儀 (ESCA)之分析結果。由圖中結果可以看出習知的對照組 PEOX SR0層會形成N鍵結(N-bonding),然而本發明之試驗 組則不會形成N鍵結(N - b ο n d i n g)。 最後參見第7U7B圖’所示為兩組之晶圓良率分佈 圖,根據本發明之試驗組,
知的對照組之G. 66。顯示根/太/為"3,明顯高於習 電層其良率顯著提升。 X明所形成之金屬層間< 雖然本發明以較佳眘 定本發明,任何熟悉此項技获者路如上’然其並非用以限 和範圍内,當可做些許更動二、’在不脫離本發明之精神 圍當視後附之申請專利範圍^斤/閏,’因此本發明之保護範 I疋者為準。
200419716 圖式簡單說明 =至1E圖所示為根據本發明 屬層間介電層的方法流程。 # < f 八昆D i2D圖所示為根據菜發明形成-鋁内連線結構之 金屬層間介電層的方法流程。 稱 第3A與3B圖所示為根據本 ΡΕ0Χ層與本發明之HDP層之厚度分佈。 苐4A與4B圖所示為根撼士 — 很據本發明之一貫施例中,習知 ΡΕ0Χ層與本發明之HDP声矣& + 白夭 ^ 居表面在電漿製程後的表面電荷分 佈。 弟5圖所不為根^虔太gg 像尽^明之一實施例中,習知ΡΕ0Χ層 與本發明之H D P層兩纟且晶圓从 z丄田 曰曰固的二次離子質譜儀(S I MS )分析 結果。 第6圖所示為根據太路日日 t L 像不土明之一實施例中,習知ΡΕ0Χ層 與本發明之HDP層兩組晶圓沾 v 日日(U的之χ —光電子光譜儀(ESCA)之 分析結果。 弟7 A與7 B圖’所示為炸祕j 句根據本發明之一貫施例中,習知 ΡΕ0Χ層與本發明之HDP層兩么且曰m 白、安八 曰w ?且晶圓的良率分佈圖。 半導體基底 蝕刻終止層 HDPFSG ; 鑲嵌開口; 半導體基底 110 半 導 體 元 件; 130 HDPSR0 151 光 阻 層 9 170 導 電 層 210 半 導 體 元 件; 符號說明 100 120 140 160 200
200419716 圖式簡單說明 220:導電層; 230:光阻層; 220a :導線; 230 : HDPSRO ; 240 : HDPFSG 。 一 0503-8966TWf(Nl) ; TSMC2002-0587;peggy.ptd 第16頁

Claims (1)

  1. 200419716 六、申請專利範圍 " ----- 少击1丄種形成金屬層間介電層(IM D )的方法,適用於一 夕重=屬鑲嵌内連線結構,包含下列步驟: ,,二基底,其中設置:Τ半導體元件或導電元件; (in_=X w後、度電漿化學氣相沈積法(HDP-CVD)以臨場方式 』1 ^tu)形成一高密度電毁内襯氧化物層與一高密度電 水-1電常數層覆蓋於該基底表面作為一層間介電層; 方、^層間介電層上定義並形成一鑲嵌開口;以及 ’尤積一導電層於該基底表面並填滿該鑲嵌開口。 、2·根據申請專利範圍第1項所述之形成金屬層間介電 層的方法’其中該高密度低介電常數層為一高密度電漿摻& 氟石夕破璃層(HDP-FSG)。 3 ·根據申睛專利範圍第2項所述之形成金屬層間介電 層的方法’其中該高密度電漿摻氟矽玻璃層(HDP-FSG)之 厚度大體介於5 0 0 0 -80 0 0埃之間。 4·根據申請專利範圍第3項所述之形成金屬層間介電 層的方法’其中該高密度電漿摻氟矽玻璃層(HDP-FSG)之 操作壓力大體為4 - 6mT,射頻rf (頂/側)大體為 500-12 0 0/ 30 0 0- 38 0 0 之間,偏壓 RF 大體為 20 0 0- 30 0 0。 5 ·根據申請專利範圍第4項所述之形成金屬層間介電 層的方法,其中該高密度電漿採用Ar (頂/Si H4)大體 ® 2- 8/ 3 0 -5 0 sccm,02 大體為 35-42sccm,SiF4(頂/側)大體為 3- 4/25-35sccm 〇 6 ·根據申請專利範圍第2項所述之形成金屬層間介電 層的方法’其中該高密度電漿内概氧化物層為局密度電漿
    0503-8966TWf(Nl) · TSMC2002-0587;peggy.ptd 第17頁 200419716 六、申請專利範圍 含矽氧化物層(HDP-SR0)。 7 ·根據申請專利範圍第6項所述之形成金屬層間介電 層的方法,其中該高密度含;^氧化物層(HDP-SR0)之厚度 大體介於25 0 -5 0 0埃之間。 8 ·根據申請專利範圍第7項所述之形成金屬層間介電 層的方法’其中該高密度含矽氧化物層(HDp —SR〇)之操作 壓力大體為4-6mT,射頻RF(頂/側)大體為 1000-2000/2000-3000 。 9 ·根據申請專利範圍第8項所述之形成金屬層間介電 層的方法’其中該高密度含矽氧化物層(HDp一SR〇)係採用 Ar (頂/SiH4)大體為 2-8/30〜5〇sccm 與 〇2 大體為 35-42sccm。 I 〇 ·根據申請專利範圍第1項所述之形成金屬層間介電 層的方法’其中该局密度電漿内襯氧化物層在該基底之中 間位置之厚度較大,以避免後續電漿損害。 II ·根據申請專利範圍第1項所述之形成金屬層間介電 層的方法’其中更包含一步驟:對該導電層進行一平坦化 製程。 1 2 · —種形成金屬層間介電層(I μ ]))的方法,適用於一 多重金屬内連線結構,包含下列步驟: 提供一基底,其上具有一半導體元件或導電元件; 於該基底上沈積並定義一導電層與該半導體元件或導 電元件成電性接觸;以及 以高密度電聚化學氣相沈積法(HDp —CVD)臨場方式 (ιη-situ)形成一而密度電漿内襯氧化物層與一高密度電
    0503-8966TWf(Nl) ; TSMC2002-0587;peggy.ptd 第18頁 200419716 六、申請專利範圍 -- 漿低介電常數層覆蓋於該基底表面从 13.根據申請專利範圍第12項所作為^一層間介電層。 電層(I MD)的方法,其中更〇 1驟.=成金屬層間介 進行一平坦化製程。 八驟.對該層間介電層 14·根據申請專利>範圍第12項所述之形成金屬層間介 電層的方法’其中該尚密度低介電當砉 " 电吊数層為一高密唐雷漿 摻氟矽玻璃層(HDP-FSG)。 ~ 门*度屯水 ! 5 .根據申請專利範圍第丨4項所述之形成金屬層間介 電層的方法,其中該高密度電漿摻氧碎玻璃層(hdp_fsg) 之厚度大體介於5 0 0 0 -80 00埃之間。 16. 根據申請專利範圍第15項所述之形成金屬層間介 電層的方法,其中該高密度電漿摻氟矽玻璃層(HDp_FSG) 之操作壓力大體為4-6mT,射頻RF(頂/側)大體為 500-1200/3000-3800之間,偏壓rf大體為2〇〇〇 。 17. 根據申請專利範圍第16項所述之形成金屬層間介 電層的方法,其中該高密度電漿採用Ar (頂/si H4)大體 2- 8/ 3 0 -50 sccm,02 大體為 3 5- 42sccm,SiF4(頂/側)大體為 3- 4/25 -35sccm 〇 1 8 ·根據申請專利範圍第1 4項所述之形成金屬層間介 電層的方法,其中該高密度電漿内襯氧化物層為高密度電 漿含矽氧化物層(HDP-SRO)。 1 9 ·根據申請專利範圍第1 8項所述之形成金屬層間介 電層的方法,其中該高密度含矽氧化物層(HDP —SR〇 )之厚 度大體介於250-500埃之間。
    0503-8966TWf(Nl) ; TSMC2002-0587;peggy.ptd 第19頁 200419716 六、申請專利範圍 2 0 ·根據申請專利範圍第1 9項所述之形成金屬層間介 電層的方法,其中該高密度含矽氧化物層(HDP-SR0)之操 作壓力大體為4-6mT,射頻頂/側)大體為 1000-2000/2000-3000 〇 2 1 .根據申請專利範圍第2 0項所述之形成金屬層間介 電層的方法,其中該高密度含矽氧化物層(HDP-SRO)係採 用 Ar(頂/31114)大體為2-8/3〇-5〇3(:(:111與02大體為 35-42sccm 〇 2 2 ·根據申請專利範圍第1 2項所述之形成金屬層間介 電層的方法,其中該高密度電漿内襯氧化物層在該基底之 中間位置之厚度較大,以避免後續電漿損害。 2 3 · —種内連線結構,包含: 一基底,其中包含一半導體元件或導電元件; 一介電層,其中具有一開口與該半導體元件或導電元 件相通,包含一高密度電漿化學氣相沈積法(HDP-CVD)形 成之一高密度電漿内襯氧化物層與一高密度電漿低介電常 數層依序覆蓋於該基底表面,其中該高密度電漿内襯氧化 物層與該高密度電漿低介電常數層係以臨場(in-si tu)方 式依序生成;以及 一導電層,用以填滿該介電層之該開口以形成内連 線。 2 4 ·根據申請專利範圍第2 3項所述之内連線結構,其 中該高密度低介電常數層為一高密度電漿摻氟矽玻璃層 (HDP-FSG) 〇
    0503-8966TWf(Nl) ; TSMC2002-0587;peggy.ptd 第20頁 200419716 六、申請專利範圍 25.根據申請專利範圍第24項所述之内連線結構,其 中該高密度電漿内襯氧化物層為高密度電漿含矽氧化物層 (HDP-SR0)。 … 2 6 .根據申請專利範圍第2 5項所述之内連線結構,其 中該高密度含矽氧化物層(HDP-SR0)之厚度大體介於 2 5 0 - 5 0 0埃之間。 2 7 .根據申請專利範圍第2 3項所述之内連線結構,其 中該高密度電漿内襯氧化物層在該基底之中間位置之厚度 較大,以避免後續電漿損害。
    0503-8966TWf(Nl) ; TSMC2002-0587;peggy.ptd 第21頁
TW093104898A 2003-03-27 2004-02-26 Method of forming an inter-metal dielectric layer in an interconnect structure TWI229918B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/401,715 US6759347B1 (en) 2003-03-27 2003-03-27 Method of forming in-situ SRO HDP-CVD barrier film

Publications (2)

Publication Number Publication Date
TW200419716A true TW200419716A (en) 2004-10-01
TWI229918B TWI229918B (en) 2005-03-21

Family

ID=32595041

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093104898A TWI229918B (en) 2003-03-27 2004-02-26 Method of forming an inter-metal dielectric layer in an interconnect structure

Country Status (2)

Country Link
US (1) US6759347B1 (zh)
TW (1) TWI229918B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI681537B (zh) * 2019-05-30 2020-01-01 旺宏電子股份有限公司 半導體結構與連線結構的製作方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050035024A (ko) * 2003-10-11 2005-04-15 동부아남반도체 주식회사 반도체 소자의 층간 절연막 형성 방법
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US20060237802A1 (en) * 2005-04-21 2006-10-26 Macronix International Co., Ltd. Method for improving SOG process
US20060292774A1 (en) * 2005-06-27 2006-12-28 Macronix International Co., Ltd. Method for preventing metal line bridging in a semiconductor device
KR100680499B1 (ko) * 2005-11-02 2007-02-08 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
CN102543756A (zh) * 2012-01-18 2012-07-04 上海华力微电子有限公司 较小等离子损伤的高密度等离子体沉积方法
US9530617B2 (en) * 2013-01-30 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ charging neutralization
CN103681403B (zh) * 2013-11-29 2016-04-27 上海华力微电子有限公司 一种避免连线金属层间介质层击穿的栅氧测试方法
GB2575888B (en) * 2018-10-03 2020-09-23 X Fab Sarawak Sdn Bhd Improvements relating to passivation layers
US10862031B2 (en) 2019-03-01 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method to effectively suppress heat dissipation in PCRAM devices
DE102022107732A1 (de) 2022-03-31 2023-10-05 scia Systems GmbH Verfahren zum Herstellen einer Schichtstruktur, eine Schichtstruktur und ein Akustische-Wellen-Bauelement

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380066B1 (en) * 2000-03-21 2002-04-30 Chartered Semiconductor Manufacturing Ltd. Methods for eliminating metal corrosion by FSG
US6335274B1 (en) * 2000-11-17 2002-01-01 Macronix International Co., Ltd. Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI681537B (zh) * 2019-05-30 2020-01-01 旺宏電子股份有限公司 半導體結構與連線結構的製作方法

Also Published As

Publication number Publication date
TWI229918B (en) 2005-03-21
US6759347B1 (en) 2004-07-06

Similar Documents

Publication Publication Date Title
US6348407B1 (en) Method to improve adhesion of organic dielectrics in dual damascene interconnects
KR100337580B1 (ko) 반도체장치및그제조방법
TWI246117B (en) Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
US7224068B2 (en) Stable metal structure with tungsten plug
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7705431B1 (en) Method of improving adhesion between two dielectric films
US20080166870A1 (en) Fabrication of Interconnect Structures
JP2004179614A (ja) 半導体装置の製造方法
US6040628A (en) Interconnect structure using a combination of hard dielectric and polymer as interlayer dielectrics
JPH1074755A (ja) マイクロエレクトロニク構造および形成方法
US6495448B1 (en) Dual damascene process
US6753260B1 (en) Composite etching stop in semiconductor process integration
JP2004088047A (ja) 半導体装置の製造方法
KR100292409B1 (ko) 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법
US6174797B1 (en) Silicon oxide dielectric material with excess silicon as diffusion barrier layer
TW200419716A (en) Method of forming an inter-metal dielectric layer in an interconnect structure
US6133619A (en) Reduction of silicon oxynitride film delamination in integrated circuit inter-level dielectrics
US20070249164A1 (en) Method of fabricating an interconnect structure
US7172964B2 (en) Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US7351653B2 (en) Method for damascene process
US5817571A (en) Multilayer interlevel dielectrics using phosphorus-doped glass
US20050133931A1 (en) SiOC properties and its uniformity in bulk for damascene applications
US5639692A (en) Non-etch back SOG process using a metal via stud
US6277732B1 (en) Method of planarizing inter-metal dielectric layer
TWI286814B (en) Fabrication process of a semiconductor device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees