TW200402779A - Method for fabricating a gate structure of a field effect transistor - Google Patents

Method for fabricating a gate structure of a field effect transistor Download PDF

Info

Publication number
TW200402779A
TW200402779A TW092116580A TW92116580A TW200402779A TW 200402779 A TW200402779 A TW 200402779A TW 092116580 A TW092116580 A TW 092116580A TW 92116580 A TW92116580 A TW 92116580A TW 200402779 A TW200402779 A TW 200402779A
Authority
TW
Taiwan
Prior art keywords
mask
layer
patent application
item
scope
Prior art date
Application number
TW092116580A
Other languages
Chinese (zh)
Inventor
Wei Liu
Thorsten B Lill
David S L Mui
Christopher Dennis Bencher
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200402779A publication Critical patent/TW200402779A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A method for fabricating features on a substrate having reduced dimensions. The features are formed by defining a first mask on regions of the substrate. The first mask is defined using lithographic techniques. A second mask is then conformably formed on one or more sidewalls of the first mask. The features are formed on the substrate by removing the first mask and then etching the substrate using the second mask as an etch mask.

Description

200402779 玖、發明說明 【發明所屬之技術領域1 本發明大體上係關於一種製造元件於半導體基 方法。更特定地,本發明係關於一種製造一場效電 閘極結構的方法。 【先前技術】 超大型積體(ULSI)電路典型地包括多於一百萬個 體它們被形成在一半導體基材上且相合作用以在一電 置中實施不同的功能。這些電晶體可包括互補的金屬 物半導體(cos)場效電晶體。 一 CMOS電晶體包括一閘極結構其被設置在該 體基材上的一源極區與一汲極區之間。該閘極結構大 包含一閘極電極其形成在一閘極介電材質之上。該閘 極控制一電荷載體流,其在該閘極界電質底下的一通 内’該通道係形成在該汲極區與源極區之間,用以將 晶體打開或關閉。該通道,嫉極與源極區在此技藝中 稱為”電晶體接面,,。降低電晶體接面的尺寸是一不變 勢’因此閘極電極的寬度被減小用以提高電晶體的操 度。 在一 CMOS電晶體製程中,一被石版印刷地形 案的罩幕於飿刻及沉麥處理期間被使用,用以形成該 電極。然而,當電晶體接面尺寸被減小時(如,尺寸 1 Onm時)’即很難用傳統的石版印刷技術來精確地界 上的 體的 電晶 子裝 氧化 半導 體上 極電 道區 該電 被通 的趨 作速 成圖 閘極 小於 定閘 200402779 極電極寬度。 因此,在此技藝中對於可製造具有縮小的尺寸之場效 電晶體的閘極結構的方法存在者需求。 【發明内容】 本發明是-種製造特徵結構於一縮小尺寸的基材上之 方法。該等特徵結構是藉由界定一第一罩幕於該基材的區 域上而形成的。該第-罩幕係使用石版印刷術製造的。一 第二罩幕然後被保形地(conformably)形成在該第一罩幕的 或多個側壁上。該等特徵結構係藉由將該第一罩幕去 除’然後用該第二罩幕作為一蝕刻罩幕來蝕刻該基材而被 形成在該基材上。 在本發明的一實施例中,一場效電晶體的一閘極結構 被製造。該閘極結構包含一閘極電極其形成在一閘極介電 層上。該閘極結構係藉由沉積一第一罩幕層於一形成在一 基材上的閘極介電層上覆蓋多個需要被界定電晶體接面的 區域上而被製造的。一第一罩幕被石版印刷地界定在該第 一罩幕層上。然後一第二罩幕被保形地形成在該第一罩幕 的一或多個側壁上。該閘極電極係藉由去除掉該第一罩幕 並使用該第二罩幕作為一蝕刻罩幕來蝕刻該閘極電極層而 被形成的。 【實施方式】 本發明是一種製造特徵結構於一縮小尺寸的基材上之 4 200402779 方法。該等特徵結構是藉由界定一第一罩幕於該基材的區 域上而形成的。該第一罩幕係使用石版印刷術製造的。一 第一罩幕然後被保形地(conf〇rmably)形成在該第一罩幕的 一或多個側壁上。該等特徵結構係藉由將該第一罩幕去 除’然後用該第二罩幕作為一蝕刻罩幕來蝕刻該基材而被 形成在該基材上。 本發明以用於製造一場效電晶體的的一閘極結構於一 基材的方法為例來加以說明。該閘極結構包含一閘極電極 其形成在一閘極介電層上。該閘極結構係藉由沉積一第一 罩幕層於一形成在一基材上的閘極介電層上覆蓋多個需要 被界定電晶體接面的區域上而被製造的。一第一罩幕被石 版印刷地形成在該閘極電極層上介於需要形成電晶體接面 的相鄰區域之間。第二罩幕然後被保形地形成在該第一罩 幕的一或多個側壁上,使得每一第二罩幕都位在一將被形 成的電晶體接面的一通道區上方。該閘極結構係藉由去除 掉該第一罩幕並使用該第二罩幕作為一蝕刻罩幕來蝕刻該 閘極電極層而被形成的。 被保形地形成在該第一罩幕的一或多個側壁上的第二 罩幕的厚度被用來界定該等但晶體的閘極但極的寬度。此 第二罩幕寬度與沉積處理有關,而與石版印刷處理無關, 且可有利地提供小於3〇nm的閘極寬度。 第1 A-1 B圖共同顯示依據本發明的一製造一閘極電 極的處理程序1 00的流程。此處理程序1 〇〇包含於一場效 電晶體(如,CMOS電晶體)的製造期間被實施於一閘極電 200402779 極膜層堆疊上的處理步驟。 第2A-2G圖顯示一基材的示意剖面圖(第2A-2H,2J, 2N-2Q圖)及示意頂視圖(第21,2K-2M圖),其中一閘極 電極使用第1圖的處理程序被形成於該基材上。為了更清 楚地瞭解本發明,讀者應同時參照第1A-1B圖與2A-2Q 圖。第2A-2Q圖與用來形成閘極電極的各個處理步驟相 關連。次要製程及石版印刷常規處理(如,光阻的曝光及200402779 (ii) Description of the invention [Technical field to which the invention belongs 1 The present invention relates generally to a method for manufacturing a device based on a semiconductor. More specifically, the present invention relates to a method for manufacturing a field effect gate structure. [Prior Art] Ultra large integrated circuit (ULSI) circuits typically include more than one million bodies that are formed on a semiconductor substrate and cooperate to perform different functions in an electrical device. These transistors may include complementary metal-semiconductor (cos) field-effect transistors. A CMOS transistor includes a gate structure disposed between a source region and a drain region on the bulk substrate. The gate structure generally includes a gate electrode formed on a gate dielectric material. The gate controls a charge carrier flow, which is in a pass under the gate boundary mass. The channel is formed between the drain region and the source region to open or close the crystal. This channel, the electrode and source region is called "transistor junction" in this technique. Reducing the size of the transistor junction is a constant potential, so the width of the gate electrode is reduced to increase the transistor. In a CMOS transistor manufacturing process, a lithographic mask was used during engraving and Shenmai processing to form the electrode. However, when the size of the transistor junction was reduced ( For example, when the size is 1 Onm), that is, it is difficult to use traditional lithographic printing technology to accurately determine the size of the body's electrical crystals. The upper electrode track area of the semiconductor has a tendency to become faster. The gate is smaller than the fixed gate 200402779. The width of the electrode. Therefore, there is a need in this technology for a method of manufacturing a gate structure of a field effect transistor having a reduced size. [Summary of the Invention] The present invention is a method for manufacturing a characteristic structure on a reduced size substrate The above method. The characteristic structures are formed by defining a first mask on the area of the substrate. The first mask is manufactured using lithography. A second mask is then conformal (Conformably) formed on the side wall or walls of the first mask. The features are etched by removing the first mask and then using the second mask as an etching mask to etch the substrate. Is formed on the substrate. In an embodiment of the present invention, a gate structure of a field effect transistor is manufactured. The gate structure includes a gate electrode formed on a gate dielectric layer. The The gate structure is manufactured by depositing a first cover layer on a gate dielectric layer formed on a substrate to cover a plurality of areas that need to define transistor junctions. A first cover The curtain is lithographically defined on the first mask layer. Then a second mask is conformally formed on one or more side walls of the first mask. The gate electrode is removed by removing the The first mask is formed by using the second mask as an etching mask to etch the gate electrode layer. [Embodiment] The present invention is a manufacturing method of a feature structure on a reduced-size substrate 4 200402779 Method. The characteristic structures are defined by a first curtain on the The first mask is made using lithography. A first mask is then conformally formed on one or more side walls of the first mask. The characteristic structures are formed on the substrate by removing the first mask and then using the second mask as an etching mask to etch the substrate. The invention is used for manufacturing a field effect. The method of a gate structure of a transistor on a substrate is described as an example. The gate structure includes a gate electrode formed on a gate dielectric layer. The gate structure is formed by depositing a first A mask layer is fabricated on a gate dielectric layer formed on a substrate and covering a plurality of areas that need to define a junction of the transistor. A first mask layer is lithographically formed on the gate. The electrode layer is interposed between adjacent regions where the junction of the transistor is needed. A second mask is then conformally formed on one or more side walls of the first mask such that each second mask is positioned above a channel region of a transistor junction to be formed. The gate structure is formed by removing the first mask and using the second mask as an etching mask to etch the gate electrode layer. The thickness of the second mask, which is conformally formed on one or more side walls of the first mask, is used to define the gate but pole width of the crystals. This second mask width is related to the deposition process and has nothing to do with the lithographic process, and can advantageously provide a gate width of less than 30 nm. Figures 1A-1B together show the flow of a processing procedure 100 for manufacturing a gate electrode according to the present invention. This processing procedure 100 includes processing steps implemented on a gate electrode 200402779 electrode film layer stack during the manufacture of a field effect transistor (eg, a CMOS transistor). Figures 2A-2G show a schematic cross-sectional view of a substrate (Figures 2A-2H, 2J, 2N-2Q) and a schematic top view (Figures 21, 2K-2M). One of the gate electrodes uses the A processing program is formed on the substrate. In order to understand the present invention more clearly, the reader should refer to Figures 1A-1B and 2A-2Q simultaneously. Figures 2A-2Q are related to the various processing steps used to form the gate electrode. Minor processes and conventional lithographic processes such as photoresist exposure and

顯影’晶圓清洗程序,及類此者)並未於第1 A -1 B圖及第 2A-2Q圖示出。在第2A-2Q圖中的圖像並不是以比例被 晝出且其只是作為舉例的目的。 處理程序100藉由形成一閘極電極堆疊2〇2於一晶圓 200上而從步驟101開始。晶圓200 ,如一矽晶圓,具有 源極區(井)234及汲極區(井)232,它們被一通道區236所 隔開將被形成於該通道區中的接面係以虛線示出。 該問極電極堆疊202包含一榨極電極層206其被形成 在;1電層204上。該閘及電極層206是由經過摻雜的矽 所形成且達約2000埃(A)的厚度。介電層2〇4是由二氧化 夕(。2)所形成且達約2〇-60埃(A)的厚度。該閘極介電層 2〇4可非必要地由_或多層物質戶斤構成,如二氧化石夕 θ 氧化蛤矽Sl〇1),及三氧化二鋁(αι2ο3)且其 厚度等於單一的二氧化石夕層相同。“,應被瞭解的是, 該閘極電極油蟲0 Λ 0 且 可匕3由其它物質形成的層或據有不 同厚度的層。 包含閘極電極堆疊 1 02的層可使用一真空沉積技術 200402779 像是原子層沉積(ALD),物理氣相沉積(PVD),化學氣相 沉積(CVD),蒸發,及類此者。CMOS場效電晶體的製造 可使用由設在美國加州Santa Clara市的Applied Material 公司所製造的 CENTURA®,ENDURA®,及其它半導體晶 圓處理系統來實施。 在步驟104,一第一罩幕層226及一介電抗反射塗層 (DARC)208被依序地形成在該閘極電極層 206上(第2B 圖)。在一舉例性的實施例中,該第一罩幕層 2 2 6包含一 非晶形碳(即,α -碳)其厚度介於3 0 0埃至5 0 0埃之間, 而該介電抗反射塗層(DARC)208則可包含璗氧化石夕 (Si ON),二氧化系,及類此者且其厚度介於1〇〇至300埃 之間。該DARC層208的作用是要將圖案形成步驟期間 的光線反射減至最小。當特徵結構的尺寸被所縮小時,在 餘刻罩幕圖案轉移處理中的不精確性會因為石版印刷處理 所本有的光學限制,像是光的反射,而產生。罩幕層2 26 及D ARC層1〇8沉積技術被描述於2000年六月8入提申 的美國專利申請案第〇9/5 90,3 22號及2001年七月13入 提申的美國專利申請案第09/9〇5,172號中,該等申請案 的内容藉由此參照而被併於本文中。 在步驟106,一第一圖案光阻罩幕212被形成在該介 電抗反射塗層(DARC)208的區域221中(第2C圖)。該第 一圖案光阻罩幕2 1 2 :係使用傳統的石版印刷圖案常規處理 來形成,即該光阻經由一罩幕被曝光,被顯影,且該光阻 之未被顯影的部分被去除。被顯影的光阻大體上為一碳基 7 200402779 的聚合物其如一蝕刻罩幕般地留在該區域22 1的DARC 層2〇8的上方,該區域在一蝕刻處理期間需要被保護(第 2C圖)。該光阻罩幕212具有一線寬207(如,約l〇〇nm) 及一空間209(約150nm)它們一起界定節距214(即,線寬 加上空間,l〇〇nm+15〇nm = 25〇nm)。該光阻212被放在該 DARC層208上使得區域221位在相鄰的電晶體252及254 之將被形成的閘極對2 5 0的上方及之間的中心處。Development 'wafer cleaning procedures and the like are not shown in Figures 1A-1B and 2A-2Q. The images in Figures 2A-2Q are not scaled out and are for illustration purposes only. The process 100 starts from step 101 by forming a gate electrode stack 202 on a wafer 200. Wafer 200, such as a silicon wafer, has a source region (well) 234 and a drain region (well) 232, which are separated by a channel region 236. The interface to be formed in the channel region is shown in dotted lines. Out. The interrogation electrode stack 202 includes a squeezing electrode layer 206 which is formed on an electrical layer 204. The gate and electrode layer 206 is formed of doped silicon and has a thickness of about 2000 Angstroms (A). The dielectric layer 204 is formed of the oxide (.2) and has a thickness of about 20-60 angstroms (A). The gate dielectric layer 204 may optionally be composed of _ or multiple layers of material, such as SiO2, θ, SiO2, and Al2O3 (αι2ο3) and their thickness is equal to a single thickness. The dioxide layer is the same. "It should be understood that the gate electrode oil worm 0 Λ 0 and which can be formed of other materials or layers with different thicknesses. The layer containing the gate electrode stack 102 can be vacuum-deposited. 200402779 Such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), evaporation, and the like. CMOS field effect transistors can be manufactured by Santa Clara, California. CENTURA®, ENDURA®, and other semiconductor wafer processing systems manufactured by Applied Material Corporation are implemented. At step 104, a first cover layer 226 and a dielectric anti-reflective coating (DARC) 208 are sequentially It is formed on the gate electrode layer 206 (FIG. 2B). In an exemplary embodiment, the first mask layer 2 2 6 includes an amorphous carbon (that is, α-carbon) with a thickness between 3 and 3 Å. Between 0 0 angstroms and 50 angstroms, and the dielectric anti-reflection coating (DARC) 208 may include thoron oxide (Si ON), dioxide, and the like and its thickness is between 10 0 to 300 angstroms. The role of the DARC layer 208 is to reduce the reflection of light during the patterning step. Minimal. When the size of the feature structure is reduced, inaccuracies in the pattern transfer process of the remaining masks will be caused by the inherent optical limitations of the lithography process, such as light reflection. Mask layer 2 The 26 and D ARC layer 108 deposition technology is described in U.S. Patent Application Nos. 09 / 90,3,22 filed on June 8, 2000 and U.S. Patent Applications filed on July 13, 2001. The contents of these applications are incorporated herein by reference in No. 09 / 90.5, 172. At step 106, a first patterned photoresist mask 212 is formed on the dielectric anti-reflection coating. Layer (DARC) 208 in area 221 (Figure 2C). The first patterned photoresist mask 2 1 2: is formed using conventional lithographic printing pattern conventional processing, that is, the photoresist is exposed through a mask, It is developed, and the undeveloped part of the photoresist is removed. The developed photoresist is generally a carbon-based 7 200402779 polymer, which remains as an etching mask in the area 22 1 of the DARC layer 2. Above 8 the area needs to be protected during an etching process (Figure 2C). The photoresist mask 21 2 has a line width of 207 (eg, about 100 nm) and a space 209 (about 150 nm) which together define a pitch 214 (ie, line width plus space, 100 nm + 150 nm = 25 nm) The photoresistor 212 is placed on the DARC layer 208 so that the region 221 is located above and between the gate pairs 250 of the adjacent transistor 252 and 254 to be formed.

在步驟208,該光阻罩幕212的線寬207可非必要地 藉由使用一光阻罩幕修整(trimming)處理而被減小至一所 想要的值21 1 (如,約40至80nm)(第2D圖)。該線寬207 可被修整用以更加精確地將該光阻罩幕2 1 2放在相鄰的電 晶體252及254之將被形成的閘極對250的上方及之間的 中心處。該罩幕修整處理為一電漿處理其使用氧基的化學 物來實施光阻的等方向蝕刻。該修整處理將線寬207減小 至所想要的值2 1 1,以及減小該光阻罩幕2 1 2的高度。該 被修整的罩幕212保護比區域221 (第2C圖)更窄的區域 223(第 2D 圖)。 該經過修整的罩幕212的線寬211被選擇成與將被製 造的閘極對250的空間關鍵尺寸(CD)相等。該空間213 被選擇用以不大於介於電晶體2 5 2,2 5 4的相鄰對(如,對 250及260)之間的間隔219。 當石版印刷圖案疼理的解析度不足以將一精確的閘極 結構圖像轉移至一光阻罩幕212上時,該光阻罩幕修整處 理是所想要的。 8 200402779 步驟1 0 8可在一蝕刻反應器中實施,像是由設在美國 加州 Santa Clara市的 Applied Material 公司所製造之 CENTURA®的 Decoupled Plasma Source(DPS) Π 模組。該 DPS II使用2MHz的電感式電漿源來產生一高密度電漿。 該晶圓被一 13·56ΜΗζ偏壓源所偏壓。該電漿源的解耦合 本質讓離子能量及離子密度的獨立控制成為可能。該DPS II模組將於下文中參照第3圖加以詳細說明。In step 208, the line width 207 of the photoresist mask 212 may be optionally reduced to a desired value 21 1 (eg, about 40 to 80nm) (Figure 2D). The line width 207 can be trimmed to more accurately place the photoresist mask 2 1 2 above and at the center between the gate pair 250 to be formed of the adjacent transistors 252 and 254. The mask trimming process is a plasma treatment that uses an oxygen-based chemical to perform isotropic etching of photoresist. This trimming process reduces the line width 207 to a desired value 2 1 1 and reduces the height of the photoresist mask 2 1 2. The trimmed mask 212 protects an area 223 (Figure 2D) which is narrower than the area 221 (Figure 2C). The line width 211 of the modified mask 212 is selected to be equal to the spatial critical dimension (CD) of the gate pair 250 to be manufactured. The space 213 is selected to be no larger than the interval 219 between adjacent pairs (eg, pairs 250 and 260) of the transistors 2 5 2 and 2 5 4. When the resolution of the lithographic pattern is insufficient to transfer an accurate gate structure image to a photoresist mask 212, the photoresist mask trimming process is desired. 8 200402779 Step 108 can be implemented in an etch reactor, such as a CENTURA® Decoupled Plasma Source (DPS) Π module manufactured by Applied Material Corporation of Santa Clara, California. The DPS II uses a 2MHz inductive plasma source to generate a high-density plasma. The wafer was biased by a 13 · 56MΗζ bias source. The decoupling nature of the plasma source enables independent control of ion energy and ion density. The DPS II module will be described in detail below with reference to FIG. 3.

在一替代實施例中,該罩幕2 1 2的窗係使用一電漿來 修整,該電聚包含3至200sccm流率的溴化氫(HBr),5 至lOOsccm的氧氣(相應於Hbr:02的流率比為1:3〇至40: 1)及氬氣(Ar)的流率在1〇至200sccm之間。該電漿係使 用200至約2000W的電漿功率及0至30W的偏壓功率, 晶圓托盤的溫度係介於0至80 °C之間且室壓力是在2至 30mTorr之間所產生的。 一舉例性的光阻修整處理為使用 80sccm的 HBr流 率,2 8 s c c m的Ο 2流率(即,Η B r: Ο 2的流率比約為2 5 · 1), 20sccm的Ar流率,500W的電漿功率,0W的偏壓功率, 及在4mTorr的室壓力下的65°C晶圓托盤溫度。 在步驟110,該蝕刻罩幕的圖案經由DARC層208及 非晶型碳罩幕層226(第2E圖)被轉移用以形成一第一罩 幕220。在步驟110期間,該DARC層208係使用氟化碳 氣體來钱刻的(如,啤氟化破(C F 4),六氟化硫(s F 6),三IL 甲烧(CHF3)’二氟乙烧(CH2F2),及類此者)。之後,該非 晶型碳罩幕層2 2 6使用一蝕刻處理來加以蝕刻,該蝕刻處 200402779In an alternative embodiment, the window of the cover 2 1 2 is trimmed with a plasma, the electropolymer containing hydrogen bromide (HBr) at a flow rate of 3 to 200 sccm, and oxygen at 5 to 100 sccm (corresponding to Hbr: The flow rate ratio of 02 is 1:30 to 40: 1) and the flow rate of argon (Ar) is between 10 and 200 sccm. The plasma system uses a plasma power of 200 to about 2000W and a bias power of 0 to 30W. The temperature of the wafer tray is between 0 and 80 ° C and the chamber pressure is between 2 and 30mTorr. . An exemplary photoresist trimming process uses an HBr flow rate of 80 sccm, an O 2 flow rate of 2 8 sccm (ie, a flow rate ratio of Η B r: Ο 2 is about 2 5 · 1), and an Ar flow rate of 20 sccm. , 500W plasma power, 0W bias power, and 65 ° C wafer tray temperature at 4mTorr chamber pressure. In step 110, the pattern of the etch mask is transferred via the DARC layer 208 and the amorphous carbon mask layer 226 (FIG. 2E) to form a first mask 220. During step 110, the DARC layer 208 is engraved using a fluorinated carbon gas (eg, beer fluorination (CF 4), sulfur hexafluoride (s F 6), tri-IL methane (CHF 3) ' Fluoroethane (CH2F2), and the like). After that, the amorphous carbon cover layer 2 2 6 is etched using an etching process, and the etching place is 200402779

理包括一氣體(或氣體混合物),其包含了溴化氫(ΗΒγ), 氧氣(〇2)及至少一鈍氣,像是氬氣(Ar),氦氣(He),氖氣 (Ne)。在本文中,”氣體”及”氣體混合物,,等詞是可互換的。 在一實射例中,步驟1 1 0使用光阻罩幕2 1 2作為一餘刻罩 幕及該閘極電極層2 0 6作為一餘刻停止層《或者,該餘刻 反應裔的一終點偵測系統可監看在一^特定波長的電漿發射 用以決定該蝕刻處理的終點。又,步驟11 〇的兩個蝕刻處 理都是在同一地被實施(即,在同一蝕刻反應室中實施)。 在一舉例性的實施例中,包含氮氧化矽(si0N)的該 DARC層208係使用40至200sccm的四氟化碳(CF4)流率, 40至20sccm的氬氣(Ar)流率(即,CF4: Ar流率比為1:5 至5:1),250W至75 0W的電漿功率,〇至300W的偏壓功 率,且晶圓托盤溫度被保持在40至85 °C,室壓力被保持 在2至lOmTorr來餘刻的。該DARC層208餘刻處理係 藉由觀察在3865埃的電漿發射光譜的量來終止的,其在 到達底下的非晶型碳罩幕層226且進行了約40%的過度蝕 刻之後會顯著地下降(即,持續該蝕刻處理達會導致觀察 到該發射光譜的量會有所改變的時間的40%)。 一舉例性的氮氧化矽(SiON)DARC層208的蝕刻處理 是在120sccm的四I化碳(CF4)流率,120sccm的氣氣(Ar) 流率(即,CF4:Ar流率比為1:1),360W的電漿功率,60w 的偏壓功率,且晶圓e托盤溫度被保持在6 5 °C,室壓力被 保持在4mTorr進行的。 在一實施例中,該非晶型碳罩幕層226係使用20至 10 200402779 lOOsccm的溴化氫(HBr)流率,5至60sccm的氧氣(〇2)流 率(即,HBr: Ο,流率比為1:3至2〇:1),2〇至1〇sccm的氬 氣(A〇流率,5 00W至1 500W的電漿功率,〇至30〇w的 偏壓功率’且晶圓托盤溫度被保持在4 〇至8 5它,室壓力 被保持在2至1 OmTorr來餘刻的。此處理具有至少2〇 : 1 的蝕刻方向性。本文中’’蝕刻方向性,,一詞被用來描述該非 晶型碳層226於水平表面上與在垂直表面上(如側壁229) 被移除的飯刻率比❶在步驟丨丨〇期間,該蝕刻處理的高蝕 刻方向性可保護光阻罩幕2 1 2的側壁229及非晶型碳罩幕 層226不會受到側向的餘刻,因而可保持它們的尺寸。 在步驟112,該光阻罩幕212從該基材上被去除(或 剝除)(第2F圖)。大體上,步驟1丨2係使用傳統的光p旦剝 除處理來實施,即其使用氧基的化學物,如包含氧及氮的 氣體混合物。或者,步驟1 1 2可使用與在步驟丨丨〇中的# 晶型碳罩幕層226#刻所使用的相同氣體,且可在同 刻反應器中實施。在步驟11 2期間,該蝕刻化學物及處理 參數被特別選擇用以提供高蝕刻方向性來保持該非晶变碳 罩幕層2 2 6的尺寸及位置。在一舉例性的實施例中,夕驟 110及112係使用DPS II模組在同一地方實施。 一舉例性的光阻剝除處理係使用6〇sccm的溴化氫 (HBr)流率,至20 seem的氧氣(〇2)流率(即,HBr : 02流率 比為3:1),6 0sccm吟氬氣(Ar)流率,600W的電漿功率’ ioow的偏壓功率,且晶圓托盤溫度被保持在65。〇,室麈 力被保持在4mTorr來實施的。此剝除處理具有至少1 〇 : 200402779 1的餘刻方向性,以及至少2〇 : 1之DARC層208(如,氮 氧化砂)對光阻罩幕2 1 2的蝕刻選擇性。 在步驟1 1 4,一第二罩幕2 1 4係使用一傳統的沉積技 術’像是原子層沉積(ALD),物理氣相沉積(PVD),化學 氣相沉積(CVD),強化的CVD(PECVD)等技術,而被保形 地沉積在該晶圓200上(第2G圖)。該第二罩幕214被沉 積至一足以界定該閘極電極寬度的側壁厚度23 1。該第二 罩幕214大體上是由氮化矽(Si3N4),二氧化矽(si〇2)所形 成。 在步驟116,該第二罩幕214及DARC層208被蝕刻 且從水平表面(即,分別從多晶矽層206與非晶型碳層226) 上被去除掉(第2H圖)。在步驟U6期間,該多晶矽層2〇6 亦被蝕刻至一深度2 0 3 (過度蝕刻)。 在一實施例中,該第二罩幕214(如,氮化矽(Si3N4)) 係使用一包含了四氟化碳(CFO以及一鈍氣,像是氬氣 (A0 ’氦氣(He) ’氖氣(Ne)的氣體混合物而從該水平表面 上被餘刻掉。此蝕刻處理可藉由提供4〇至〇〇sccm的四 氟化碳(CF4)流率,40至200sccm的氬氣(Ar)流率,25〇w 至750W的電漿功率,〇至3〇〇w的偏壓功率,且晶圓托 盤溫度被保持在40至85。(:,室壓力被保持在2至10mT〇rr 而在該DPS II模組中實施。該第二罩幕214蝕刻處理係 藉由觀察在2880埃妁電漿發射光譜的量來終止的,其在 到達底下的非晶型碳罩幕層2 2 6且進行了約2 〇 〇 %的過度 蝕刻之後會顯著地上升(即,持續該蝕刻處理達會導致觀 12 200402779 察到該發射光譜的量會有所改變的時間的200%)。 一舉例性的第二罩幕214的蝕刻處理是在i20sccm 的四氟化碳(CF4)流率,120sccm的氬氣(Ar)流率,3 60W 的電漿功率,60W的偏壓功率,且晶圓托盤溫度被保持 在65°C,室壓力被保持在4mTorr實施的。 如在第21圖的頂視圖所示的,步驟116形成相鄰的 壟起結構27〇及272。每一個結構27〇 , 272都具有前壁The process includes a gas (or gas mixture), which contains hydrogen bromide (ΗΒγ), oxygen (02), and at least one inert gas, such as argon (Ar), helium (He), and neon (Ne). . In this article, the terms "gas" and "gas mixture" are interchangeable. In a real shot example, step 1 10 uses a photoresist mask 2 1 2 as a spare mask and the gate electrode The layer 2 06 is used as a stop layer for a short period of time. Alternatively, an end point detection system of the remaining time can monitor the plasma emission at a specific wavelength to determine the end point of the etching process. Furthermore, step 11 〇 Both etch processes are performed in the same place (ie, in the same etch reaction chamber). In an exemplary embodiment, the DARC layer 208 containing silicon oxynitride (si0N) uses 40 to 200 sccm. Carbon tetrafluoride (CF4) flow rate, argon (Ar) flow rate of 40 to 20 sccm (ie, CF4: Ar flow rate ratio is 1: 5 to 5: 1), plasma power of 250W to 7500W, The bias power is from 0 to 300 W, and the wafer tray temperature is maintained at 40 to 85 ° C, and the chamber pressure is maintained at 2 to 10 mTorr for the rest of the time. The DARC layer 208 is processed by observation at 3865 angstroms. The amount of plasma emission spectrum is terminated, it will reach the underlying amorphous carbon cover layer 226 and after about 40% over-etching Landing drop (ie, continuing the etch process for 40% of the time that the amount of the emission spectrum is observed to change). An exemplary etch process for the silicon nitride oxide (SiON) DARC layer 208 is at 120 sccm Carbon tetrafluoride (CF4) flow rate, 120sccm gas (Ar) flow rate (ie, CF4: Ar flow rate ratio is 1: 1), plasma power of 360W, bias power of 60w, and wafer The temperature of the e-tray is maintained at 65 ° C, and the chamber pressure is maintained at 4 mTorr. In one embodiment, the amorphous carbon cover curtain layer 226 uses a flow rate of hydrogen bromide (HBr) of 20 to 10 200402779 lOOsccm. , 5 to 60 sccm of oxygen (〇2) flow rate (that is, HBr: 0, flow rate ratio of 1: 3 to 20: 1), 20 to 10 sccm of argon (Ao flow rate, 500W To a plasma power of 1500W, a bias power of 0 to 30W and the wafer tray temperature is maintained at 40 to 85, and the chamber pressure is maintained at 2 to 1 OmTorr for the rest of the time. This process has Etching directivity of at least 20: 1. The term `` etching directivity, '' as used herein, is used to describe the amorphous carbon layer 226 on a horizontal surface and on a vertical surface (such as sidewall 229). During the step, the high etching directivity of the etching process can protect the side wall 229 of the photoresist mask 2 2 and the amorphous carbon mask layer 226 from being subjected to lateral excess. So that their dimensions are maintained. At step 112, the photoresist mask 212 is removed (or peeled off) from the substrate (Figure 2F). Generally, steps 1 and 2 use conventional light p Once the stripping process is performed, it uses oxygen-based chemicals, such as a gas mixture containing oxygen and nitrogen. Alternatively, step 1 12 may use the same gas as that used for the # crystalline carbon cover curtain layer 226 # in step 丨 丨 〇, and may be implemented in a reactor at the same time. During step 112, the etching chemicals and processing parameters are specifically selected to provide high etching directivity to maintain the size and position of the amorphous carbon mask layer 2 2 6. In an exemplary embodiment, steps 110 and 112 are implemented in the same place using DPS II modules. An exemplary photoresist stripping process uses a hydrogen bromide (HBr) flow rate of 60 sccm to an oxygen (02) flow rate of 20 seem (ie, a HBr: 02 flow rate ratio of 3: 1), An argon (Ar) flow rate of 60 sccm, a plasma power of 600 W 'ioow's bias power, and the wafer tray temperature was maintained at 65. 〇, the chamber pressure was maintained at 4mTorr. This stripping process has a remaining directivity of at least 10: 200402779 1 and an etching selectivity of the DARC layer 208 (eg, oxynitride sand) of the photoresist mask 2 12 by at least 20: 1. In step 1 4, a second mask 2 1 4 uses a conventional deposition technique such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), and enhanced CVD. (PECVD) and other techniques, and is deposited conformally on the wafer 200 (Figure 2G). The second mask 214 is deposited to a sidewall thickness 23 1 sufficient to define the width of the gate electrode. The second mask 214 is generally formed of silicon nitride (Si3N4) and silicon dioxide (si02). In step 116, the second mask 214 and the DARC layer 208 are etched and removed from the horizontal surface (ie, the polycrystalline silicon layer 206 and the amorphous carbon layer 226, respectively) (FIG. 2H). During step U6, the polycrystalline silicon layer 206 is also etched to a depth of 203 (over-etched). In one embodiment, the second mask 214 (eg, silicon nitride (Si3N4)) is made of carbon tetrafluoride (CFO) and a passivation gas, such as argon (A0 'helium (He) 'A gas mixture of neon (Ne) was left etched from the horizontal surface. This etching process can provide a flow rate of carbon tetrafluoride (CF4) from 40 to 00 sccm and argon from 40 to 200 sccm (Ar) flow rate, plasma power from 25w to 750W, bias power from 0 to 300w, and wafer tray temperature is maintained at 40 to 85. (:, chamber pressure is maintained at 2 to 10mT 〇rr and implemented in the DPS II module. The second mask 214 etching process was terminated by observing the amount of plasma emission spectrum at 2880 angstroms, which reached the amorphous carbon mask layer underneath. 2 2 6 and a significant increase after about 200% overetching (ie, continuing the etching process for 200% of the time when the amount of the emission spectrum observed by the observation 12 200402779 will be changed). An exemplary etching process of the second mask 214 is a carbon tetrafluoride (CF4) flow rate at i20sccm, an argon (Ar) flow rate at 120sccm, and a plasma power of 3 60W. Rate, a bias power of 60W, and the wafer tray temperature is maintained at 65 ° C, and the chamber pressure is maintained at 4mTorr. As shown in the top view of FIG. 21, step 116 forms an adjacent ridge structure 27〇 and 272. Each structure 27〇, 272 has a front wall

233 ’後壁235 ’及側壁237其都是由該第二罩幕層214 的垂直部分所構成。在步驟ιι6之後,該罩幕層以只留 在結構270,272内部。The 233 ′ rear wall 235 ′ and the side wall 237 are both formed by the vertical portion of the second cover layer 214. After step 6 the mask layer is left inside the structures 270,272 only.

在步驟118,包含非B s并阳型碳的罩幕層226從結構270, 272上被去除掉(第閽 圖)。結構270及272的前壁與後壁 233,23 5係以虛線23 “y不於第2J圖中。在一實施例中, 步驟118可使用步驟〗 ^ 11 2中所用的剝除處理。 在步驟 1 2 0, 味 —第二圖案光阻罩幕240被形成在該晶 圓200上(第2K圖)。火 虽曝露出該結構270及272的研壁 233及後壁235時,今蓄 吻罩幕240保護該結構270及272的 侧壁2 3 7 (以虛線示出、 印)的部分。罩幕240可使用一石版印 刷圖案處理來形成,如 如在上文中的步驟106中所述者。 在步驟1 2 2,結構9 7 π 傅27〇及2 72被蝕刻且結構270及272 之沒有被保護的部分f g 刀(即’前壁23 3及後壁23 5)被去除掉 (第2 L圖)。在一 || 今W性的實施例中,步驟1 24係使用與 步驟1 1 6相同的巍办丨+ ^處理來處理。步驟122使用罩幕24〇 作為一餘刻罩幕且可 J使用該電極層 206作為一蝕刻停止 13 200402779 層。或者,側壁233及235的不想要部分可在多晶石夕間極 f和5如下所述地被形成之後被去除掉。 在步驟124,罩幕240從基材上被剝除(第2M及2N 圖)留下多個形成在該閘極電極層206(如,多晶矽層)的第 二罩幕242在通道區的上方,其為電晶體基面將被形成的 所在之處《母一罩幕242的寬度都相應於將被界定在該閘 極電極層206中的閘極的寬度。In step 118, the mask layer 226 containing non-B s and anodic carbon is removed from the structures 270, 272 (FIG. VII). The front and rear walls 233, 23 5 of the structures 270 and 272 are shown with a dashed line 23 "y in Fig. 2J. In one embodiment, step 118 may use the stripping process used in step ^ 11 2. Step 1 2 0. The taste-second pattern photoresist mask 240 is formed on the wafer 200 (Fig. 2K). Although the fire exposed the research wall 233 and the back wall 235 of the structures 270 and 272, the current storage The kiss mask 240 protects portions of the sidewalls 2 3 7 (shown in dashed lines, printed) of the structures 270 and 272. The mask 240 can be formed using a lithographic pattern process, as in step 106 above In step 1 2 2, the structure 9 7 π Fu 270 and 2 72 are etched and the unprotected parts of the structures 270 and 272 are fg knives (ie, 'front wall 23 3 and rear wall 23 5) are removed. (Fig. 2 L). In a modern embodiment, steps 1 to 24 are processed using the same processing as in steps 1 to 16. Step 122 uses the mask 24o as a more than one The mask can be engraved and the electrode layer 206 can be used as an etch stop 13 200402779 layer. Alternatively, the unwanted portions of the side walls 233 and 235 can be between polycrystalline silicon f and 5 After being formed as described below, it is removed. In step 124, the mask 240 is peeled from the substrate (Figures 2M and 2N), leaving a plurality of gate electrode layers 206 (eg, a polycrystalline silicon layer) formed thereon. The second mask 242 is above the channel area, which is where the base surface of the transistor will be formed. The width of the first mask 242 corresponds to the gate to be defined in the gate electrode layer 206. The width.

第二罩幕242保護閘極電極層206的區域241及拍露 出層206的區域243及245。每一區域241都位在通道區 236以及將被製造之電晶體252或254的源極區與汲極區 232及234的上方。區域243與介於同一對電晶體(如,25〇 或〇)的電Βθ體2 5 2及2 5 4的閘極結構之間的間隔有關。 相同地,區域24 5與介於相鄰的電晶體對之間的間隔有 關0 在步驟126,閘極電極層2〇6被蝕刻且在區域243及 245中被去除掉(第20圖)。因此,步驟126形成多個閘 極電晶體216。一閘極電極216(如,多晶矽閘極電極)具 有寬度215其&由第二罩幕242的寬度249所界定且位 在個241區域中。因此,閘極電極2 1 6的寬度215是由 罩幕層214的側壁厚度231所界定,其約為1()至5〇謂。 /驟2 1 6使用第_罩幕作為_钱刻罩幕且及使用閘極電極 層2 0 4作為餘刻停止屬。 ^在一實施例中,步驟126係藉由提供一包含四氟化 炭/臭化氫(HBr) ’氣(CD的氣體混合物而在Dps π反應 14 200402779The second mask 242 protects the region 241 of the gate electrode layer 206 and the regions 243 and 245 of the exposed layer 206. Each region 241 is located above the channel region 236 and the source and drain regions 232 and 234 of the transistor 252 or 254 to be manufactured. The region 243 is related to the interval between the gate structures of the electric Bθ bodies 2 5 2 and 2 5 4 of the same pair of transistors (eg, 25 or 0). Similarly, the region 24 5 is related to the interval between adjacent transistor pairs. In step 126, the gate electrode layer 206 is etched and removed in the regions 243 and 245 (Fig. 20). Accordingly, step 126 forms a plurality of gate transistors 216. A gate electrode 216 (e.g., a polysilicon gate electrode) has a width 215 and is defined by a width 249 of the second mask 242 and is located in a region 241. Therefore, the width 215 of the gate electrode 2 16 is defined by the thickness 231 of the sidewall of the mask layer 214, which is about 1 () to 50. / Step 2 1 6 Use the _ mask as the _ money carved mask and use the gate electrode layer 2 0 4 as the remaining stop. ^ In an embodiment, step 126 is performed at Dps π by providing a gas mixture containing carbon tetrafluoride / hydrogen odor (HBr) 'gas (CD) 14 200402779

器中實施的,且在氦中稀釋的氧(He-02)被用來蝕刻該多 晶矽閘極電極層2 0 6。大體上,步驟1 2 6使用1 5至4 5 s c c m 流率的四氟化碳及15至150sccm流率的溴化氫(即,1:10 至3:1的CF4 : HBr流率比),以及30至90sccm流率的氯 以及在6至18sccm流率下的70%的He及30%的02之混 合物。又,步驟126施加300至1500W的電漿功率及40 至120W的偏壓功率,並在2至6mTorr的室壓力保持20 至8 0 °C的晶圓托盤溫度。一舉例性的處理提供3 5 s c c m流 率的匸?4及125scc流率的HBr(即,約1:4的CF4:HBr 流率比),60sccm的氣,在8sccm流率下的70%的He及 3 0%的Ο:之混合物,600W的電漿功率及80W的偏壓功 率,並在4mTon:的室壓力保持65它的晶圓托盤溫度。 在步驟128第二罩幕242從該閘極電極216上被去除 掉(第2P圖)。在一實施例中,步驟128使用傳統的熱磷 酸(HJOJ蝕刻處理,其同步去除掉第二罩幕242以及姓 刻處理步驟126的副產物(第2P圖)。在一實施例中,晶 圓200被曝露在溫度約為16〇〇c的磷酸溶液中。在曝露之 後,晶圓200在蒸餾水中被沖洗用以去除掉任何殘留的磷 酸#刻劑。此填酸姓刻處理可使用,如一自動化的濕式清 洗模組來實施,該自動化模組被描述在2〇〇 i年八月3 i曰 提申之美國專利申請案第09/945,454號中,該案内容藉 由此參照而被併於本Λ中。此濕式清洗模組可由設在美國 加州 Santa Clara 市的 Applied Material 公司購得。 在步驟130, 一在該閘極電極216底下的閘極介電質 15 200402779 247被#刻(第2Q圖)。為了要閘極電指 可使用描述於2002年七月12日提申的 10/1 94,566號中的蝕刻處理,或其它適 層物質且對於閘極電極216與基材2〇〇 的蝕刻處理。 方法100在步驟132處終止。 可用來實施本發明的蝕刻步驟的一 性實施例被示於第3圖中。 第3圖顯示可被用來實施本發明的 刻反應器300的示意圖。處理室包 天線段3 1 2 ’其位在一介電質室天花板 的變化可具有其它種類的天花板,如圓 線段312被耦合至一射頻(RF)源318 50kHz至13·56ΜΗζ的可調式頻率之rf 經由一配接網絡3 1 9被耦合至該天線3 包括一晶圓支撐托盤(陰極)316其耦# 13·56ΜΗζ頻率的RF訊號的rf源322c 配接網絡3 2 4被耦合至該陰極3 1 6。非t 可以是一 DC或脈衝式DC源。室310 330其被連接至一地極334。一包含中央, 一記憶體342,及該CPU344的支援電路 被耦合至該DPS蝕轉處理室310的不 蚀刻處理。 在操作時,半導體晶圓3 1 4被放在該 &層204,步驟13〇 美國專利申請案第 合钱刻該閘極介電 具有高餘刻選擇性 钱刻範應器的舉例 方法之DPS II蝕 含至少一電感線圈 3 20的外部。其它 頂形天花板。該天 其能夠產生具有約 訊號。該RF源318 1 2。處理室2 1 0亦 卜至一能夠產生約 > RF源3 22經由一 公要地,RF源322 亦包含一導電室壁 處理器(CPU)344, 346的控制器340 同構件用以控制該 晶圓支撐托盤3 1 6 16 200402779 ,.·** v-,“ f V" *»·‘* 上且氣體成份從一氣體板338經由入口埠326被供應至該 處理室3 1 0用以形成一氣體混合物3 5 0。該氣體混合物3 5 0 在該處理室3 1 0中利用來自於RF源3 1 8及3 2 2分別透過 天線3 1 2及陰極3 1 6施加的RF功率而被點燃成為電製 355。在該餘刻室310内部的壓力係使用位在室210與一 真空幫浦3 3 6之間的節流閥3 2 7來控制。在室壁3 3 0的表 面處的溫度係使用位在室310的壁330中之内含液體的管 子(未示出)來控制。 晶圓3 1 4的溫度係藉由讓氦氣從來源3 4 8流到由晶圓 314的背面與支撐托盤表面上的溝槽(未示出)所構成的通 道中來穩定該支撐托盤316的溫度來達成的。氦氣被用來 促進托盤3 1 6與晶圓3 1 4之間的熱傳遞。在處理期間,晶 圓314被托盤内的電阻式加熱器加熱至一穩態溫度且氦氣 可促進晶圓314的均勻加熱。藉由使用天花板32〇與托盤 3 16兩者的熱控制,晶圓314被保持在介於〇至500的 溫度之間。被施加至該電感線圈天線3 1 2的RF功率具有 介於50kHz至13·56ΜΗζ的頻率及具有200至3000W的 功率^ 0至300W的偏壓功率被施加至該托盤316,其可 為DC,脈衝式DC,或rf功率。 為了要如上所述地控制該室,該CPU344可以是能夠 被用在一工業§曼施上用來控制不同的室及副處理器之任何 形式的一般用途的電®處理器。該記憶體3 4 2被耦合至該 CPU344。該記憶體342,或電腦可讀取的媒體,可以是 一或多種現有的記憶體,如隨機存取記憶體(RAm),唯讀 17 200402779 記憶體(ROM),軟碟機,硬碟機,或任何其它形式的,遠 端的或本地的數位貯存。支援電路346被輕合至該CPU344 用以用傳統的方式來支援該處理器。這些電路包括,快取 (cache),電源供應,時脈電路,輸入/輸出電路及子系統, 及類此者。本發明的方法大體上被儲存在記憶體342中成 為軟體常式。該軟體常式亦可被儲存及/或一第二CPU(未 示出)所執行,該第二CPU可位在遠離CPU3 44所控制的 硬體的地方。 Φ 本發明可用其它半導體晶圓處理系統來實施,其中熟 悉此技藝者可藉由利用本案所揭示之不偏離本發明的精神 的教導來調整處理參數用以達成可被接受的特性。 雖然以上的說明係以場效電晶體的製造為例來描述’ 但使用在積體電路中的其它元件及結構的製造亦可由本發 明的揭示受惠。 雖然以上所述係關於本發明的舉例性實施例’但本發 明之其它及進一步的實施例可在不偏離本發明的基本範 _ 圍’及由以下的申請專利範圍所界定的範園之為被完成。 【圖式簡單說明】 本發明的教導可藉由閱讀以下參照了附圖的詳細說明 而更容易瞭解,其中: 第1 A及1 B圖顯示依據本發明的一場效電晶體的閘 極結構的製造方法的流程; 第2A-2Q圖顯示一基材的示意,剖面及頂視圖’該 18 200402779 基材具有依據第1 A-1 B圖的方法所製造的閘極結構;及 第3圖顯示一可用來實施本發明的方法的一舉例性電 漿處理設備的示意圖。 為了要便於瞭解,相同的標號被用來標示在各圖中之 相同的元件。 然而,應被暸解的是,附圖只是示出本發明的舉例性 實施例,其不應被視為範圍的限制,本發明仍有許多等效 的實施例。Oxygen (He-02), which is implemented in a reactor and diluted in helium, is used to etch the polysilicon gate electrode layer 206. Generally, steps 1 2 6 use carbon tetrafluoride at a flow rate of 15 to 45 sccm and hydrogen bromide at a flow rate of 15 to 150 sccm (ie, a CF4: HBr flow rate ratio of 1:10 to 3: 1), And a mixture of chlorine at a flow rate of 30 to 90 sccm and 70% He and 30% 02 at a flow rate of 6 to 18 sccm. In addition, step 126 applies a plasma power of 300 to 1500 W and a bias power of 40 to 120 W, and maintains a wafer tray temperature of 20 to 80 ° C at a chamber pressure of 2 to 6 mTorr. An exemplary treatment provides a flow rate of 3 5 s c c m? 4 and 125 scc flow rate HBr (ie, CF4: HBr flow rate ratio of about 1: 4), 60 sccm gas, 70% He and 30% 0: mixture at 8 sccm flow rate, 600 W electricity The pulp power and the bias power of 80W are maintained at a chamber pressure of 65m at a wafer tray temperature of 4mTon :. The second mask 242 is removed from the gate electrode 216 in step 128 (Fig. 2P). In one embodiment, step 128 uses a conventional thermal phosphoric acid (HJOJ etching process, which simultaneously removes the second mask 242 and the by-products of the engraving process step 126 (FIG. 2P). In one embodiment, the wafer 200 is exposed to a phosphoric acid solution at a temperature of about 160 ° C. After the exposure, wafer 200 is rinsed in distilled water to remove any remaining phosphoric acid #etching agent. This acid filling treatment can be used, such as An automated wet cleaning module is implemented, which is described in U.S. Patent Application No. 09 / 945,454 filed on August 3, 2000, the contents of which are hereby incorporated by reference This wet cleaning module can be purchased from Applied Material Company located in Santa Clara, California, USA. At step 130, a gate dielectric 15 under the gate electrode 216 15 200402779 247 被 # Engraved (Figure 2Q). In order to obtain the gate electrode, the etching process described in No. 10/1 94,566, filed on July 12, 2002, or other suitable layer materials for the gate electrode 216 and the substrate can be used. 200。 Etching process. Method 100 in step 132 Termination. An example of an etching step that can be used to implement the present invention is shown in Figure 3. Figure 3 shows a schematic view of an etch reactor 300 that can be used to implement the present invention. Processing chamber package antenna section 3 1 2 'The change in the ceiling of a dielectric chamber can have other types of ceilings, such as a circular line segment 312 coupled to a radio frequency (RF) source 318 adjustable frequency rf of 50kHz to 13.56MΗζ via a patch network 3 1 9 is coupled to the antenna 3 includes a wafer support tray (cathode) 316 and an RF source 322c coupled to the RF signal of the frequency of 13.56 MHz, 322c. The mating network 3 2 4 is coupled to the cathode 3 1 6. Non-t can It is a DC or pulsed DC source. Chamber 310 330 is connected to a ground pole 334. A support circuit including a center, a memory 342, and the CPU 344 is coupled to the non-etching process of the DPS erosion processing chamber 310 In operation, a semiconductor wafer 3 1 4 is placed on the & layer 204, step 130. The US patent application No. 30 is engraved. The gate dielectric has a high-etch selectivity engraved application. The DPS II etches the outside of the coil containing at least one inductor 3. 20 The ceiling-shaped ceiling. It can generate a signal with a signal on that day. The RF source 318 1 2. The processing chamber 2 1 0 can also generate a signal > The RF source 3 22 passes through a common ground, and the RF source 322 also contains a The controller 340 of the conductive chamber wall processor (CPU) 344, 346 and the same component are used to control the wafer support tray. 3 1 6 16 200402779, .. ** v-, "f V " *» · '* and gas Ingredients are supplied from a gas plate 338 to the processing chamber 3 1 0 through an inlet port 326 to form a gas mixture 3 5 0. The gas mixture 3 50 is ignited into an electric system 355 in the processing chamber 3 10 using RF power applied from the RF sources 3 1 8 and 3 2 2 through the antenna 3 12 and the cathode 3 1 6 respectively. The pressure inside the remaining chamber 310 is controlled using a throttle valve 3 2 7 located between the chamber 210 and a vacuum pump 3 3 6. The temperature at the surface of the chamber wall 3 3 0 is controlled using a liquid-containing tube (not shown) located in the wall 330 of the chamber 310. The temperature of wafer 3 1 4 stabilizes the support tray 316 by allowing helium to flow from source 3 4 8 into a channel formed by the back of wafer 314 and a groove (not shown) on the surface of the support tray. Temperature to reach. Helium is used to promote heat transfer between the tray 3 1 6 and the wafer 3 1 4. During processing, the wafer 314 is heated to a steady state temperature by a resistive heater in the tray and helium can promote uniform heating of the wafer 314. By using thermal control of both the ceiling 32 and the tray 3 16, the wafer 314 is maintained at a temperature between 0 and 500. The RF power applied to the inductive coil antenna 3 1 2 has a frequency between 50 kHz and 13.56 MHz and a bias power of 200 to 3000 W ^ 0 to 300 W is applied to the tray 316, which may be DC, Pulsed DC, or rf power. In order to control the chamber as described above, the CPU 344 may be any form of general-purpose electrical processor that can be used in an industry to control different chambers and sub-processors. The memory 3 4 2 is coupled to the CPU 344. The memory 342, or a computer-readable medium, may be one or more existing memories, such as random access memory (RAm), read-only 17 200402779 memory (ROM), floppy disk drive, hard disk drive , Or any other form of remote or local digital storage. The support circuit 346 is closed to the CPU 344 to support the processor in a conventional manner. These circuits include caches, power supplies, clock circuits, input / output circuits and subsystems, and the like. The method of the present invention is generally stored in the memory 342 as a software routine. The software routine may also be stored and / or executed by a second CPU (not shown), which may be located away from the hardware controlled by the CPU 344. Φ The present invention can be implemented with other semiconductor wafer processing systems, wherein those skilled in the art can adjust processing parameters to achieve acceptable characteristics by using the teachings disclosed in this case without departing from the spirit of the present invention. Although the above description is described by taking the manufacture of field effect transistors as an example ', the manufacture of other components and structures used in integrated circuits can also benefit from the disclosure of the present invention. Although the above is about the exemplary embodiments of the present invention, but other and further embodiments of the present invention can be made without departing from the basic scope of the present invention and the scope of the scope defined by the scope of the following patent applications: Was completed. [Brief description of the drawings] The teachings of the present invention can be more easily understood by reading the following detailed description with reference to the drawings, wherein: Figures 1A and 1B show the gate structure of a field effect transistor according to the present invention. Manufacturing process flow; Figures 2A-2Q show a schematic, section and top view of a substrate 'The 18 200402779 substrate has a gate structure manufactured according to the method of Figures 1 A-1 B; and Figure 3 shows A schematic diagram of an exemplary plasma processing apparatus that can be used to implement the method of the present invention. For ease of understanding, the same reference numerals are used to identify the same elements in the drawings. It should be understood, however, that the drawings are merely illustrative embodiments of the invention and should not be construed as limiting the scope, as there are still many equivalent embodiments of the invention.

【元件代表符號簡單說明】 100 處 理 程 序 200 晶 圓 202 閘 極 電 極 堆 疊 232 汲 極 區 (井) 234 源 極 區 (井) 236 通 道 區 204 介 電 層 206 閘 極 電 極 層 226 第 一 罩 幕 層 208 抗 反 射 塗 層 (DARC) 212 第 一 圖 案 光 阻罩幕 221 區域 207 線 寬 209 空 間 214 節 距 25 2, 254 電 晶 體 250 閘 極 對 22 3 區 域 213 空 間 219 間 隔 260 閘 極 對 229 側 壁 27 0, 272 壟 起 結 構, 237 側 壁 233 前 壁 235 厚 壁 239 虛 線 240 第 圖 案 光 阻罩幕 19 第二罩幕 閘極電極 寬度 閘極電介質 處理室 介電質天花板 晶圓支撐托盤(陰極) 配接網絡 控制器 記憶體 半導體晶圓 入口埠 電漿 節流閥 氦氣來源 24 1, 243, 245 區域 215 寬度 231 侧壁厚度 3 0 0 蝕刻反應器 312 電感線圈天線段 318 射頻(RF)源 322 RF 源 3 34 地極 344 中央處理器(CPU) 346 支援電路 3 38 氣體板 35 0 氣體混合物 3 3 0 室壁 33 6 真空幫浦[Simple description of component representative symbols] 100 processing program 200 wafer 202 gate electrode stack 232 drain region (well) 234 source region (well) 236 channel region 204 dielectric layer 206 gate electrode layer 226 first mask layer 208 Anti-reflective coating (DARC) 212 First pattern photoresist curtain 221 Area 207 Line width 209 Space 214 Pitch 25 2, 254 Transistor 250 Gate pair 22 3 Region 213 Space 219 Interval 260 Gate pair 229 Side wall 27 0, 272 Ridge structure, 237 Side wall 233 Front wall 235 Thick wall 239 Dotted line 240 First pattern photoresist curtain 19 Second curtain gate electrode width Gate dielectric processing chamber dielectric ceiling wafer support tray (cathode) Connected to the network controller memory Semiconductor wafer inlet port Plasma throttle valve Helium source 24 1, 243, 245 Area 215 Width 231 Side wall thickness 3 0 0 Etching reactor 312 Inductor coil antenna section 318 Radio frequency (RF) source 322 RF source 3 34 Ground 344 CPU ( CPU) 346 Support circuit 3 38 Gas plate 35 0 Gas mixture 3 3 0 Chamber wall 33 6 Vacuum pump

Claims (1)

200402779 拾、申請專利範圍 1 · 一種界定一特徵結構於一基材上的方法,該方法至少 包含: (a) 提供一基材,其具有一物質層形成於其上; (b) 形成一第一罩幕於該物質層上; (c) 形成一第二罩幕於該第一罩幕的一或多個侧壁 上;200402779 Patent application scope 1 · A method for defining a characteristic structure on a substrate, the method at least includes: (a) providing a substrate having a material layer formed thereon; (b) forming a first A mask on the material layer; (c) forming a second mask on one or more side walls of the first mask; (d) 去除該第一罩幕;及 (e) 使用第二罩幕來蝕刻該物質層用以界定至少一特 徵結構於其上。 2.如申請專利範圍第1項所述之方法,其中步驟(b)更包 含: (bl)沉積一第一罩幕層於該物質層上; (b2)形成一光阻圖案於該第一罩幕層上; (b3)經由該第一罩幕層來轉移該光阻圖案,用以形 成該第一罩幕;及 (b4)將該光阻圖案從該基材上去除掉。 3.如申請專利範圍第1項所述之方法,其中該第一罩幕 包含一介電質抗皮射塗層(DARC)及一非晶型碳層中的 至少一者。 21(d) removing the first mask; and (e) using a second mask to etch the material layer to define at least one feature structure thereon. 2. The method according to item 1 of the scope of patent application, wherein step (b) further comprises: (bl) depositing a first mask layer on the material layer; (b2) forming a photoresist pattern on the first On the mask layer; (b3) transferring the photoresist pattern through the first mask layer to form the first mask; and (b4) removing the photoresist pattern from the substrate. 3. The method according to item 1 of the scope of patent application, wherein the first mask comprises at least one of a dielectric anti-radiation coating (DARC) and an amorphous carbon layer. twenty one 200402779 4.如申請專利範圍第1項所述之方法,其中 含: (cl)保形地(conformably)將一第二罩幕 第一罩幕上; (c2)將第二罩幕層在第一罩幕的水平表 材與第一罩幕的側壁相鄭的水平表面上 掉; (c3)形成一光阻圖案於該第二罩幕之被 之上,露出被保形地沉積在地一罩幕的一 上的第二罩幕的第一區域; (c4)將在第一罩幕的一或多個側壁上被 二罩幕層的第一區域去除掉;及 (c5)將光阻圖案去除掉。 5 ·如申請專利範圍第1項所述之方法,其中 包含一物質,其是由二氧化矽(Si 02)及氮 所組成的組群中選取的。 6. 如申請專利範圍第1項所述之方法,其中 材上的物質層包含多晶麥。 7. 一種製造一場效電晶體的閘極結構的方法 少包含: 步驟(c)更包 層沉積於該 面上及在基 的部分去除 選定的區域 或多個侧壁 曝露出的第 該第二罩幕 化矽(Si3N4) 形成在該基 ,該方法至 22 200402779 (a) 提供一基材,其具有一閘極電極層形成於一閘極 介電層之上; (b) 形成一第一罩幕於該閘極電極層的區域上; (c) 形成一第二罩幕於該第一罩幕的一或多個側壁 上; (d) 去除該第一罩幕;及200402779 4. The method according to item 1 of the scope of patent application, comprising: (cl) conformally placing a second cover on the first cover; (c2) placing the second cover layer on the first A horizontal surface of a curtain falls off a horizontal surface that is aligned with the side wall of the first curtain; (c3) forming a photoresist pattern on the quilt of the second curtain, exposing the conformal deposit on the ground The first area of the second cover on one of the covers; (c4) removing the first area of the two cover layers on one or more side walls of the first cover; and (c5) removing the photoresist The pattern is removed. 5. The method according to item 1 of the scope of patent application, which comprises a substance selected from the group consisting of silicon dioxide (Si 02) and nitrogen. 6. The method according to item 1 of the scope of patent application, wherein the material layer on the material comprises polycrystalline wheat. 7. A method for manufacturing a gate structure of a field effect transistor includes: step (c) further cladding is deposited on the surface and a selected area is removed from a base portion or a plurality of second and second side walls are exposed. Mask silicon (Si3N4) is formed on the substrate, and the method to 22 200402779 (a) provides a substrate having a gate electrode layer formed on a gate dielectric layer; (b) forming a first A mask on the area of the gate electrode layer; (c) forming a second mask on one or more side walls of the first mask; (d) removing the first mask; and (d)藉由使用該第二罩幕作為一蚀刻罩幕來蝕刻該閘 極電極層及該閘極介電層以完成該閘極結構。 8.如申請專利範圍第7項所述之方法,其中步驟(b)更包 含: (b 1)沉積一第一罩幕層於該閘極電極層上; (b2)形成一光阻圖案於該第一罩幕層上; (b3)經由該第一罩幕層來轉移該光阻圖案,用以形 成該第一罩幕;及(d) Etching the gate electrode layer and the gate dielectric layer by using the second mask as an etching mask to complete the gate structure. 8. The method according to item 7 of the scope of patent application, wherein step (b) further comprises: (b 1) depositing a first mask layer on the gate electrode layer; (b2) forming a photoresist pattern on On the first mask layer; (b3) transferring the photoresist pattern through the first mask layer to form the first mask; and (b4)將該光阻圖案從該基材上去除掉。 9.如申請專利範圍第7項所述之方法,其中該第一罩幕 包含一介電質抗反射塗層(DARC)及一非晶型碳層中的 至少一者。 -i; 1 0.如申請專利範圍第7項所述之方法,其中步驟(c)更包 含: 23 200402779 (cl)保形地(conformably)將一第二罩幕層沉積於該 第一罩幕上; (c2)將第二罩幕層在第一罩幕的水平表面上及在基 材與第一罩幕的側壁相鄰的水平表面上的部分去除 掉;(b4) removing the photoresist pattern from the substrate. 9. The method according to item 7 of the scope of patent application, wherein the first mask comprises at least one of a dielectric anti-reflection coating (DARC) and an amorphous carbon layer. -i; 1 10. The method as described in item 7 of the scope of patent application, wherein step (c) further comprises: 23 200402779 (cl) conformally depositing a second cover layer on the first cover (C2) removing the portion of the second cover layer on the horizontal surface of the first cover and on the horizontal surface of the substrate adjacent to the side wall of the first cover; (c3)形成一光阻圖案於該第二罩幕之被選定的區域 之上,露出被保形地沉積在地一罩幕的一或多個側壁 上的第二罩幕的第一區域; (c4)將在第一罩幕的一或多個側壁上被曝露出的第 二罩幕層的第一區域去除掉;及 (c5)將光阻圖案去除掉。 1 1.如申請專利範圍第7項所述之方法,其中該第二罩幕 包含一物質,其是由二氧化矽(Si02)及氮化矽(Si3N4) 所組成的組群中選取的。(c3) forming a photoresist pattern on a selected area of the second mask, exposing a first region of the second mask that is conformally deposited on one or more side walls of the ground mask; (c4) removing the first area of the second mask layer exposed on one or more side walls of the first mask; and (c5) removing the photoresist pattern. 1 1. The method according to item 7 of the scope of patent application, wherein the second mask contains a substance selected from the group consisting of silicon dioxide (Si02) and silicon nitride (Si3N4). 12.如申請專利範圍第7項所述之方法,其中該閘極介電 層包含多晶梦。 1 3. —種製造一場效電晶體於一基材上的方法,該方法至 少包含: ' (a)提供一基材,其具有一閘極電極層形成於一閘極 介電層之上; 24 200402779 (b) 形成一第一罩幕於該閘極電極層的區域上; (c) 形成一第二罩幕於該第一罩幕的一或多個側壁 上; (d) 去除該第一罩幕;及 (d)藉由使用該第二罩幕作為一蝕刻罩幕來蝕刻該閘 極電極層及該閘極介電層以完成該閘極結構。12. The method according to item 7 of the scope of patent application, wherein the gate dielectric layer comprises a polycrystalline dream. 1 3. A method of manufacturing a field effect transistor on a substrate, the method at least comprising: '(a) providing a substrate having a gate electrode layer formed on a gate dielectric layer; 24 200402779 (b) forming a first mask on the area of the gate electrode layer; (c) forming a second mask on one or more side walls of the first mask; (d) removing the first mask A mask; and (d) using the second mask as an etching mask to etch the gate electrode layer and the gate dielectric layer to complete the gate structure. 14.如申請專利範圍第13項所述之方法,其中步騾(b)更 包含: (bl)沉積一第一罩幕層於該閘極電極層上; (b2)形成一光阻圖案於該第一罩幕層上; (b3)經由該第一罩幕層來轉移該光阻圖案,用以形 成該第一罩幕;及 (b4)將該光阻圖案從該基材上去除掉。14. The method according to item 13 of the scope of patent application, wherein step (b) further comprises: (bl) depositing a first mask layer on the gate electrode layer; (b2) forming a photoresist pattern on On the first mask layer; (b3) transferring the photoresist pattern through the first mask layer to form the first mask; and (b4) removing the photoresist pattern from the substrate . 1 5 ·如申請專利範圍第1 3項所述之方法,其中該第一罩幕 包含一介電質抗反射塗層(DARC)及一非晶型碳層中的 至少一者。 1 6.如申請專利範圍第1 3項所述之方法,其中步驟(c)更 包含: 〜 (cl)保形地(conform ably)將一第二罩幕層沉積於該 第一罩幕上; 25 200402779 (c2)將第二罩幕層在第一罩幕的水平表面上及在基 材與第一罩幕的侧壁相鄰的水平表面上的部分去除 掉; (c 3)形成一光阻圖案於該第二罩幕之被選定的區域 之上,露出被保形地沉積在地一罩幕的一或多個側壁 上的第二罩幕的第一區域;1 5. The method as described in item 13 of the scope of the patent application, wherein the first mask comprises at least one of a dielectric anti-reflection coating (DARC) and an amorphous carbon layer. 16. The method as described in item 13 of the scope of patent application, wherein step (c) further comprises: ~ (cl) conformally depositing a second mask layer on the first mask 25 200402779 (c2) removing the part of the second cover layer on the horizontal surface of the first cover and the horizontal surface of the substrate adjacent to the side wall of the first cover; (c 3) forming a A photoresist pattern over a selected region of the second mask, exposing a first region of the second mask that is conformally deposited on one or more side walls of the first mask; (c4)將在第一罩幕的一或多個侧壁上被曝露出的第 二罩幕層的第一區域去除掉;及 (c5)將光阻圖案去除掉。 1 7.如申請專利範圍第1 3項所述之方法,其中該第二罩幕 包含一物質,其是由二氧化矽(Si02)及氮化矽(Si3N4) 所組成的組群中選取的。(c4) removing the first area of the second mask layer exposed on one or more side walls of the first mask; and (c5) removing the photoresist pattern. 1 7. The method as described in item 13 of the scope of patent application, wherein the second mask contains a substance selected from the group consisting of silicon dioxide (Si02) and silicon nitride (Si3N4) . 1 8 ·如申請專利範圍第1 3項所述之方法,其中該閘極介電 層包含多晶矽。 2618. The method as described in item 13 of the scope of patent application, wherein the gate dielectric layer comprises polycrystalline silicon. 26
TW092116580A 2002-06-20 2003-06-18 Method for fabricating a gate structure of a field effect transistor TW200402779A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39054402P 2002-06-20 2002-06-20
US39804202P 2002-07-22 2002-07-22
US10/463,460 US6924191B2 (en) 2002-06-20 2003-06-16 Method for fabricating a gate structure of a field effect transistor

Publications (1)

Publication Number Publication Date
TW200402779A true TW200402779A (en) 2004-02-16

Family

ID=30003821

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092116580A TW200402779A (en) 2002-06-20 2003-06-18 Method for fabricating a gate structure of a field effect transistor

Country Status (3)

Country Link
US (1) US6924191B2 (en)
TW (1) TW200402779A (en)
WO (1) WO2004001799A2 (en)

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134921A1 (en) * 2003-05-01 2006-06-22 Chih-Ning Wu Plasma etching process
US7005386B1 (en) * 2003-09-05 2006-02-28 Advanced Micro Devices, Inc. Method for reducing resist height erosion in a gate etch process
US6998332B2 (en) * 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7390750B1 (en) * 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
US7683435B2 (en) * 2004-04-30 2010-03-23 Hewlett-Packard Development Company, L.P. Misalignment-tolerant multiplexing/demultiplexing architectures
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100678460B1 (en) * 2004-10-12 2007-02-02 삼성전자주식회사 Methods of forming a channel-portion hole between self-alignment patterns and methods of forming a semconductor devices having gate patterns by using thereof
US7144824B2 (en) * 2004-12-01 2006-12-05 Macronix International Co. Ltd. Method for controlling the properties of DARC and manufacturing DARC
KR20070107017A (en) * 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 Line edge roughness reduction compatible with trimming
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7517796B2 (en) * 2005-02-17 2009-04-14 Sandisk 3D Llc Method for patterning submicron pillars
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP4619839B2 (en) * 2005-03-16 2011-01-26 株式会社東芝 Pattern formation method
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7120046B1 (en) * 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) * 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7322138B2 (en) 2005-08-31 2008-01-29 Southern Imperial, Inc. Shelf edge sign holder
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
WO2007038164A2 (en) * 2005-09-23 2007-04-05 Nanosys, Inc. Methods for nanostructure doping
US20070161255A1 (en) * 2006-01-06 2007-07-12 Wilfred Pau Method for etching with hardmask
US7910289B2 (en) * 2006-01-06 2011-03-22 Texas Instruments Incorporated Use of dual mask processing of different composition such as inorganic/organic to enable a single poly etch using a two-print-two-etch approach
US7538858B2 (en) 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20080003833A1 (en) * 2006-06-30 2008-01-03 Hynix Semiconductor Inc. Fin mask and method for fabricating saddle type fin using the same
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR100761857B1 (en) * 2006-09-08 2007-09-28 삼성전자주식회사 Method for forming fine pattern in semiconductor device and method for semiconductor device using the same
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
JP5590886B2 (en) 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド Fluorine plasma treatment for high-K gate stacks for defect passivation
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US20080182395A1 (en) * 2007-01-03 2008-07-31 Hynix Semiconductor Inc. Method for forming pattern in semiconductor device
KR100842762B1 (en) * 2007-01-04 2008-07-01 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
US20090017631A1 (en) * 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7846849B2 (en) * 2007-06-01 2010-12-07 Applied Materials, Inc. Frequency tripling using spacer mask having interposed regions
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
KR100897823B1 (en) * 2007-08-29 2009-05-15 주식회사 동부하이텍 Method of manufacturing extended drain mos transistor
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7879732B2 (en) * 2007-12-18 2011-02-01 Chartered Semiconductor Manufacturing Ltd. Thin film etching method and semiconductor device fabrication using same
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR101082096B1 (en) * 2008-01-21 2011-11-10 주식회사 하이닉스반도체 The method for manufacturing semiconductor device using salicide process
US8019440B2 (en) 2008-02-12 2011-09-13 Intelect Medical, Inc. Directional lead assembly
JP4630906B2 (en) * 2008-02-29 2011-02-09 株式会社東芝 Manufacturing method of semiconductor device
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090246706A1 (en) * 2008-04-01 2009-10-01 Applied Materials, Inc. Patterning resolution enhancement combining interference lithography and self-aligned double patterning techniques
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US8080443B2 (en) 2008-10-27 2011-12-20 Sandisk 3D Llc Method of making pillars using photoresist spacer mask
JP2010118501A (en) * 2008-11-13 2010-05-27 Toshiba Corp Method for manufacturing semiconductor device
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8084347B2 (en) 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US8114765B2 (en) 2008-12-31 2012-02-14 Sandisk 3D Llc Methods for increased array feature density
US8304175B2 (en) * 2009-03-25 2012-11-06 Macronix International Co., Ltd. Patterning method
TWI419201B (en) * 2009-04-27 2013-12-11 Macronix Int Co Ltd Patterning method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
CN102646583B (en) * 2012-04-06 2016-01-27 上海华力微电子有限公司 A kind ofly prepare the shallow junction of amorphous carbon sacrificial gate electrode structure and the method for side wall
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8956886B2 (en) 2013-03-14 2015-02-17 Applied Materials, Inc. Embedded test structure for trimming process control
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN109755107B (en) 2017-11-07 2020-09-29 联华电子股份有限公司 Self-aligned double patterning method
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10833180B2 (en) * 2018-10-11 2020-11-10 International Business Machines Corporation Self-aligned tunneling field effect transistors
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (en) 2020-07-03 2023-03-03 应用材料公司 Method for refurbishing aircraft components

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5942787A (en) * 1996-11-18 1999-08-24 Advanced Micro Devices, Inc. Small gate electrode MOSFET
US5824578A (en) * 1996-12-12 1998-10-20 Mosel Vitelic Inc. Method of making a CMOS transistor using liquid phase deposition
US6022815A (en) * 1996-12-31 2000-02-08 Intel Corporation Method of fabricating next-to-minimum-size transistor gate using mask-edge gate definition technique
TW387198B (en) * 1997-09-03 2000-04-11 Hosiden Corp Audio sensor and its manufacturing method, and semiconductor electret capacitance microphone using the same
US6162696A (en) * 1997-11-10 2000-12-19 Intel Corporation Method of fabricating a feature in an integrated circuit using a two mask process with a single edge definition layer
KR100247862B1 (en) * 1997-12-11 2000-03-15 윤종용 Semiconductor device and method for manufacturing the same
US6051486A (en) * 1997-12-18 2000-04-18 Advanced Miero Devices Method and structure for replaceable gate electrode in insulated gate field effect transistors
US5981398A (en) * 1998-04-10 1999-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask method for forming chlorine containing plasma etched layer
US6013570A (en) * 1998-07-17 2000-01-11 Advanced Micro Devices, Inc. LDD transistor using novel gate trim technique
US6197644B1 (en) * 1998-11-06 2001-03-06 Advanced Micro Devices, Inc. High density mosfet fabrication method with integrated device scaling
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6346449B1 (en) * 1999-05-17 2002-02-12 Taiwan Semiconductor Manufacturing Company Non-distort spacer profile during subsequent processing
US6362061B1 (en) * 2000-05-08 2002-03-26 Advanced Micro Devices, Inc. Method to differentiate source/drain doping by using oxide slivers
KR100365411B1 (en) * 2000-06-30 2002-12-18 주식회사 하이닉스반도체 Method for making an soi metal oxide fet
US6495406B1 (en) 2000-08-31 2002-12-17 Micron Technology, Inc. Method of forming lightly doped drain MOS transistor including forming spacers on gate electrode pattern before exposing gate insulator
US6406951B1 (en) * 2001-02-12 2002-06-18 Advanced Micro Devices, Inc. Fabrication of fully depleted field effect transistor with raised source and drain in SOI technology
US6627493B2 (en) * 2001-03-28 2003-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned method for fabricating a capacitor under bit-line (cub) dynamic random access memory (DRAM) cell structure
US6566208B2 (en) * 2001-07-25 2003-05-20 Chartered Semiconductor Manufacturing Ltd. Method to form elevated source/drain using poly spacer

Also Published As

Publication number Publication date
WO2004001799A2 (en) 2003-12-31
WO2004001799A3 (en) 2004-03-25
US20040043623A1 (en) 2004-03-04
US6924191B2 (en) 2005-08-02

Similar Documents

Publication Publication Date Title
TW200402779A (en) Method for fabricating a gate structure of a field effect transistor
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US20040018738A1 (en) Method for fabricating a notch gate structure of a field effect transistor
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
TWI338332B (en) Method for etching a molybdenum layer suitable for photomask fabrication
JP6280030B2 (en) Etching process to control pattern critical dimension and integrity of multilayer masks
CN101335181B (en) Method for manufacturing a semiconductor device using a spacer as an etch mask
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
US20040229470A1 (en) Method for etching an aluminum layer using an amorphous carbon mask
US20040058517A1 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US8101025B2 (en) Method for controlling corrosion of a substrate
TW200411766A (en) Method for fabricating an ultra shallow junction of a field effect transistor
JP2005129906A (en) Apparatus and method for controlling accuracy and repeatability of etch process
JP2001526461A (en) Method for etching silicon oxynitride and inorganic anti-reflective coating
JP2004336029A (en) Method of manufacturing gate structure of field effect transistor
TW200947154A (en) Method of forming thin film pattern for semiconductor device and apparatus for the same
JP2002280388A (en) Manufacturing method of semiconductor device
WO2009079284A1 (en) Method and system for reducing line edge roughness during pattern etching
TWI301296B (en) Method for fabricating a hard mask polysilicon gate
TW200425247A (en) Method and apparatus for multilayer photoresist dry development
JP3700231B2 (en) Method for forming connection hole
JPH06342744A (en) Prevention of reflection by a-c
JP2010062212A (en) Method for manufacturing semiconductor device
TW200524044A (en) Method for forming a contact of a semiconductor device
TW569342B (en) Method for forming a pattern in a semiconductor substrate