NL8600534A - DEVICE AND METHOD FOR AUTOMATED WAFFLE PROCESSING. - Google Patents

DEVICE AND METHOD FOR AUTOMATED WAFFLE PROCESSING. Download PDF

Info

Publication number
NL8600534A
NL8600534A NL8600534A NL8600534A NL8600534A NL 8600534 A NL8600534 A NL 8600534A NL 8600534 A NL8600534 A NL 8600534A NL 8600534 A NL8600534 A NL 8600534A NL 8600534 A NL8600534 A NL 8600534A
Authority
NL
Netherlands
Prior art keywords
strain gauge
workpiece
coupled
parts
housing
Prior art date
Application number
NL8600534A
Other languages
Dutch (nl)
Original Assignee
Epsilon Techn Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Epsilon Techn Inc filed Critical Epsilon Techn Inc
Publication of NL8600534A publication Critical patent/NL8600534A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • B25J13/085Force or torque sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/08Gripping heads and other end effectors having finger members
    • B25J15/10Gripping heads and other end effectors having finger members with three or more finger members
    • B25J15/103Gripping heads and other end effectors having finger members with three or more finger members for gripping the object in three contact points
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Human Computer Interaction (AREA)
  • Manipulator (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

NO 33705 1 Do/JSmNO 33705 1 Do / JSm

Inrichting en werkwijze voor geautomatiseerde wafelverwerking.Device and method for automated wafer processing.

Achtergrond van de uitvindingBackground of the invention

Deze uitvinding heeft betrekking op een inrichting voor gebruik met 5 een robotarm voor het behandelen van werkstukken omvattende een behuizing en op een werkwijze voor het met deze inrichting hanteren van een in het algemeen rond vlak werkstuk. In het bijzonder heeft de uitvinding betrekking op een halfgeleider-wafelhehandelingsinrichting en op een wa-felbehandelingsinrichting die in een geautomatiseerde omgeving kan wor-10 den toegepast. De geopenbaarde inrichting kan met een robotarm worden gebruikt zodat halfgeleider-wafels zonder menselijke tussenkomst kunnen worden gehanteerd*This invention relates to a device for use with a robot arm for treating workpieces comprising a housing and to a method for handling a generally round flat workpiece with this device. In particular, the invention relates to a semiconductor wafer treatment device and a wafer treatment device that can be used in an automated environment. The disclosed device can be used with a robotic arm to allow semiconductor wafers to be handled without human intervention *

Bij het verwerken van halfgeleider-substraten of wafels zijn meerdere routine-operaties betrokken en een groot aantal halfgeleider-wafel-15 eenheden. Niet verwerkte wafels moeten bijvoorbeeld van opslaghouders bekend als cassettes naar verwerkingsdragers bekend als ontvangers of boten worden overgebracht. Na te zijn verwerkt moeten de wafels naar de opslagcassette worden teruggebracht. Deze handelingen werden in het verleden typisch door menselijke hantering uitgevoerd. Het toepassen van 20 menselijke operateurs is gebleken minder bevredigend te zijn. Door mensen verstrooide roos- en huiddeeltjes tijdens het hanteren van de wafels hebben grote fabricageproblemen veroorzaakt toen de afmetingen van de geïntegreerde schakelingen kleiner werden. Als gevolg van een onefficiënte behandeling kunnen er relatief veel breuken ontstaan en het grote 25 aantal routinehandelingen veroorzaakt menselijke vermoeidheid met de daarmee gepaard gaande verslechtering van het uitvoeren van het werk.Multiple routine operations and a large number of semiconductor wafer units are involved in processing semiconductor substrates or wafers. For example, unprocessed wafers must be transferred from storage containers known as cassettes to processing carriers known as receivers or boats. After processing, the wafers must be returned to the storage cassette. These actions were typically performed in the past by human manipulation. Employing 20 human operators has been found to be less satisfactory. Human scattered rose and skin particles while handling the wafers have caused major manufacturing problems as the integrated circuit sizes shrunk. As a result of inefficient treatment, relatively many fractures can occur and the large number of routine operations causes human fatigue with the accompanying deterioration of work performance.

In de afgelopen jaren zijn een aantal robotarmen ontwikkeld die nu commercieel beschikbaar zijn. De robotarm kan een groot aantal grof bestuurbare bewegingen uitvoeren zonder vermindering in efficiëntie als 30 functie van de herhalingssnelheid.In recent years, a number of robotic arms have been developed that are now commercially available. The robotic arm can perform a large number of coarse steerable movements without a decrease in efficiency as a function of the repetition speed.

Als gevolg daarvan is er een behoefte ontstaan aan een inrichting die aan een robotarm kan worden gekoppeld en geschikt is voor het vastgrijpen van halfgeleider-wafels en een betrouwbaarheid van hantering kan verschaffen die groter is dan het vermogen van menselijke operateurs.As a result, a need has arisen for a device that can be coupled to a robotic arm and is capable of gripping semiconductor wafers and can provide handling reliability greater than the capability of human operators.

35 Het is daardoor een doelstelling van de onderhavige uitvinding een verbeterde inrichting en werkwijze voor het behandelen van halfgeleider-wafels te verschaffen.It is therefore an object of the present invention to provide an improved device and method for treating semiconductor wafers.

Het is een verdere doelstelling van de onderhavige uitvinding om een verbeterde inrichting voor het behandelen van halfgeleider-wafels te 40 verschaffen die geen tussenkomst of assistentie van een menselijke ope- "** "9 -1 ./ t v: ij ’r • ü ' 2 rateur vereist.It is a further object of the present invention to provide an improved semiconductor wafer handling apparatus that does not require the intervention or assistance of a human operator. 2 rateur required.

Nog een andere doelstelling van de onderhavige uitvinding is het verschaffen van een werkwijze en een inrichting voor het vastgrijpen en loslaten van halfgeleider-wafels onder bestuurde omstandigheden waarbij 5 informatie die betrekking heeft op de Op de halfgeleider-wafel uitgeoefende krachten wordt bewaakt.Yet another object of the present invention is to provide a method and an apparatus for gripping and releasing semiconductor wafers under controlled conditions whereby information related to the forces applied to the semiconductor wafer is monitored.

De voornoemde en andere doelstellingen in overeenstemming met de onderhavige uitvinding worden bij een inrichting volgens de aanhef bewerkstelligd door een aantal buiten de behuizing uitstekende delen, kop-10 pelmiddelen voor het koppelen van ten minste een van deze delen aan de behuizing, waarbij deze koppelmiddelen tastmiddelen voor het bepalen van een op dat deel uitgeoefende kracht omvatten en verlengmiddelen voor het ten opzichte van de inrichting bewegen van ten minste een ander van deze delen, en worden bij een werkwijze volgens de aanhef bewerkstelligd door 15 de stappen van het onder het toepassen van een bestuurde kracht vastgrijpen van het werkstuk door de inrichting en het bewaken van krachten ondervonden door de inrichting tijdens het.loslaten van het werkstuk.The aforementioned and other objects in accordance with the present invention are achieved in a device according to the preamble by a number of parts projecting outside the housing, coupling means for coupling at least one of these parts to the housing, these coupling means sensing means for determining a force exerted on that part and comprising extension means for moving at least one of these parts relative to the device, and are effected in a method according to the preamble by the steps of applying a controlled force gripping the workpiece by the device and monitoring forces experienced by the device during workpiece release.

Een uitvoeringsvorm van de inrichting heeft drie vingerachtige armen of uitsteeksel, hierna vingers genoemd, die vanaf de behuizing of 20 het lichaam van de inrichting uitsteken. De twee buitenste van de drie in het algemeen in een vlak liggende vingers, zijn rechtstreeks door middel van deformeerbare bladveren aan de behuizing gekoppeld. De middelste vinger is eveneens door middel van deformeerbare bladveren aan een motoraangedreven draagsamenstel gekoppeld. Het draagsamenstel is aan 25 de behuizing gekoppeld. Aan de vingers zijn kleine toetsvormige toppen bevestigd die voor het vastgrijpen van een halfgeleider-wafel kunnen worden toegepast. Het vastgrijpen van een wafel wordt bewerkstelligd door de middenvinger uit te steken, door de inrichting rond de op te pakken wafel te bewegen en de middenvinger terug te trekken totdat de 30 toetsvormige vingertoppen de wafel vastgrijpen. Aan de vingers en de deformeerbare bladveren kunnen sensoren in de vorm van rekstroken worden gekoppeld. Hierdoor wordt het mogelijk dat een computerstelsel de door de inrichting uitgeoefende krachten op een wafel kan bewaken en maakt tevens bewaking mogelijk van de op de vingers uitgeoefende krachten door 35 andere voorwerpen zoals de verwerkingsdrager of cassette waarin de wafels kunnen worden geplaatst. De sensoren kunnen verder het detecteren van onverwachte krachten op de vingers zoals die veroorzaakt door een botsing met een voorwerp of een persoon mogelijk maken.An embodiment of the device has three finger-like arms or protuberance, hereinafter referred to as fingers, which protrude from the housing or body of the device. The two outermost of the three generally flattened fingers are coupled directly to the housing by deformable leaf springs. The middle finger is also coupled to a motor-driven carrier assembly by deformable leaf springs. The support assembly is coupled to the housing. Small finger-shaped tips are attached to the fingers which can be used for gripping a semiconductor wafer. Grasping a wafer is accomplished by extending the middle finger, moving the device around the wafer to be picked up and retracting the middle finger until the key-shaped fingertips grip the wafer. Sensors in the form of strain gauges can be attached to the fingers and deformable leaf springs. This enables a computer system to monitor the forces exerted by the device on a wafer and also allows monitoring of the forces exerted on the fingers by other objects such as the processing carrier or cassette in which the wafers can be placed. The sensors can further enable the detection of unexpected forces on the fingers such as those caused by a collision with an object or a person.

Deze en andere kenmerken van de onderhavige uitvinding zullen nu 40 duidelijk worden uit de volgende beschrijving samen met de bijgaande te- <33 n 334These and other features of the present invention will now become apparent from the following description, along with the accompanying documents

* V* V

3 keningen.3 notices.

Fig. 1 is een perspectivisch aanzicht van een inrichting volgens de onderhavige uitvinding die aan een robotarm kan worden gekoppeld.Fig. 1 is a perspective view of a device according to the present invention that can be coupled to a robot arm.

Fig. 2 is een bovenaanzicht van een inrichting volgens de onderha-5 vige uitvinding waarin de plaats van de inwendige componenten wordt getoond wanneer de bedekking van de bovenste kamer is verwijderd.Fig. 2 is a plan view of a device according to the present invention showing the location of the internal components when the cover of the upper chamber is removed.

Fig. 3 is een perspectivisch aanzicht van een deelsamenstel met vaste vingers.Fig. 3 is a perspective view of a fixed finger subassembly.

Fig. 4 is een perspectivisch aanzicht van een beweegbaar draagdeel-10 samenstel.Fig. 4 is a perspective view of a movable support member-10 assembly.

Fig. 5 is een onderaanzicht van de inrichting volgens de onderhavige uitvinding waarin de positie van de inwendige elektrische componenten worden aangegeven wanneer de bedekking van de onderste kamer is verwijderd.Fig. 5 is a bottom view of the device of the present invention showing the position of the internal electrical components when the cover of the lower chamber is removed.

15 - Onder verwijzing naar fig. 1 wordt de inrichting 10 geschikt om aan een robotarm te koppelen getoond. Het lichaam van de inrichting bestaat uit een twee-kamerbehuizing 11 waarin de kamers de mechanische en elektrische componenten van de inrichting bevatten. De bovenste kamer van de behuizing 11, zoals getoond in fig. 2, bevat het beweegbare draagdeelsa-20 menstel 21, de deelsamenstellen voor de niet-beweegbare buitenste vingers 35 en 27 en het deelsamenstel voor de beweegbare middenvinger 16.15 - With reference to Fig. 1, the device 10 suitable for coupling to a robot arm is shown. The body of the device consists of a two-chamber housing 11 in which the chambers contain the mechanical and electrical components of the device. The upper chamber of the housing 11, as shown in Fig. 2, contains the movable support member assembly 21, the subassemblies for the non-movable outer fingers 35 and 27, and the subassembly for the movable center finger 16.

Onder verwijzing naar fig. 3, elk van de buitenste niet-beweegbare vingerdeelsamenstellen bestaat uit een dunne roestvrij stalen arm 15 die buiten de behuizing 11 uitsteekt, een dikkere roestvrij stalen arm 17 25 waaraan de dunne arm 15 is bevestigd, twee deformeerbare bladveren 25 die aan de dikkere armsectie 17 zijn gekoppeld en twee staafdragers 23 die voor het monteren van het zijvingerdeelsamenstel aan de behuizing 11 worden gebruikt. De deformeerbare bladveren 25 maken het mogelijk dat de vinger licht langs zijn longitudinale as kan bewegen terwijl de vinger 30 in alle andere asrichtingen wordt vastgehouden. Een van de bladveren 25 op elk vingerdeelsamenstel is uitgerust met een paar rekstrooksensoren 26 om het bewaken van elke afwijking van de bladveer 25 mogelijk te maken. De rekstrooksensoren kunnen van elk commercieel beschikbaar halfgeleider- of folietype zijn. De vinger 15 zelf is eveneens uitgerust met 35 een paar rekstroken 26 die het mogelijk maken elke afwijking of doorbui-ging van de vinger in de richting loodrecht op het vlak van de vingers te bewaken.Referring to Fig. 3, each of the outer non-movable finger part assemblies consists of a thin stainless steel arm 15 protruding outside the housing 11, a thicker stainless steel arm 17 25 to which the thin arm 15 is attached, two deformable leaf springs 25 which coupled to the thicker arm section 17 and two rod carriers 23 used for mounting the side finger subassembly to the housing 11. The deformable leaf springs 25 allow the finger to move slightly along its longitudinal axis while holding the finger 30 in all other axial directions. One of the leaf springs 25 on each finger piece assembly is equipped with a pair of strain gauge sensors 26 to allow monitoring of any deviation from the leaf spring 25. The strain gauge sensors can be of any commercially available semiconductor or foil type. The finger 15 itself is also equipped with a pair of strain gauges 26 which allow to monitor any deviation or deflection of the finger in the direction perpendicular to the plane of the fingers.

Onder verwijzing naar fig. 4, wanneer de staafdragers 23 in de bovenste holte van de behuizing zijn gemonteerd verschaffen deze tevens 40 ondersteuning voor twee cilindrische rails 22 die het beweegbare draag- Λ ’ -V t ·. , . · . Λ .' ii J È 4 deelsamenstel 21 dragen. Het draagdeelsamenstel 21 bestaat uit een draaglichaam 24, de raildragers 30 en een tandheugel 28. Deze kunnen het beste in fig. 4 worden waargenomen. De verlengbare middenvinger is door middel van twee deformeerbare bladveren 25 aan het draaglichaam 24 be-5 vestigd. De rekstroken 26 zijn eveneens op deze bladveren gemonteerd. In gemonteerde toestand grijpt de tandheugel 28 in op een rondsel 18 dat op de uitgangsas van de motor 14 is geplaatst. Deze motor wordt gebruikt om de middenvinger in en uit de behuizing 11 te bewegen. De motor 14 is op de bedekking 19 van de bovenste holte van de behuizing gemonteerd en 10 grijpt in op de tandheugel 28 wanneer de bedekking 19 op de behuizing 11 is geplaatst. Door het laten draaien van de motor worden de drager en de middenvinger op een lineaire wijze aangedreven. Twee foto-optische sensoren 29 worden toegepast om een kant 21 van het draagdeelsamenstel waar te nemen en om de volledig verlengde en volledig ingetrokken posities 15 van de drager te bepalen.Referring to Fig. 4, when the rod carriers 23 are mounted in the top cavity of the housing, they also provide support for two cylindrical rails 22 that support the movable carrier. ,. ·. Λ. " ii J È 4 subassembly 21. The carrier part assembly 21 consists of a carrier body 24, the rail carriers 30 and a rack 28. These are best observed in Fig. 4. The extendable middle finger is attached to the support body 24 by means of two deformable leaf springs 25. The strain gauges 26 are also mounted on these leaf springs. When mounted, the rack 28 engages a pinion 18 placed on the output shaft of the motor 14. This motor is used to move the middle finger in and out of the housing 11. The motor 14 is mounted on the cover 19 of the top cavity of the housing and 10 engages the rack 28 when the cover 19 is placed on the housing 11. By running the motor, the carrier and the middle finger are driven in a linear manner. Two photo-optical sensors 29 are used to sense a side 21 of the support member assembly and to determine the fully extended and fully retracted positions 15 of the support.

Onder verwijzing naar fig. 5, de onderste kamer van de behuizing 11 bevat de elektrische componenten van de inrichting. Deze componenten omvatten een plaat met gedrukte bedrading 31 waarop de andere componenten zijn gemonteerd, zes rekstrookversterkerschakelingen 32, een motorbestu-20 ringsschakeling 33 en een kabelconnector. Elk van de rekstrookparen aangebracht op het mechanisme in de bovenste kamer is door middel van draden aan een versterkerschakeling 32 gekoppeld. De versterkerschakeling 32 versterkt en conditioneert het van de rekstrooksensoren ontvangen signaal op geschikte wijze voor overdracht naar een bewakingscomputer-25 stelsel. Het bewakingscomputerstelsel kan een dataverwerkingseenheid voor algemene doeleinden zijn met geschikte interface-eenheden en geprogrammeerd om te reageren op ingangssignalen. De motorbesturingsschake-ling 33 bestaat uit drie geïntegreerde schakelingen met het doel de motor over een door een sensorbewakingscomputerstelsel bepaalde afstand 30 aan te drijven. De kabelconnector 36 verschaft een koppeling van alle elektrische signalen tussen de inrichting en een bewakingscomputerstelsel .Referring to Fig. 5, the bottom chamber of the housing 11 contains the electrical components of the device. These components include a printed circuit board 31 on which the other components are mounted, six strain gauge amplifier circuits 32, a motor control circuit 33 and a cable connector. Each of the strain gauge pairs disposed on the upper chamber mechanism is coupled to an amplifier circuit 32 by wires. Amplifier circuit 32 suitably amplifies and conditions the signal received from the strain gauge sensors for transmission to a monitoring computer system. The monitoring computer system may be a general purpose data processing unit with suitable interface units and programmed to respond to input signals. The motor control circuit 33 consists of three integrated circuits for the purpose of driving the motor over a distance 30 determined by a sensor monitoring computer system. The cable connector 36 provides a coupling of all electrical signals between the device and a monitoring computer system.

De werking van de voorkeursuitvoeringsvormen kan op de volgende wijze worden duidelijk gemaakt. Er zijn vijf werkwijzemodes beschikbaar 35 waarin de beschreven uitvinding kan worden gebruikt om een halfgeleider-wafel over te brengen van een opslaghouder zoals een cassette naar een verwerkingsdrager zoals een ontvanger. Deze zijn 1) wafel vastgrijpen, 2) wafel loslaten, 3) wafelrandlocatie, 4) oppervlaklocatie en 5) bot-singsdetectie. In elk van deze werkwijzemodes wordt de data van de rek-40 strooksensoren gebruikt om de functionele betrouwbaarheid van de wafβίο ·2 η :Γ> O Λ 9 i; v ‘ ,:· J -3 4 5 overbrenghandeling te vergroten. De signalen van de rekstroken worden in de door de inrichting 10 omvatte elektronische componenten verwerkt en overgebracht naar de bij de robotarm behorende dataverwerkingsbestu-ringsinrichting (niet getoond). De grove plaatsing van het aantal door 5 de inrichting te behandelen wafels kan in de robotarm worden geprogrammeerd. De robotarm beweegt daarna de houdinrichting 10 naar een positie boven de wafel in de opslagcassette. De middenvinger wordt dan onder besturing van het computerprogramma verlengd. De toetsvormige toppen 20 aangebracht aan de einden van elk van de vingers worden nu relatief 10 dicht bij het oppervlak gepositioneerd maar buiten de rand van de wafel. De robotarm beweegt de inrichting 10 omlaag naar een positie waarin de toetsvormige vingertoppen 20 ruwweg in het vlak van de wafel liggen. Daarna wordt een bestuurde beweging van de robotarm door het sensorbewa-kingscomputerstelsel uitgevoerd en gericht waardoor de inrichting 10 be-15 weegt totdat de buitenste vingers de rand van de wafel met een vooraf bepaalde kracht aanraken. Elke onbalans in krachten gedetecteerd als gevolg van een eerste foutieve plaatsing van de inrichting wordt gedetecteerd waarbij de robotarm zodanig wordt bestuurd dat de positie van de inrichting 10 overeenkomstig wordt gewijzigd. Deze werkwijzemode wordt 20 de wafelrandlocatiehandeling genoemd.The operation of the preferred embodiments can be explained in the following manner. Five method modes are available in which the described invention can be used to transfer a semiconductor wafer from a storage container such as a cassette to a processing carrier such as a receiver. These are 1) grip wafer, 2) release wafer, 3) wafer edge location, 4) surface location, and 5) collision detection. In each of these method modes, the data from the stretch-40 strip sensors is used to determine the functional reliability of the wafβίο · 2 η: Γ> O Λ 9 i; v ",: · J -3 4 5 increase transfer operation. The signals from the strain gauges are processed in the electronic components comprised by the device 10 and transferred to the data processing controller (not shown) associated with the robot arm. The coarse placement of the number of wafers to be treated by the device can be programmed in the robot arm. The robot arm then moves the holding device 10 to a position above the wafer in the storage cassette. The middle finger is then extended under the control of the computer program. The key-shaped tips 20 arranged at the ends of each of the fingers are now positioned relatively close to the surface but outside the edge of the wafer. The robot arm moves the device 10 down to a position where the key-shaped fingertips 20 are roughly in the plane of the wafer. Thereafter, a controlled movement of the robot arm through the sensor monitoring computer system is performed and directed, causing the device 10 to move until the outer fingers touch the edge of the wafer with a predetermined force. Any unbalance in forces detected as a result of a first misplacement of the device is detected with the robot arm being controlled to change the position of the device 10 accordingly. This process mode is called the wafer edge location operation.

De verlengbare vinger wordt dan teruggetrokken en grijpt tenslotte de rand van de wafel. De wafel wordt achtereenvolgens tegen de buitenste vaste vingers gedrukt, waardoor de deformeerbare bladveren 25 doorbuigen. Wanneer de deformatie van de bladveren een vooraf bepaald niveau 25 bereikt, zoals bewaakt door de rekstroken, wordt de motor die de middenvinger aandrijft gestopt. De wafel is nu veilig vastgegrepen. Deze werkwijzemode is het wafelvastgrijpen.The extendable finger is then withdrawn and finally grasps the edge of the wafer. The wafer is successively pressed against the outermost fixed fingers, causing the deformable leaf springs to flex. When the deformation of the leaf springs reaches a predetermined level 25, as monitored by the strain gauges, the motor driving the middle finger is stopped. The wafer is now securely gripped. This process mode is wafer gripping.

De robotarm wordt gebruikt om de wafelbehandelingsinrichting 10 en de wafel in een grove positie te bewegen ten opzichte van het oppervlak 30 van de procesdrager die wordt geladen. Wanneer de procesdragers bijvoorbeeld verticaal zouden zijn, hetgeen het geval is bij sommige typen ontvangers, zou de positie van de inrichting nu ruwweg evenwijdig aan het oppervlak van de drager zijn, maar op enige afstand daar vandaan. De op-pervlaklocatiemode kan nu worden gebruikt om de beweging van de robotarm 35 te coördineren nodig om de wafel zo dicht mogelijk bij of zelfs direct op het oppervlak van de ontvanger uit te lijnen. De rekstrooksensoren op de vingers verschaffen de voor het uitvoeren van deze taak benodigde data. Wanneer alle vingers over dezelfde afstand door het oppervlak zijn gebogen, kan de beweging van de robotarm worden gestopt.The robotic arm is used to move the wafer handling device 10 and the wafer in a coarse position relative to the surface 30 of the process carrier being loaded. For example, if the process carriers were vertical, which is the case with some types of receivers, the position of the device would now be roughly parallel to the surface of the carrier, but some distance from it. The surface location mode can now be used to coordinate the movement of the robot arm 35 necessary to align the wafer as close to or even directly to the surface of the receiver. The strain gauge sensors on the fingers provide the data needed to perform this task. When all fingers are bent through the surface by the same distance, the movement of the robot arm can be stopped.

40 Onder besturing van het programma kan de robotarm de wafelbehande- ««1 - Λ ' 6 lingsinrichting 10 bewegen tot een vooraf bepaalde afstand vanaf het oppervlak om het ontstaan van deeltjes door het schrapen van de wafel langs het oppervlak te vermijden en kan de wafelrandlocatiemode-werkwij-ze worden gebruikt om te zoeken naar welke registratiegeleiders dan ook 5 die uitsteken vanaf het oppervlak van de ontvanger waarop de wafel zal worden gedragen. In deze mode worden de inrichting en de wafel langs het oppervlak bewogen totdat de registratiegeleiders worden aangeraakt.40 Under the control of the program, the robot arm can move the wafer handling device 10 to a predetermined distance from the surface to avoid the formation of particles by scraping the wafer along the surface and the wafer edge location mode method are used to search for any registration guides 5 protruding from the surface of the receiver on which the wafer will be carried. In this mode, the device and wafer are moved along the surface until the registration guides are touched.

Wanneer de wafel eenmaal veilig tegen de registratiegeleiders van de drager ligt en op het oppervlak van de drager rust, kan de wafellos-10 laatmode-werkwijze worden toegepast. In deze mode wordt de middenvinger verlengd terwijl de bewakingscomputer de overblijvende kracht op de bladveren 25 van de buitenste vaste vingers bewaakt. Omdat de wafel nu tussen de vaste vingers van de inrichting en de registratiegeleiders van de dragers zit gedwongen, zal geen significante verandering in de door-15 buiging van deze bladveren mogen optreden wanneer de middenvinger wordt verlengd. Met als gevolg dat het de inrichting niet toegestaan wordt om de wafel in een onveilige positie los te laten.Once the wafer lies securely against the registration guides of the carrier and rests on the surface of the carrier, the wafer release mode method can be used. In this mode, the middle finger is extended while the monitoring computer monitors the residual force on the leaf springs of the outermost fixed fingers. Since the wafer is now forced between the fixed fingers of the device and the registration guides of the carriers, no significant change in the deflection of these leaf springs should occur when the middle finger is extended. As a result, the device is not allowed to release the wafer in an unsafe position.

Vervolgens kan de de wafel worden losgelaten en de robotarm worden gebruikt om de inrichting van de procesdrager weg te bewegen en met zijn 20 volgende ladingscyclus te beginnen. Deze werkwijze kan natuurlijk worden omgekeerd voor het ontladen van de procesdrager. De rekstrooksensoren op alle vingers maken eveneens het tasten van een onverwachte aanraking met voorwerpen of mensen mogelijk. Wanneer een dergelijke aanraking wordt gedetecteerd kan een geschikte reactie aan de robotarm worden doorgege-25 ven. De robotarm kan bijvoorbeeld direct worden gestopt of op een lagere bewegingssnelheid worden gezet totdat bepaald is of de hindernis niet langer aanwezig is.The wafer can then be released and the robot arm used to move the device away from the process carrier and begin its next charge cycle. This method can of course be reversed for discharging the process carrier. The strain gauge sensors on all fingers also allow the touch of an unexpected contact with objects or people. When such a touch is detected, a suitable response can be passed to the robot arm. For example, the robot arm can be stopped immediately or set to a slower movement speed until it is determined whether the obstacle is no longer present.

De bovenstaande beschrijving is opgenomen om de werking van de voorkeursuitvoering te illusteren en is niet bedoeld om de omvang van de 30 uitvinding te beperken. De omvang van de uitvinding wordt alleen beperkt door de volgende conclusies. Het zal voor een deskundige uit de bovenstaande beschrijving duidelijk zijn dat veel variaties in de uitvoering mogelijk zijn die nochthans door de geest en de omvang van de uitvinding worden omvat.The above description is included to illustrate the operation of the preferred embodiment and is not intended to limit the scope of the invention. The scope of the invention is limited only by the following claims. It will be apparent to one skilled in the art from the above description that many variations in the embodiment are possible which, however, are embraced by the spirit and scope of the invention.

35 40 6 i) V j J o 435 40 6 i) V j J o 4

Claims (14)

1. Inrichting voor gebruik met een robotarm voor het behandelen van 5 werkstukken omvattende een behuizing, gekenmerkt door een aantal buiten de behuizing uitstekende delen, koppelmiddelen voor het koppelen van ten minste een van de delen aan de behuizing, waarbij deze koppelmiddelen tastmiddelen voor het bepalen van een op dat deel uitgeoefende kracht omvatten en verlengmiddelen voor het ten opzichte van de inrichting be-10 wegen van ten minste een ander van deze delen.1. Device for use with a robot arm for handling workpieces, comprising a housing, characterized by a number of parts projecting outside the housing, coupling means for coupling at least one of the parts to the housing, these coupling means determining means for determining of a force exerted on that part and extension means for moving at least one of these parts relative to the device. 2. Inrichting volgens conclusie 1, met het kenmerk, dat de inrichting een vooraf bepaalde positie ten opzichte van een werkstuk heeft, waarbij de verlengmiddelen bewerkstelligen dat de delen het werkstuk vastgrijpen.Device according to claim 1, characterized in that the device has a predetermined position relative to a workpiece, the extension means causing the parts to grip the workpiece. 3. Inrichting volgens conclusie 1, met het kenmerk, dat de koppel middelen een deformeerbaar materiaal omvatten en de tastmiddelen een rekstrook omvatten gekoppeld aan het deformeerbare materiaal.Device according to claim 1, characterized in that the coupling means comprise a deformable material and the sensing means comprise a strain gauge coupled to the deformable material. 4. Inrichting volgens conclusie 3, gekenmerkt door een besturings-inrichting, waarbij deze besturingsinrichting de werking van de verleng-20 middelen stopt wanneer parameters van de rekstrook zekere vooraf bepaalde waarden bereiken.Device according to claim 3, characterized by a control device, wherein this control device stops the operation of the extension means when parameters of the strain gauge reach certain predetermined values. 5. Inrichting volgens conclusie 4, gekenmerkt door ten minste een tweede rekstrook gekoppeld met het andere deel, waarbij parameters van deze tweede rekstrook aangeven wanneer een lichaam wordt aangeraakt.The device of claim 4, characterized by at least a second strain gauge coupled to the other portion, parameters of this second strain gauge indicating when a body is touched. 6. Inrichting volgens conclusie 5, gekenmerkt door een robotarm, waarin stuurmiddelen van de robotarm met de tweede rekstrook zijn gekoppeld en deze stuurmiddelen op een vooraf bepaalde wijze reageren op parameters van deze rekstrook.Device as claimed in claim 5, characterized by a robot arm, in which control means of the robot arm are coupled to the second strain gauge and these control means respond in a predetermined manner to parameters of this strain gauge. 7. Inrichting volgens conclusie 6, met-het kenmerk, dat in reactie 30 op de parameters van de tweede rekstrook een werkstuk kan worden gepositioneerd, dat in een vooraf bepaalde positie ten opzichte van een werk-stukdrager is geplaatst.7. Device according to claim 6, characterized in that in response to the parameters of the second strain gauge, a workpiece can be positioned which is placed in a predetermined position relative to a workpiece carrier. 8. Inrichting volgens conclusie 1, met het kenmerk, dat het aantal delen een verlengbare arm omvat en ten minste êên niet-verlengbare arm, 35 dat de koppelmiddelen een deformeerbaar lichaam omvatten waarmee de niet-verlengbare arm aan de behuizing is gekoppeld en de tastmiddelen ten minste één rekstrook omvatten gekoppeld aan het deformeerbaar lichaam, waarbij deze rekstrook elektrisch is gekoppeld aan een bewakings-computer toegepast om een motor die de verlengbare vinger aandrijft te 40 besturen en om de bewegingen van de robotarm te richten. ' . Δ * t Μ V8. Device according to claim 1, characterized in that the number of parts comprises an extendable arm and at least one non-extendable arm, the coupling means comprise a deformable body with which the non-extendable arm is coupled to the housing and the sensing means include at least one strain gauge coupled to the deformable body, said strain gauge electrically coupled to a monitoring computer used to control a motor driving the extensible finger and to direct the movements of the robot arm. ". Δ * t Μ V 9. Inrichting volgens conclusie 8, gekenmerkt door ten minste één aan de verlengbare arm gekoppelde rekstrook, waarbij deze rekstrook een uitgangssignaal verschaft wanneer een kracht op de arm wordt uitgeoefend.Device according to claim 8, characterized by at least one strain gauge coupled to the extendable arm, said strain gauge providing an output signal when a force is applied to the arm. 10. Inrichting volgens conclusie I, gekenmerkt door het toepassen van het aantal delen om een in het algemeen rond vlak materiaal vast te grijpen, waarin het aantal delen meerdere grijpmiddelen omvat, structuurmiddelen gekoppeld met elk van de grijpmiddelen voor het besturen van de aanraking met het materiaal, middelen voor het besturen van de 10 beweging van de grijpmiddelen voor het aanpassen aan materialen met verschillende diameters, en sensomiddelen gekoppeld aan ten minste één van de delen voor het besturen van de bewegingsmiddelen in reactie op een kracht uitgeoefend op het materiaal.Device according to claim I, characterized by using the number of parts to grip a generally round flat material, wherein the number of parts comprises a plurality of gripping means, structural means coupled to each of the gripping means for controlling contact with the material, means for controlling the movement of the gripping means for adapting to materials of different diameters, and sensor means coupled to at least one of the parts for controlling the moving means in response to a force applied to the material. 11. Inrichting volgens conclusie 10, gekenmerkt door tweede sensor- 15 middelen gekoppeld aan ten minste één van de grijpmiddelen voor het detecteren van een kracht die een component loodrecht op het vlak gevormd door de meerdere grijpmiddelen heeft.11. Device according to claim 10, characterized by second sensor means coupled to at least one of the gripping means for detecting a force having a component perpendicular to the plane formed by the plurality of gripping means. 12. Werkwijze voor het met de inrichting volgens conclusie 1 hanteren van een in het algemeen rond vlak werkstuk, gekenmerkt door de stap- 20 pen van: het onder het toepassen van een bestuurde kracht vastgrijpen van het werkstuk door de inrichting, en het bewaken van krachten ondervonden door de inrichting tijdens het loslaten van het werkstuk.Method for handling a generally round flat workpiece with the device according to claim 1, characterized by the steps of: gripping the workpiece through the device under the application of a controlled force, and monitoring forces experienced by the device during workpiece release. 13. Werkwijze voor het hanteren van een werkstuk volgens conclusie 12, gekenmerkt door de stap van het bewaken van krachten indicatief voor 25 een onverwachte botsing.13. Method for handling a workpiece according to claim 12, characterized by the step of monitoring forces indicative of an unexpected collision. 14. Werkwijze voor het hanteren van een werkstuk volgens conclusie 12, gekenmerkt door de stap van het aanpassend besturen van beweegbare delen van de inrichting voor het uitlijnen van het werkstuk ten opzichte van dragers waarin het werkstuk is opgeslagen. 30 35 40 V ' ·, ’ v 0 4A method of handling a workpiece according to claim 12, characterized by the step of adaptively controlling movable parts of the device for aligning the workpiece relative to carriers in which the workpiece is stored. 30 35 40 V ',' v 0 4
NL8600534A 1985-03-07 1986-03-03 DEVICE AND METHOD FOR AUTOMATED WAFFLE PROCESSING. NL8600534A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US70951885A 1985-03-07 1985-03-07
US70951885 1985-03-07

Publications (1)

Publication Number Publication Date
NL8600534A true NL8600534A (en) 1986-10-01

Family

ID=24850195

Family Applications (1)

Application Number Title Priority Date Filing Date
NL8600534A NL8600534A (en) 1985-03-07 1986-03-03 DEVICE AND METHOD FOR AUTOMATED WAFFLE PROCESSING.

Country Status (5)

Country Link
JP (1) JPS61226287A (en)
DE (1) DE3607588A1 (en)
FR (1) FR2578471A1 (en)
GB (1) GB2171978B (en)
NL (1) NL8600534A (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4816116A (en) * 1985-10-24 1989-03-28 Texas Instruments Incorporated Semiconductor wafer transfer method and arm mechanism
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
KR100309932B1 (en) * 1986-04-28 2001-12-15 제임스 엠. 윌리암스 Wafer transport apparatus and method
DE3707672A1 (en) * 1987-03-10 1988-09-22 Sitesa Sa EPITAXY SYSTEM
EP0365589B1 (en) * 1987-05-21 1992-09-23 Hine Design Inc. Method and apparatus for aligning silicon wafers
US5102291A (en) * 1987-05-21 1992-04-07 Hine Design Inc. Method for transporting silicon wafers
US4900214A (en) * 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
DE3837688A1 (en) * 1988-11-05 1990-05-10 Leybold Ag DEVICE FOR MACHINING WORKPIECES, PREFERABLY OF DISC-SHAPED, FLAT SUBSTRATES
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5022695A (en) * 1989-01-30 1991-06-11 Texas Instruments Incorporated Semiconductor slice holder
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
FR2778496B1 (en) 1998-05-05 2002-04-19 Recif Sa METHOD AND DEVICE FOR CHANGING THE POSITION OF A SEMICONDUCTOR PLATE
US6690284B2 (en) 1998-12-31 2004-02-10 Daito Corporation Method of controlling IC handler and control system using the same
JP3407192B2 (en) * 1998-12-31 2003-05-19 株式会社ダイトー Test hand control method and measurement control system
US6393694B2 (en) * 1999-04-23 2002-05-28 Koninklijke Philips Electronics N.V. Gripping device
FR2835337B1 (en) 2002-01-29 2004-08-20 Recif Sa METHOD AND DEVICE FOR IDENTIFYING CHARACTERS REGISTERED ON A SEMICONDUCTOR PLATE HAVING AT LEAST ONE GUIDANCE MARK
DE10304019A1 (en) * 2003-02-01 2004-11-04 Kuka Roboter Gmbh Method for monitoring a machine and such a machine, in particular a robot
US7290813B2 (en) 2004-12-16 2007-11-06 Asyst Technologies, Inc. Active edge grip rest pad
US8657352B2 (en) 2011-04-11 2014-02-25 International Business Machines Corporation Robotic device for substrate transfer applications
US8936293B2 (en) 2011-12-21 2015-01-20 International Business Machines Corporation Robotic device for substrate transfer applications
CN108515527B (en) * 2018-04-18 2020-06-16 东莞理工学院 Manipulator feedback device
US10553472B2 (en) * 2018-06-22 2020-02-04 Jabil Inc. Apparatus, system and method for providing a bernoulli-based semiconductor wafer pre-aligner

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3824674A (en) * 1972-07-19 1974-07-23 Hitachi Ltd Automatic assembly control method and device therefor
EP0076135A3 (en) * 1981-09-28 1984-05-09 Hitachi, Ltd. Apparatus for taking out articles
US4543032A (en) * 1983-03-02 1985-09-24 International Business Machines Corporation Robot manipulator with automatically changeable finger tools
CA1276710C (en) * 1983-11-30 1990-11-20 Kazuo Asakawa Robot force controlling system

Also Published As

Publication number Publication date
GB2171978A (en) 1986-09-10
DE3607588A1 (en) 1986-09-18
JPS61226287A (en) 1986-10-08
GB2171978B (en) 1988-09-01
GB8605580D0 (en) 1986-04-09
FR2578471A1 (en) 1986-09-12

Similar Documents

Publication Publication Date Title
NL8600534A (en) DEVICE AND METHOD FOR AUTOMATED WAFFLE PROCESSING.
US4813732A (en) Apparatus and method for automated wafer handling
US9144908B2 (en) Manipulator device
JP3925020B2 (en) Robot control device
WO2013023130A1 (en) Methods and apparatus to calibrate an orientation between a robot gripper and a camera
EP3066477B1 (en) Confirmed placement of sample tubes in a servo driven automation system using trajectory deviation
CN110636924B (en) Gripping system
CN110271019B (en) Control device and control method for cooperative robot
US11701775B2 (en) Method of setting target force upper limit and robot system
JP6805323B2 (en) Methods and devices for calibrating the orientation between the robot gripper and its components
US7264432B2 (en) Device and method for transferring objects
CN110315558B (en) Control device and control method for cooperative robot
JP4156822B2 (en) Handling device
JPH03202290A (en) Take-up device for articles loaded in bulk
JPH0822515B2 (en) Sensor and control system using the sensor
EP3718709B1 (en) Component moving device
JPS60221281A (en) Gripper for body
JPH03270890A (en) Work grasp control device with visual sensor
CN217777028U (en) Material clamping device and clamping manipulator
JPS63120092A (en) Gripper
JP7153322B2 (en) robot sensor
WO1998043901A1 (en) Robot controlled work area processing method
WO2019171822A1 (en) Control system, and method in control system
KR20150135727A (en) piezo positioning robot gripper
SU1196264A1 (en) Robot sensitized gripping device

Legal Events

Date Code Title Description
A1A A request for search or an international-type search has been filed
BB A search report has been drawn up
BV The patent application has lapsed