KR20240064683A - 패터닝 디바이스 결함 검출 시스템들 및 방법들 - Google Patents

패터닝 디바이스 결함 검출 시스템들 및 방법들 Download PDF

Info

Publication number
KR20240064683A
KR20240064683A KR1020247011704A KR20247011704A KR20240064683A KR 20240064683 A KR20240064683 A KR 20240064683A KR 1020247011704 A KR1020247011704 A KR 1020247011704A KR 20247011704 A KR20247011704 A KR 20247011704A KR 20240064683 A KR20240064683 A KR 20240064683A
Authority
KR
South Korea
Prior art keywords
patterning
defects
parameters
modulating
patterned substrate
Prior art date
Application number
KR1020247011704A
Other languages
English (en)
Inventor
마리-끌레그 반 라레
마르코 잔-자코 빌란트
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20240064683A publication Critical patent/KR20240064683A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70325Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lenses or solid immersion lenses
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70655Non-optical, e.g. atomic force microscope [AFM] or critical dimension scanning electron microscope [CD-SEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

마스크 체크 웨이퍼는 생산 웨이퍼와 상이한 공정을 활용할 수 있으므로, 스캐너의 생산성 감소를 초래하는 더 낮은 퓨필 충전율(PFR)로의 고-콘트라스트 조명 설정이 활용될 수 있다. 생산 웨이퍼에 사용되는 것과 상이한 고-콘트라스트 조명 설정을 선택함으로써, 확률적 결함들에 대한 입자 프린트가능성의 개선된 비가 달성될 수 있다. 조합하여 또는 대신에, 더 높은 도즈 레지스트가 활용될 수 있다. 이는 웨이퍼의 더 긴 노광을 허용하여, 광자 산탄 잡음의 영향이 감소되어 확률적 결함들에 대한 입자 프린트가능성의 개선된 비를 유도하도록 한다. 결과로서, 과도한 양의 확률적 결함들로 이어지지 않고도 입자 프린트가능성이 더 향상될 수 있다. 이로 인해, 하전 입자 검사 및 분석의 사이트 수 및 이에 따른 스루풋이 크게 개선될 수 있다.

Description

패터닝 디바이스 결함 검출 시스템들 및 방법들
본 출원은 2021년 9월 9일에 출원된 EP 출원 21195866.5의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 개시내용은 일반적으로 반도체 제조 공정과 관련된 하전 입자 또는 광학 검사에 의한 패터닝 디바이스 결함 검출을 향상시키는 것에 관한 것이다.
집적 회로(IC)들의 제조 공정들에서, 미완성 또는 완성된 회로 구성요소들은 이들이 디자인에 따라 제조되고 결함이 없을 것을 보장하기 위해 검사된다. 스캐닝 전자 현미경(SEM)과 같은 하전 입자(예를 들어, 전자) 빔 현미경 또는 광학 현미경을 이용하는 검사 시스템들이 채택될 수 있다. IC 구성요소들의 물리적 크기들이 계속해서 축소되고 그 구조들이 계속 더 복잡해짐에 따라, 결함 검출 및 검사의 정확성 및 스루풋이 더 중요해진다. 전반적인 이미지 품질은 무엇보다도 높은 이차-전자 및 후방산란-전자 신호 검출 효율들의 조합에 의존한다. 후방산란 전자들이 더 높은 방출 에너지를 가져 샘플의 더 깊은 층들로부터 빠져나가므로, 이들의 검출은 3D NAND 디바이스들의 매립층, 노드, 고-종횡비 트렌치 또는 홀과 같은 복잡한 구조들의 이미징을 위해 바람직할 수 있다. 오버레이 메트롤로지와 같은 적용예들에 대해서는, 후방산란 전자들로부터의 매립층 정보 및 이차 전자들로부터의 표면 정보의 효율적인 수집 및 고품질 이미징을 동시에 얻는 것이 바람직할 수 있으며, 이는 SEM에서 다수 전자 검출기들을 사용할 필요성을 강조한다. 다양한 구조적 배열들의 다수 전자 검출기들이 사용되어 이차 및 후방산란 전자들의 수집 및 검출 효율들을 개별적으로 최대화할 수 있지만, 조합된 검출 효율들은 낮게 유지되므로, 달성되는 이미지 품질이 2-차원 및 3-차원 구조체들의 고정확성 및 고스루풋 결함 검사 및 메트롤로지에 부적당할 수 있다.
마스크가 웨이퍼를 노광하는 데 사용되며, 웨이퍼는 (웨이퍼 상에 반복적으로 프린트되는 결함으로 인해) 마스크 상의 결함의 위치를 찾기 위해 검사된다. 일부 마스크 결함들은 신뢰성 있게 프린트되지 않는다. 예를 들어, 60 nm 입자가 시간 중 약 10 %만 프린트될 수 있어, 결함이 있는 마스크로 노광된 웨이퍼를 검사함으로써 이러한 마스크 결함을 검출하는 신뢰성 및 스루풋이 감소할 수 있다. 결과로서, 많은 동일한 위치들이 검사되어야 하므로, 감소된 스루풋을 유도한다.
일 실시예에 따르면, 패터닝 디바이스 내의 결함을 검출하는 방법이 제공된다. 상기 방법은 하전 입자 검사 시스템으로 패터닝된 기판을 검사하는 단계를 포함한다. 패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 중에 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성된다. 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시킨다. 상기 방법은 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하고, 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 단계를 포함한다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양(quantity)의 균형을 맞추는 것이다. 패터닝 파라미터들을 변조하는 것은 패터닝된 기판(예를 들어, 마스크 체크 웨이퍼)의 패터닝 생산성과 무관하게 수행된다.
일부 실시예들에서, 상기 방법은 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 패터닝된 기판에 걸쳐 어떤 결함이 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 단계를 포함한다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함한다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함한다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함한다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함한다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(pupil fill ratio: PFR)을 변동시키는 것을 포함한다.
일부 실시예들에서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 한다.
일부 실시예들에서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정이다.
일부 실시예들에서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)이다.
일부 실시예들에서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함한다.
일부 실시예들에서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함(stochastic defect)들을 포함한다.
일부 실시예들에서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성된다.
일부 실시예들에서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함한다.
일부 실시예들에서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성된다.
또 다른 실시예에 따르면, 패터닝 디바이스 내의 결함을 검출하는 방법이 제공된다. 상기 방법은 검사 시스템으로 패터닝된 기판을 검사하는 단계를 포함한다. 패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성된다. 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시킨다. 상기 방법은 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하는 단계를 포함한다. 상기 방법은 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 단계를 포함한다.
또 다른 실시예에 따르면, 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝을 향상시키는 방법이 제공된다. 상기 방법은 정규 생산 패터닝 공정의 패터닝 파라미터들을 변조하는 단계를 포함한다. 패터닝 파라미터들을 변조하는 단계는 정규 생산 패터닝 공정에서의 결함의 패터닝가능성과 비교하여 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킨다. 상기 방법은 변조된 패터닝 파라미터들을 사용하여 기판 상으로 패터닝 디바이스 내의 결함을 패터닝하는 단계를 포함한다. 변조된 패터닝 파라미터들로 패터닝한 후, 및 변조된 패터닝 파라미터들과 연계된 패터닝된 결함들의 양과 균형을 맞춘 결함의 향상된 패터닝가능성으로 인해, 기판은 하전 입자 검사 시스템으로의 검사를 위해 구성된다.
또 다른 실시예에 따르면, 반도체 제조 공정에서 사용되는 마스크 내의 결함을 검출하는 방법이 제공된다. 검출은 패터닝된 기판(예를 들어, 마스크 체크 웨이퍼)의 패터닝 생산성과 무관하게 결함이 향상된 비-생산 패터닝된 반도체 웨이퍼에서 수행된다. 상기 방법은 하전 입자 검사 시스템으로 패터닝된 반도체 웨이퍼를 검사하는 단계를 포함한다. 패터닝된 반도체 웨이퍼는 마스크를 사용하여, 및 정규 반도체 제조 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성된다. 상기 방법은 패터닝 파라미터들을 변조하여 패터닝된 반도체 웨이퍼 상으로의 마스크 내의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 반도체 웨이퍼 내의 패터닝된 결함들의 양의 균형을 맞추는 단계를 포함한다. 상기 방법은 마스크 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 반도체 웨이퍼 내의 결함을 검출하는 단계를 포함한다. 상기 방법은 패터닝된 반도체 웨이퍼 내의 결함에 기초하여 마스크 내의 결함을 검출하는 단계를 포함한다.
다른 실시예들에 따르면, 앞서 설명된 작업들 중 1 이상을 수행하도록 구성되는(또는 1 이상의 프로세서가 수행하게 하는) 대응하는 시스템들 또는 기계 판독가능한 명령어들을 저장한 컴퓨터 판독가능한 매체가 제공된다.
본 발명의 실시예들의 다른 장점들은 삽화 및 예시의 방식으로 소정 예시적인 실시예들을 설명하는 첨부된 도면들과 함께 취해진 다음의 설명으로부터 명백해질 것이다.
본 명세서에 통합되고 그 일부를 구성하는 첨부된 도면들은 1 이상의 실시예를 예시하고, 설명과 함께 이 실시예들을 설명한다. 이제, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 실시예들을 설명할 것이다:
도 1은 본 발명의 실시예들에 따른, 리소그래피 투영 장치의 개략적인 다이어그램;
도 2는 본 발명의 실시예들에 따른, 리소그래피 셀의 개략적인 개요도;
도 3은 본 발명의 실시예들에 따른, 반도체 제조를 최적화하기 위한 3 가지 기술들 간의 협력을 나타내는 전체론적(holistic) 리소그래피의 개략적인 표현을 도시하는 도면;
도 4는 본 발명의 실시예들에 따른, 하전 입자(예를 들어, 전자 빔) 검사 장치의 일 실시예를 개략적으로 도시하는 도면;
도 5는 본 발명의 실시예들에 따른, 검사 장치의 또 다른 실시예를 개략적으로 나타내는 도면;
도 6은 본 발명의 실시예들에 따른, 패터닝 디바이스 내의 결함을 검출하는 방법을 예시하는 도면;
도 7은 본 발명의 실시예들에 따른, 확률적 결함이 패터닝되는 동안, 기판 상에 패터닝되지 않는 패터닝 디바이스 결함들의 일반화된 예시를 나타내는 도면;
도 8은 본 발명의 실시예들에 따른, 입자 크기에 대한 결함 프린트가능성을 일반적으로 나타내는 도면;
도 9a는 본 발명의 실시예들에 따른, 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝을 향상시키는 방법을 예시하는 도면;
도 9b는 본 발명의 실시예들에 따른, 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝을 향상시키는 또 다른 방법을 예시하는 도면;
도 10은 본 발명의 실시예들에 따른, 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 11은 본 발명의 실시예들에 따른, 또 다른 리소그래피 투영 장치의 개략적인 다이어그램;
도 12는 본 발명의 실시예들에 따른, 리소그래피 투영 장치(예를 들어, 도 1 또는 도 11에 나타낸 리소그래피 투영 장치)의 구성요소들의 더 상세한 도면; 및
도 13은 본 발명의 실시예들에 따른, 리소그래피 투영 장치의 소스 컬렉터 모듈의 상세한 도면이다.
전자 디바이스들은 기판 또는 웨이퍼라고 하는 실리콘의 한 부분(piece)에 형성되는 회로들로 구성된다. 많은 회로들이 실리콘의 동일한 부분에 함께 반복 패턴의 피처들로서 형성될 수 있으며, 집적 회로 또는 IC라고 한다. 이러한 회로들의 크기는 더 많은 회로들이 기판 상에 피팅될 수 있도록 극적으로 감소하였다. 예를 들어, 스마트 폰의 IC 칩은 엄지손톱만큼 작을 수 있고, 20 억 개가 넘는 트랜지스터들을 포함할 수 있으며, 각각의 트랜지스터의 크기는 사람 머리카락 크기의 1/1000 미만이다.
이러한 극히 작은 IC를 만드는 것은 복잡하고, 시간-소모적이며, 비용이 많이 드는 공정이고, 흔히 수백 개의 개별 단계들을 수반한다. 심지어 한 단계에서의 오차들도 완성된 IC에서 결함을 유도하여 이를 쓸모없게 만들 잠재력이 있다. 따라서, 제조 공정의 한 가지 목표는 이러한 결함들을 회피하여 공정에서 만들어진 기능 IC들의 수를 최대화하는 것, 즉 공정의 전체 수율을 개선하는 것이다.
수율을 개선하는 한 가지 구성요소는 칩 제조 공정을 모니터링하여 이것이 충분한 수의 기능적 집적 회로들을 생성할 것을 보장하는 것이다. 공정을 모니터링하는 한 가지 방식은 그 형성의 다양한 스테이지들에서 칩 회로 구조체들을 검사하는 것이다. 스캐닝 전자 현미경(SEM)을 사용하여 검사가 수행될 수 있다. SEM은 이러한 극히 작은 구조체들을 이미징하는 데 사용되어, 실제로 구조체들의 "사진"을 찍을 수 있다. 이미지는 구조체가 적절하게 형성되었는지, 및 그것이 적절한 위치에 형성되었는지를 결정하는 데 사용될 수 있다. 구조체에 결함이 있는 경우, 공정은 결함이 다시 발생할 가능성이 적도록 조정될 수 있다. 공정을 모니터링하는 두 번째 방식은 리소그래피 공정 동안 집적 회로를 노광하는 데 사용되는 마스크를 검사하여 마스크 결함들을 찾는 것이다. 때로는, 마스크 체크 웨이퍼(예를 들어, 검사에 사용되는 별도의 비-생산 웨이퍼)가 예를 들어 마스크 결함에 의해 야기되는 웨이퍼 상의 반복 결함들을 찾음으로써 마스크를 검사하는 데 사용된다.
마스크 체크 웨이퍼는 (정규 생산 패터닝 공정을 사용하는) 생산 웨이퍼와는 상이한 패터닝 공정을 이용할 수 있다. 이로 인해, 패터닝 시스템의 생산성 감소로 이어지는 상이한 패터닝 공정 파라미터들이 활용될 수 있다. 상이한 패터닝 파라미터의 일 예시는 더 낮은 퓨필 충전율(PFR)(예를 들어, 20 % 미만)로의 (웨이퍼 상에 칩 회로를 패터닝하는 데 사용되는 광에 대해) 고-콘트라스트 조명 설정을 포함한다. 생산 웨이퍼에 사용되는 콘트라스트 설정과 상이한 고-콘트라스트 조명 설정을 선택함으로써, 확률적 결함들에 대한 패터닝 디바이스 결함(예를 들어, 마스크 상의 입자) 프린트가능성의 개선된 비가 달성될 수 있다. 확률적 결함들은 패터닝 공정의 파라미터들(예를 들어, 고-콘트라스트 조명 또는 다른 파라미터들)에 의해 야기되는 결함들이다. 조합하여 또는 대신에, 더 높은 도즈 레지스트가 이용될 수 있다. 이는 기판의 더 긴 노광을 허용하여, 광자 산탄 잡음 또는 다른 변수들의 영향이 감소되어 확률적 결함들에 대한 결함 프린트가능성의 개선된 비를 유도하도록 한다. 결과로서, 과도한 양의 확률적 결함들로 이어지지 않고도 최적 에너지/최적 포커스 이외의 조건들을 사용함으로써 결함 프린트가능성이 향상될 수 있다. 이로 인해, 하전 입자 검사 및 분석의 사이트 수 및 이에 따른 스루풋이 크게 개선될 수 있다.
당업자가 본 발명을 실시할 수 있게 하도록 본 발명의 실례가 되는 예시들로서 제공되는 도면들을 참조하여, 본 발명의 실시예들이 상세하게 설명된다. 아래의 숫자들 및 예시들은 단일 실시예로 본 발명의 범위를 제한하려는 것이 아니며, 설명되거나 예시된 요소들 중 일부 또는 전부의 상호교환에 의해 다른 실시예들이 가능하다. 또한, 본 발명의 소정 요소들이 알려진 구성요소들을 사용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 본 발명의 이해에 필요한 이러한 알려진 구성요소들의 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 상세한 설명은 생략되어 본 발명을 모호하게 하지 않을 것이다. 본 명세서에서 달리 명시되지 않는 한, 당업자라면 이해하는 바와 같이, 소프트웨어에서 구현되는 것으로 설명되는 실시예들은 이에 제한되지 않아야 하며, 하드웨어 또는 소프트웨어와 하드웨어의 조합들에서 구현되는 실시예들을 포함할 수 있고, 그 역도 마찬가지이다. 본 명세서에서, 단일 구성요소를 나타내는 실시예는 제한적인 것으로 간주되어서는 안 되며; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않는 한, 본 발명은 복수의 동일한 구성요소들을 포함하는 다른 실시예들을 포괄하도록 의도되고, 그 역도 마찬가지이다. 더욱이, 출원인들은 명세서 또는 청구항들의 여하한의 용어가 명시적으로 언급되지 않는 한, 일반적이지 않거나 특별한 의미를 갖는 것을 의도하지 않는다. 본 발명은 예시에 의해 본 명세서에서 언급되는 알려진 구성요소들에 대한 현재 알려진 및 미래 알려질 균등물들을 포괄한다.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이(LCD) 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 약 5 내지 100 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 타입들의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 (예를 들어, 반도체) 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 또는 투영하는 광학 구성요소들, 또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
(예를 들어, 반도체) 패터닝 디바이스는 1 이상의 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙들은 특정 파라미터들, 파라미터들에 대한 범위들의 한계들, 또는 다른 정보를 포함하거나 지정할 수 있다. 디자인 규칙 제한들 또는 파라미터들 중 1 이상은 "임계 치수"(CD)라고 칭해질 수 있다. 디바이스의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 또는 다른 피처들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 디바이스의 전체 크기 및 밀도를 결정한다. 디바이스 제작의 목표들 중 하나는 원래 디자인 의도를 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 반도체 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 다른 이러한 패터닝 디바이스의 예시들로 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 어레이를 포함한다.
프로그램가능한 거울 어레이의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)일 수 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선(diffracted radiation)으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로, 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 프로그램가능한 LCD 어레이의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
본 명세서에서 사용되는 바와 같이, "패터닝 공정"이라는 용어는 일반적으로 리소그래피 공정의 일부로서 지정된 광 패턴들의 적용에 의해 에칭된 기판을 생성하는 공정을 의미한다. 하지만, "패터닝 공정"은 (예를 들어, 플라즈마) 에칭을 포함할 수도 있으며, 이는 본 명세서에서 설명되는 피처들의 대다수가 에칭(예를 들어, 플라즈마) 처리를 사용하여 프린트된 패턴들을 형성하는 데 이점을 제공할 수 있기 때문이다.
본 명세서에서 사용되는 바와 같이, "패턴"이라는 용어는 기판(예컨대, 웨이퍼) 상에 에칭되어야 하는 이상적인 패턴을 의미한다.
본 명세서에서 사용되는 바와 같이, "프린트된 패턴"은 타겟 패턴에 기초하여 에칭된 기판 상의 물리적 패턴을 의미한다. 프린트된 패턴은, 예를 들어 리소그래피 공정으로부터 발생하는 트로프(trough), 채널, 오목부(depression), 에지, 또는 다른 2-차원 및 3-차원 피처들을 포함할 수 있다.
본 명세서에서 사용되는 바와 같이, (교환가능하게 사용될 수 있는) "예측 모델", "공정 모델", "전자 모델", 또는 "시뮬레이션 모델"이라는 용어는 패터닝 공정을 시뮬레이션하는 1 이상의 모델을 포함하는 모델을 의미한다. 예를 들어, 모델은 (예를 들어, 리소그래피 공정에서 광을 전달하는 데 사용되는 렌즈 시스템/투영 시스템을 모델링하고, 포토레지스트에 들어가는 광의 최종 광학 이미지를 모델링하는 것을 포함할 수 있는) 광학 모델, (예를 들어, 광으로 인한 화학적 효과들과 같은 레지스트의 물리적 효과들을 모델링하는) 레지스트 모델, [예를 들어, 타겟 패턴들을 만드는 데 사용될 수 있고, 분해능-이하 레지스트 피처(sub-resolution resist feature: SRAF) 등을 포함할 수 있는] 광 근접 보정(optical proximity correction: OPC) 모델, (예를 들어, 프린트된 웨이퍼 패턴에 대한 에칭 공정의 물리적 효과들을 시뮬레이션하는) 에칭[또는 에칭 편향(etch bias)] 모델, 또는 다른 모델들을 포함할 수 있다.
본 명세서에서 사용되는 바와 같이, "캘리브레이션"이라는 용어는 모델과 같은 무언가를 수정(예컨대, 개선 또는 조정)하거나 검정하는 것을 의미한다.
패터닝 시스템은 앞서 설명된 구성요소들 중 일부 또는 전부를 포함할 뿐 아니라, 이 구성요소들과 관련된 작동들 중 일부 또는 전부를 수행하도록 구성되는 다른 구성요소들도 포함하는 시스템일 수 있다. 패터닝 시스템은, 예를 들어 리소그래피 투영 장치, 스캐너, 레지스트를 도포하거나 제거하도록 구성되는 시스템들, 에칭 시스템들, 또는 다른 시스템들을 포함할 수 있다.
도입부로서, 도 1은 일 실시예에 따른 리소그래피 투영 장치의 개략적인 다이어그램이다. 리소그래피 투영 장치는 조명 시스템(IL), 제 1 대상물 테이블(T), 제 2 대상물 테이블(WT), 및 투영 시스템(PS)을 포함할 수 있다. 조명 시스템(IL)은 방사선 빔(B)을 컨디셔닝(condition)할 수 있다. 이 예시에서, 조명 시스템은 또한 방사선 소스(SO)를 포함한다. 제 1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(T)은 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하도록 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대해 패터닝 디바이스를 정확히 위치시키도록 제 1 위치설정기에 연결될 수 있다. 제 2 대상물 테이블(예를 들어, 기판 테이블)(WT)은 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하도록 기판 홀더가 제공되고, 아이템(PS)에 대해 기판을 정확히 위치시키도록 제 2 위치설정기에 연결될 수 있다. (예를 들어, 렌즈를 포함하는) 투영 시스템(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]은 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사(irradiate)된 부분을 이미징할 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 예를 들어 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 바와 같이, 상기 장치는 투과형으로 구성될 수 있다(즉, 투과 패터닝 디바이스를 가짐). 하지만, 일반적으로, 이는 예를 들어 (반사 패터닝 디바이스를 갖는) 반사형으로 구성될 수도 있다. 상기 장치는 전형적인 마스크와 상이한 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스]는 방사선 빔을 생성한다. 예를 들어, 이 빔은 곧바로 또는 빔 익스팬더(beam expander)와 같은 컨디셔닝 수단 또는 (지향 거울들, 빔 익스팬더 등을 포함하는) 빔 전달 시스템(BD)을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
일부 실시예들에서, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있다. 예를 들어, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울들의 도움으로) 장치 내부로 들어올 수 있다. 이 후자의 시나리오는, 예를 들어 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우일 수 있다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(T) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과할 수 있다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과할 수 있으며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커싱한다. 제 2 위치설정 수단[및 간섭 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 테이블들(T, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 수 있다. 하지만, [스텝-앤드-스캔(step-and-scan) 툴과는 대조적으로] 스테퍼의 경우, 패터닝 디바이스 테이블(T)은 고정되거나, 단-행정 액추에이터에만 연결될 수 있다.
도시된 툴은 두 가지 상이한 모드, 스텝 모드 및 스캔 모드로 사용될 수 있다. 스텝 모드에서, 패터닝 디바이스 테이블(T)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 기판 테이블(WT)은 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 x 또는 y 방향으로 시프트될 수 있다. 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(T)은 v의 속도로 주어진 방향(예를 들어, "스캔 방향", 또는 "y" 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다. 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈의 배율이다(통상적으로, M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 2는 리소그래피 셀(LC)의 개략적인 개요도를 도시한다. 도 2에 나타낸 바와 같이, [도 1에 나타내고, 도 2에 리소그래피 장치(LA)로서 예시된] 리소그래피 투영 장치는 때때로 리소셀(lithocell) 또는 (리소)클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있으며, 이는 흔히 기판(W) 상에 노광-전(pre-exposure) 및 노광-후(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키도록 구성되는 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 디벨로퍼(developer: DE), 칠 플레이트(chill plate: CH) 및, 예를 들어 기판(W)의 온도를 컨디셔닝하는, 예를 들어 레지스트 층들에서 용매를 컨디셔닝하는 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판(W)들을 집어올리고, 이들을 상이한 공정 장치 사이에서 이동시키며, 리소그래피 장치(LA)의 로딩 베이(loading bay: LB)로 기판(W)들을 전달한다. 흔히 집합적으로 트랙이라고도 하는 리소셀 내의 디바이스들은 통상적으로, 예를 들어 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치(LA)를 제어할 수도 있는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어될 수 있는 트랙 제어 유닛(TCU)의 제어를 받는다.
리소그래피 장치(LA)에 의해 노광되는 기판들(W)(도 1)이 올바르고 일관성있게(consistently) 노광되기 위해서는, 피처 에지 배치, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 패터닝된 구조체들의 속성들을 측정하도록 기판들을 검사하는 것이 바람직하다. 이를 위해, 리소셀(LC)에 검사 툴들(도시되지 않음)이 포함될 수 있다. 오차가 검출되는 경우, 특히 검사가 동일한 뱃치(batch) 또는 로트(lot)의 다른 기판(W)들이 노광 또는 처리되기 전에 행해진다면, 예를 들어 후속한 기판들의 노광에 대해 또는 기판(W)들에서 수행되어야 하는 다른 처리 단계들에 대해 조정이 수행될 수 있다.
메트롤로지 장치라고도 칭해질 수 있는 검사 장치가 기판(W)(도 1)의 속성들을 결정하는 데 사용되며, 특히 상이한 기판(W)들의 속성들이 어떻게 변하는지 또는 동일한 기판(W)의 상이한 층들과 연계된 속성들이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함들을 식별하도록 구성될 수 있으며, 예를 들어 리소셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 또는 심지어 독립형 디바이스(stand-alone device)일 수 있다. 검사 장치는 잠상(latent image)(노광 후 레지스트 층 내의 이미지), 반(semi)-잠상[노광-후 베이크 단계(PEB) 후 레지스트 층 내의 이미지], 현상된 레지스트 이미지(이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분은 제거되었음), (에칭과 같은 패턴 전사 단계 후) 에칭된 이미지에 대해 실제 기판(예를 들어, 웨이퍼 패턴의 하전 입자 - SEM - 이미지) 또는 실제 기판의 이미지를 사용하여, 또는 다른 방식으로 속성들을 측정할 수 있다.
도 3은 반도체 제조를 최적화하기 위한 3 가지 기술들 간의 협력을 나타내는 전체론적 리소그래피의 개략적인 표현을 도시한다. 전형적으로, 리소그래피 장치(LA)에서의 패터닝 공정은 기판(W)(도 1) 상의 구조체들의 높은 치수 및 배치 정확성을 필요로 하는 처리에서 가장 중요한 단계들 중 하나이다. 이 높은 정확성을 보장하기 위해, (이 예시에서는) 3 개의 시스템들이 도 3에 개략적으로 도시된 바와 같이 소위 "전체론적" 제어 환경에서 조합될 수 있다. 이 시스템들 중 하나는 메트롤로지 장치(예를 들어, 메트롤로지 툴)(MT)(제 2 시스템) 및 컴퓨터 시스템(CL)(제 3 시스템)에 (가상으로) 연결되는 리소그래피 장치(LA)이다. "전체론적" 환경은 이 3 개의 시스템들 간의 협력을 최적화하여 전체 공정 윈도우를 향상시키고 리소그래피 장치(LA)에 의해 수행된 패터닝이 공정 윈도우 내에 유지될 것을 보장하기 위해 엄격한 제어 루프를 제공하도록 구성될 수 있다. 공정 윈도우는 특정 제조 공정이 정의된 결과(예를 들어, 기능적 반도체 디바이스)를 산출하는 공정 파라미터들(예를 들어, 도즈, 포커스, 오버레이)의 범위를 정의한다 - 전형적으로, 이 안에서 리소그래피 공정 또는 패터닝 공정의 공정 파라미터들이 변동하게 된다.
컴퓨터 시스템(CL)은 패터닝될 디자인 레이아웃(의 일부)을 사용하여, 어느 분해능 향상 기술이 사용될지를 예측하고, 전산(computational) 리소그래피 시뮬레이션들 및 계산들을 수행하여 어느 마스크 레이아웃 및 리소그래피 장치 설정들이 패터닝 공정의 가장 큰 전체 공정 윈도우를 달성하는지를 결정할 수 있다[도 3에서 제 1 스케일(SC1)의 양방향 화살표로 도시됨]. 전형적으로, 분해능 향상 기술들은 리소그래피 장치(LA)의 패터닝 가능성들과 매칭하도록 배치된다. 또한, 컴퓨터 시스템(CL)은 공정 윈도우 내에서 [예를 들어, 메트롤로지 툴(MT)로부터의 입력을 사용하여] 리소그래피 장치(LA)가 현재 작동하고 있는 곳을 검출하여, 예를 들어 차선의 처리로 인해 결함들이 존재할 수 있는지를 예측하는 데 사용될 수 있다[도 3에서 제 2 스케일(SC2)의 "0"을 가리키는 화살표로 도시됨].
메트롤로지 장치(툴)(MT)는 정확한 시뮬레이션 및 예측을 가능하게 하기 위해 컴퓨터 시스템(CL)에 입력을 제공할 수 있고, 예를 들어 리소그래피 장치(LA)의 캘리브레이션 상태에서 가능한 드리프트를 식별하기 위해 리소그래피 장치(LA)에 피드백을 제공할 수 있다[도 3에서 제 3 스케일(SC3)의 다수 화살표들로 도시됨].
리소그래피 공정들에서는, 예를 들어 공정 제어 및 검증(verification)을 위해, 생성되는 구조체들의 빈번한 측정들을 수행하는 것이 바람직하다. 이러한 측정을 수행하는 툴들은 메트롤로지 툴(장치)(MT)을 포함한다. 이러한 측정들을 수행하는 상이한 타입들의 메트롤로지 툴들(MT)이 알려져 있으며, 스캐닝 전자 현미경(SEM) 또는 다양한 형태의 스케터로미터 메트롤로지 툴들(MT)을 포함한다. 일부 실시예들에서, 메트롤로지 툴들(MT)은 SEM이거나 이를 포함한다.
일부 실시예들에서, 메트롤로지 툴(MT)은 분광 스케터로미터(spectroscopic scatterometer), 엘립소메트릭 스케터로미터(ellipsometric scatterometer), 또는 다른 광 기반 툴들이거나 이를 포함한다. 분광 스케터로미터는, 방사선 소스에 의해 방출된 방사선이 기판의 타겟 피처들 상으로 지향되고, 타겟으로부터 반사 또는 산란된 방사선이 정반사된 방사선(specular reflected radiation)의 스펙트럼(즉, 파장의 함수로서 세기의 측정)을 측정하는 분광계 검출기(spectrometer detector)로 지향되도록 구성될 수 있다. 이 데이터로부터, 검출된 스펙트럼에 의해 생성되는 타겟의 프로파일 또는 구조체는, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 시뮬레이션된 스펙트럼들의 라이브러리와의 비교에 의해 재구성될 수 있다. 엘립소메트릭 스케터로미터는 각각의 편광 상태들에 대해 산란된 방사선을 측정함으로써 리소그래피 공정의 파라미터들의 결정을 허용한다. 이러한 메트롤로지 툴(MT)은, 예를 들어 메트롤로지 장치의 조명 섹션에서 적절한 편광 필터들을 사용함으로써 편광된 광(예를 들어, 선형, 원형 또는 타원형)을 방출한다. 메트롤로지 장치에 적절한 소스가 편광된 방사선도 제공할 수 있다.
앞서 설명된 바와 같이, 제작된 디바이스들(예컨대, 패터닝된 기판들)은 제조 동안 다양한 지점들에서 검사될 수 있다. 도 4는 하전 입자(전자 빔) 검사 장치(50)의 일반화된 실시예를 개략적으로 도시한다. 일부 실시예들에서, 검사 장치(50)는 기판 상에 노광되거나 전사되는 구조체(예를 들어, 집적 회로와 같은 디바이스의 구조체의 일부 또는 전부)의 이미지를 산출하는 [예를 들어, 스캐닝 전자 현미경(SEM)과 동일하거나 유사한] 전자 빔 또는 다른 하전 입자 검사 장치일 수 있다. 전자 소스(54)로부터 방출되는 일차 전자 빔(52)이 집속 렌즈(56)에 의해 수렴된 후, 빔 디플렉터(58), E x B 디플렉터(60), 및 대물 렌즈(62)를 통과하여 포커스에서 기판 테이블(ST) 상의 기판(70)을 조사한다.
기판(70)이 전자 빔(52)으로 조사될 때, 기판(70)으로부터 이차 전자들이 생성된다. 이차 전자들은 E x B 디플렉터(60)에 의해 편향되고 이차 전자 검출기(72)에 의해 검출된다. 예를 들어, X 또는 Y 방향 중 다른 방향에서의 기판 테이블(ST)에 의한 기판(70)의 연속적인 이동과 함께, X 또는 Y 방향에서의 빔 디플렉터(58)에 의한 전자 빔(52)의 반복적인 스캐닝 또는 빔 디플렉터(58)에 의한 전자 빔의 2-차원 스캐닝과 동기화하여 샘플로부터 생성되는 전자들을 검출함으로써 2-차원 전자 빔 이미지가 얻어질 수 있다. 따라서, 일부 실시예들에서, 전자 빔 검사 장치는 전자 빔 검사 장치에 의해 전자 빔이 제공될 수 있는 각도 범위[예를 들어, 디플렉터(60)가 전자 빔(52)을 제공할 수 있는 각도 범위]에 의해 정의되는 전자 빔에 대한 시야(field of view)를 갖는다. 따라서, 시야의 공간 크기는 전자 빔의 각도 범위가 표면에 충돌할 수 있는 공간 크기이다(여기서, 표면은 고정될 수 있거나, 필드에 대해 이동할 수 있음).
도 4에 나타낸 바와 같이, 이차 전자 검출기(72)에 의해 검출되는 신호는 아날로그/디지털(A/D) 컨버터(74)에 의해 디지털 신호로 변환될 수 있고, 디지털 신호는 이미지 처리 시스템(76)으로 전송될 수 있다. 일부 실시예들에서, 이미지 처리 시스템(76)은 처리 유닛(80)에 의한 처리를 위해 디지털 이미지들의 전부 또는 일부를 저장하는 메모리(78)를 가질 수 있다. 처리 유닛(80)(예를 들어, 특별히 디자인된 하드웨어 또는 하드웨어와 소프트웨어의 조합 또는 소프트웨어를 포함한 컴퓨터 판독가능한 매체)은 디지털 이미지들을 디지털 이미지들을 나타내는 데이터세트들로 변환하거나 처리하도록 구성된다. 일부 실시예들에서, 처리 유닛(80)은 본 명세서에 설명된 작업(예를 들어, SEM 검사)의 실행을 야기하도록 구성되거나 프로그램된다. 또한, 이미지 처리 시스템(76)은 참조 데이터베이스에 디지털 이미지들 및 대응하는 데이터세트들을 저장하도록 구성되는 저장 매체(82)를 가질 수 있다. 디스플레이 디바이스(84)가 이미지 처리 시스템(76)과 연결되어, 운영자가 그래픽 사용자 인터페이스의 도움으로 장비의 필요한 작동을 수행할 수 있도록 할 수 있다.
도 5는 하전 입자 검사 장치의 또 다른 실시예를 개략적으로 나타낸다. 상기 장치는 샘플 스테이지(89)에서 (패터닝된 기판과 같은) 샘플(90)을 검사하는 데 사용되며, 하전 입자 빔 생성기(81), 집속 렌즈 모듈(99), 프로브 형성 대물 렌즈 모듈(83), 하전 입자 빔 편향 모듈(88), 이차 하전 입자 검출기 모듈(85), 이미지 형성 모듈(86), 또는 다른 구성요소들을 포함한다. 하전 입자 빔 생성기(81)는 일차 하전 입자 빔(91)을 생성한다. 집속 렌즈 모듈(99)은 생성된 일차 하전 입자 빔(91)을 집속한다. 프로브 형성 대물 렌즈 모듈(83)은 집속된 일차 하전 입자 빔을 하전 입자 빔 프로브(92)로 포커싱한다. 하전 입자 빔 편향 모듈(88)은 형성된 하전 입자 빔 프로브(92)를 샘플 스테이지(89)에 고정된 샘플(90) 상의 관심 영역의 표면에 걸쳐 스캐닝한다. 일부 실시예들에서, 하전 입자 빔 생성기(81), 집속 렌즈 모듈(99) 및 프로브 형성 대물 렌즈 모듈(83), 또는 이들의 동등한 디자인들, 대안예들 또는 여하한의 그 조합은 함께 스캐닝 하전 입자 빔 프로브(92)를 생성하는 하전 입자 빔 프로브 생성기를 형성한다.
이차 하전 입자 검출기 모듈(85)은 하전 입자 빔 프로브(92)에 의해 충격을 받을 때 (아마도 샘플 표면으로부터의 다른 반사되거나 산란된 하전 입자들과 함께) 샘플 표면으로부터 방출되는 이차 하전 입자들(93)을 검출하여, 이차 하전 입자 검출 신호(94)를 발생시킨다. 이미지 형성 모듈(86)(예를 들어, 컴퓨팅 디바이스)은 이차 하전 입자 검출기 모듈(85)과 커플링되어, 이차 하전 입자 검출기 모듈(85)로부터 이차 하전 입자 검출 신호(94)를 수신하고, 이에 따라 적어도 하나의 스캔 이미지를 형성한다. 일부 실시예들에서, 이차 하전 입자 검출기 모듈(85) 및 이미지 형성 모듈(86), 또는 이들의 동등한 디자인들, 대안예들 또는 여하한의 그 조합은 함께 하전 입자 빔 프로브(92)에 의해 충격을 받는 샘플(90)로부터 방출된 검출된 이차 하전 입자들로부터 스캔 이미지를 형성하는 이미지 형성 장치를 형성한다.
일부 실시예들에서, 모니터링 모듈(87)이 이미지 형성 장치의 이미지 형성 모듈(86)에 커플링되어, 이미지 형성 모듈(86)로부터 수신되는 샘플(90)의 스캔 이미지를 사용하여 패터닝 공정의 모니터링, 제어 등을 수행하고, 또는 패터닝 공정 디자인, 제어, 모니터링 등을 위한 파라미터를 도출한다. 일부 실시예들에서, 모니터링 모듈(87)은 본 명세서에 설명된 작업의 실행을 야기하도록 구성되거나 프로그램된다. 일부 실시예들에서, 모니터링 모듈(87)은 컴퓨팅 디바이스를 포함한다. 일부 실시예들에서, 모니터링 모듈(87)은 본 명세서에 설명된 기능을 제공하도록 구성되는 컴퓨터 프로그램을 포함한다. 일부 실시예들에서, 도 5의 시스템에서의 전자 빔의 프로브 스폿 크기는 예를 들어 CD에 비해 상당히 더 크므로, 프로브 스폿이 충분히 커서 검사 속도가 빠를 수 있다. 하지만, 분해능은 큰 프로브 스폿으로 인해 더 낮을 수 있다.
앞서 설명된 바와 같이, 예를 들어 패터닝 공정의 디자인, 제어, 모니터링 등에 사용될 수 있는 결과들을 생성하기 위해 1 이상의 툴을 사용하는 것이 바람직할 수 있다. (예를 들어, 분해능-이하 어시스트 피처들 또는 광 근접 보정들의 추가를 포함한) 패터닝 디바이스를 위한 패턴 디자인, 패터닝 디바이스를 위한 조명 등과 같은 패터닝 공정의 1 이상의 측면의 전산적 제어, 디자인 등에 사용되는 1 이상의 툴이 제공될 수 있다. 따라서, 패터닝을 수반하는 제조 공정의 전산적 제어, 디자인 등을 위한 시스템에서, 제조 시스템 구성요소들 또는 프로세스들은 다양한 기능 모듈들 또는 모델들에 의해 설명될 수 있다. 일부 실시예들에서, 패터닝 공정의 1 이상의 단계 또는 장치를 설명하는 1 이상의 전자(예컨대, 수학적, 파라미터화된, 등) 모델이 제공될 수 있다. 일부 실시예들에서, 패터닝 공정의 시뮬레이션이 1 이상의 전자 모델을 사용하여, 패터닝 공정이 패터닝 디바이스에 의해 제공되는 디자인 패턴을 사용하여 패터닝된 기판을 형성하는 방식을 시뮬레이션하도록 수행될 수 있다.
예를 들어, 도 4 또는 도 5의 시스템으로부터의 이미지들은 이미지에서 반도체 디바이스 구조체들을 나타내는 대상물들의 에지들을 설명하는 치수, 형상, 윤곽, 또는 다른 정보를 추출하도록 처리될 수 있다. 형상, 윤곽, 또는 다른 정보는 사용자-정의 커트-라인들 또는 다른 위치들에서 에지 배치 오차(EPE), CD 등과 같은 메트릭들을 통해 정량화될 수 있다. 이 형상, 윤곽, 또는 다른 정보는 예를 들어 패터닝 공정을 최적화하는 데 사용될 수 있다.
일부 실시예들에서, 패터닝 공정의 최적화가 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최소화하는 패터닝 공정의 파라미터들(디자인 변수들, 공정 변수들 등)의 세트를 발견하는 것을 포함할 수 있다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들[평가 포인트(evaluation point)들]의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들의 편차들의 가중 RMS(root mean square)일 수 있다. 또한, 비용 함수는 이 편차들의 최대값(즉, 가장 심한 편차)일 수도 있다. "평가 포인트들"이라는 용어는 시스템 또는 제작 방법의 여하한의 특성들을 포함하는 것으로 폭넓게 해석되어야 한다. 패터닝 공정의 디자인 또는 공정 변수들은 시스템 또는 방법의 구현들의 실용성(practicality)들로 인해 상호의존적이고, 또는 유한한 범위들로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 패터닝 디바이스 제조성 디자인 규칙들, 또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련된다. 예를 들어, 평가 포인트들은 기판의 이미지에서의 물리적 포인트, 및 1 이상의 에칭 파라미터, 도즈 및 포커스 등과 같은 비-물리적 특성들을 포함할 수 있다.
에칭 시스템에서, 일 예시로서, 비용 함수(CF)는 다음과 같이 표현될 수 있다:
Figure pct00001
이때, (z1,z2,…,zN)는 N 개의 디자인 변수들 또는 그 값들이며, fp(z1,z2,…,zN)은 (z1,z2,…,zN)의 디자인 변수들의 값들의 세트에 대한 특성의 실제 값과 의도된 값 간의 차이와 같은 디자인 변수들(z1,z2,…,zN)의 함수일 수 있다. 일부 실시예들에서, wp는 fp(z1,z2,…,zN)와 연계된 가중치 상수이다. 예를 들어, 특성은 에지 상의 주어진 지점에서 측정된, 패턴의 에지의 위치(예를 들어, 또는 윤곽을 형성하는 에지 상의 다수 지점들)일 수 있다. 상이한 fp(z1,z2,…,zN)은 상이한 가중치(wp)를 가질 수 있다. 예를 들어, 특정 에지가 좁은 범위의 허용된 위치들을 갖는 경우, 에지의 실제 위치와 의도된 위치 간의 차이를 나타내는 fp(z1,z2,…,zN)에 대한 가중치(wp)에 더 높은 값이 주어질 수 있다. 또한, fp(z1,z2,…,zN)은 디자인 변수들(z1,z2,…,zN)의 함수인 층간 특성의 함수일 수 있다. 물론, CF(z1,z2,…,zN)는 앞선 수학식의 형태에 제한되지 않으며, CF(z1,z2,…,zN)는 여하한의 다른 적절한 형태일 수 있다.
비용 함수는 패터닝 시스템, 패터닝 공정, 리소그래피 장치, 리소그래피 공정 또는 기판의 여하한의 1 이상의 적절한 특성, 예를 들어 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 변동, 스루풋, 국부적 CD 변동, 공정 윈도우, 층간 특성, 또는 그 조합을 나타낼 수 있다. 일부 실시예들에서, 비용 함수는 레지스트 이미지의 1 이상의 특성을 나타내는 함수를 포함할 수 있다. 예를 들어, fp(z1,z2,…,zN)는 단순히 에칭 또는 몇몇 다른 공정 후 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPEp(z1,z2,…,zN)]일 수 있다. 파라미터들(예를 들어, 디자인 변수들)은 에칭 시스템, 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터와 같은 여하한의 조정가능한 파라미터를 포함할 수 있다.
파라미터들(예를 들어, 디자인 변수들)은 제약들을 가질 수 있으며, 이는 (z1,z2,…,zN) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 일 세트이다. 디자인 변수들에 대한 한 가지 가능한 제약은 리소그래피 투영 장치의 요구되는 스루풋에 의해 부과될 수 있다. 요구되는 스루풋에 의해 부과되는 이러한 제약이 없으면, 최적화는 비현실적인 디자인 변수들의 값들의 세트를 산출할 수 있다. 제약들이 필요로서 해석되어서는 안 된다.
패터닝 공정의 최적화는 비-생산 또는 마스크 체크 웨이퍼로부터 얻어진 검사 데이터에 기초할 수 있다. 집적 회로를 제조하는 동안, 정규 생산 웨이퍼는 수백 또는 수천 개의 공정 단계들을 거칠 것이며, 이 중에는 흔히 수십 개의 리소그래피 노광들이 존재한다. 마스크 체크 웨이퍼는 소위 쇼트 루프 웨이퍼(short loop wafer)일 수 있으며, 이는 흔히 몇몇 증착 단계들만을 거치고, 때로는 단 하나의 리소그래피 단계를 거친 후 현상 및 가능하게는 (몇몇) 에칭 단계를 거칠 것이다. 따라서, 마스크 체크 웨이퍼는 리소그래피 단계 또는 마스크 상의 오차들에 의해 도입된 결함들을 검증하기 위해 생산 웨이퍼의 통상적인 처리 단계들 중 매우 작은 서브세트만을 거치는 웨이퍼이다. 마스크 체크 웨이퍼는 생산 일정, 스루풋 요건, 수율 또는 품질 요건, 또는 정규 생산 패터닝 공정의 다른 요건들로부터 압박을 받지 않는다. 앞서 설명된 바와 같이, 마스크 체크(비-생산) 웨이퍼는 (정규 생산 패터닝 공정을 사용하는) 생산 웨이퍼와 상이한 패터닝 공정을 활용할 수 있으므로, 패터닝 시스템의 생산성 감소로 이어지는 상이한 패터닝 파라미터들이 활용될 수 있고, 확률적 결함들에 대한 패터닝 디바이스 결함(예를 들어, 마스크 상의 입자) 패터닝가능성(프린트가능성)의 개선된 비가 달성될 수 있다. 확률적 결함들은 패터닝 공정의 파라미터들(예를 들어, 고-콘트라스트 조명 또는 다른 파라미터들)에 의해 야기되는 결함들이다. 이로 인해, 하전 입자 검사 및 분석의 사이트 수 및 이에 따른 스루풋이 크게 개선될 수 있다.
본 시스템들 및 방법들을 이용하면, 가능한 결함들을 찾기 위해 하나(또는 몇 개)의 전체 필드가 검사되는 영역 스캔이 수행된다. 이 단계에서, 마스크 결함들 및 (확률적 결함들과 같은) 공정 결함들이 둘 다 발견될 수 있다. 또한, 리피터 분석(또는 검토 단계)가 수행된다. 이 단계 동안, 영역 스캔에서 발견된 결함들이 다수 필드들에서 검사되어, 어떤 결함이 반복되는지(및 이에 따라 마스크 결함인지), 어떤 결함이 반복되지 않는지(및 이에 따라 공정 결함인지)를 확인한다. 유리하게는, 리피터 분석에서 검사될 사이트 수가 감소된다. 영역 스캔은 하전 입자 검사 시스템(통상적으로, 멀티빔) 또는 브라이트필드 검사와 같은 광학 방법들로 수행될 수 있는 한편, 리피터 분석은 하전 입자 검사 시스템으로 수행된다. 일부 실시예들에서, 결함들의 프린트가능성을 향상시키기 위해 영역 스캔에 공정 파라미터들의 변조가 적용된다. 리피터 분석에 대해서는, 리피터 분석 동안 검사되는 필드들이 생산 설정들에 더 가깝도록 변조가 작거나 전혀 사용되지 않는다. 이는 아래에서 더 상세히 설명된다.
확률적 결함과 (예를 들어, 마스크 입자들에 의해 야기되는) 패터닝 디바이스 프린팅 결함 간의 구별은 (예를 들어, 앞서 설명된 바와 같이) 패터닝된 기판의 반복 검사들을 수행함으로써 이루어질 수 있다. 패터닝 디바이스 결함은 하전 입자 검사 시스템으로의 검사 동안 다수 필드들에서 반복되는 한편, 확률적 결함은 일반적으로 다수 필드들에서 반복되지 않을 것이다. 필드는 패터닝 디바이스(예를 들어, 마스크)의 단일 이미지를 포함하는 기판(예를 들어, 웨이퍼)의 일부를 포함한다. 필드의 크기는 패터닝 디바이스의 크기에 의해 제한되며, 통상적으로 26 mm x 33 mm로 제한된다. 예를 들어, 많은 필드들이 300 mm 기판에 피팅된다.
실제로, 얼마나 많은 사이트가 반복 분석 동안 검사될 수 있는지에 대한 제한이 있다. 통상적으로, 예를 들어 100 내지 1000 개의 사이트가 SEM과 같은 단일 빔 하전 입자 검사 툴로 다수(예를 들어, 10 내지 50 개의) 필드들에서 검사될 수 있다. 확률론(예를 들어, 동일하게 프린트되어야 하는 패터닝된 기판에서 한 패터닝된 피처로부터 다음 패터닝된 피처까지의 국부적인 무작위 변동성)은 패터닝 디바이스(예를 들어, 마스크) 내의 결함(예를 들어, 입자)의 프린트가능성에 영향을 미칠 수 있다. 예를 들어, 입자가 한 필드에서는 프린트되지만 다른 필드에서는 프린트되지 않을 수 있다. 이는 통상적으로 하전 입자 검사가 하나의 필드만을 커버하기 때문에 문제를 제기한다. 따라서, 검사된 필드에서 결함을 포착할 확률을 향상시키는 것이 중요하다. 기존 기술의 잠재적인 문제는, 신뢰성 있는 검출을 보장하기에 충분한 패터닝 디바이스 결함(예를 들어, 입자)의 프린팅 확률을 증가시키는 데 필요한 변조가 반복 분석에서 검사될 수 있는 하나의 필드에서 100 내지 1000 개의 사이트보다 더 많은 확률적 결함들을 초래한다는 것이다.
유리하게는, 본 발명은 패터닝된 기판 내의 확률적 결함에 비해 기판으로 패터닝되는 패터닝 디바이스 결함의 비를 증가시키는 기술들을 설명한다. 패터닝 공정 파라미터들을 변조함으로써, 확률론에 의해 야기되는 기판 상 결함들의 확률은 감소되고, 기판 상의 패터닝 디바이스 결함의 확률은 증가된다. 이는, 예를 들어 고-콘트라스트/저-퓨필 충전율(PFR) 조명을 사용하는 것을 포함할 수 있다. 퓨필 충전율 파라미터가 20 % 미만인 조명을 사용하는 것이 가장 높은 이미징 콘트라스트를 제공하는 퓨필의 일부의 사용을 허용한다. 현재 EUV 일루미네이터를 사용하면, 이는 일루미네이터 효율(예를 들어, < 100 %) 및 이에 따른 스루풋 페널티를 유도한다. 정규 생산 기판들의 패터닝에 대해, 이는 바람직하지 않다.
하지만, 이러한 트레이드오프는 비-생산 또는 마스크 체크 기판에 대해 상이하다. 스루풋이 덜 중요하고, 높은 콘트라스트는 패터닝된 기판 상의 확률적 결함 수를 감소시키는 데 사용될 수 있으며, 이는 확률적 결함에 대한 패터닝 디바이스 결함 패터닝가능성의 비를 개선한다. 이는 파라미터 변조가 너무 많은 확률적 결함들을 생성하지 않으면서 패터닝 디바이스 결함들의 패터닝가능성을 향상시키기 때문에 더 쉽게 패터닝 디바이스 결함의 검출을 용이하게 한다.
패터닝 공정 파라미터들을 변조하는 것은 또한, 또는 대신에, 정규 생산 패터닝 공정 레지스트에 비해 더 높은 도즈 레지스트로 코팅된 기판을 노광하는 것을 포함할 수 있다. 이는 기판이 (정규 생산 패터닝 공정에서보다) 더 오래 노광되게 하여, 예를 들어 광자 산탄 잡음의 영향이 감소되도록 한다. 예를 들어, 광자 산탄 잡음은 기판에 의해 수용되는 EUV 노광 도즈의 잡음으로 이어지며, 이는 웨이퍼 상의 피처 크기 및 확률적 결함의 변동성을 유도한다. 게다가, 광자 산탄 잡음의 근원은 푸아송 잡음을 포함할 수 있다. 피처당 광자 수가 제한되기 때문에, 광자 수에서 통계적 변동이 있을 수 있다.
또한, 패터닝 공정 파라미터들을 변조하는 것은 파라미터 변조(예를 들어, 이 예시에서 더 높은 도즈 레지스트, 실제 도즈 또는 포커스)가 너무 많은 확률적 결함들을 생성하지 않으면서 패터닝 디바이스 결함들의 패터닝가능성을 향상시키는 데 사용될 수 있기 때문에, 패터닝 디바이스 결함들의 더 용이한 검출을 허용한다. 다른 패터닝 공정 파라미터들의 변조도 고려된다.
도 6은 패터닝 디바이스 내의 결함을 검출하는 예시적인 방법(600)을 나타낸다. 패터닝 디바이스 내의 결함은 입자, 주석(Sn) 액적 또는 패터닝 디바이스의 수명 동안 구축될 수 있는 다른 결함들, 수리 관련 결함 또는 불완전성, 또는 다른 결함일 수 있거나, 이를 포함할 수 있다. 방법(600)은 하전 입자 검사 시스템(예를 들어, SEM)으로 패터닝된 기판(예를 들어, 웨이퍼)을 검사하는 단계(작업 602), 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하는 단계(작업 604), 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 단계(작업 606), 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 패터닝된 기판에 걸쳐 어떤 결함이 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 단계(작업 608), 또는 다른 작업들을 포함한다. 한 가지 가능한 예시에 의하면, 결함은 입자일 수 있고, 패터닝 디바이스는 마스크일 수 있고, 패터닝된 기판은 패터닝된 웨이퍼일 수 있고, 하전 입자 검사 시스템은 SEM일 수 있다. 일부 실시예들에서, 영역(예를 들어, 제 1) 스캔 및 리피터 분석을 위해 상이한 SEM 툴들이 사용될 수 있다. 통상적으로, 영역 스캔은 멀티빔 SEM을 사용하여 행해진다. 또한, 리피터 분석은 멀티빔 툴을 사용하여 수행될 수 있지만, 통상적으로 리피터 분석에는 단일 빔 SEM을 사용하는 것이 유리하다.
일부 실시예들에서, 비-일시적(non-transitory) 컴퓨터 판독가능한 매체는 컴퓨터에 의해 실행될 때, 컴퓨터가 작업들 602 내지 608 또는 다른 작업들 중 1 이상을 실행하게 하는 명령어들을 저장한다. 방법(600)의 작업들은 예시적인 것으로 의도된다. 일부 실시예들에서, 방법(600)은 설명되지 않은 1 이상의 추가적인 작업으로, 또는 논의된 작업들 중 1 이상 없이 달성될 수 있다. 추가적으로, 방법(600)의 작업들이 도 6에 예시되고 본 명세서에서 설명되는 순서는 제한적인 것으로 의도되지 않는다.
작업 602에서, 패터닝된 기판이 하전 입자 검사 시스템으로 검사된다. 하전 입자 검사는 (앞서 설명된) (적어도) 하나의 전체 필드가 검사되는 영역 스캔일 수 있다. 하전 입자 검사 시스템은 (예를 들어, 도 4 및 도 5에 나타내고 앞서 설명된 바와 같은) 스캐닝 전자 현미경 또는 멀티-빔 하전 입자 검사 시스템과 같은 다른 하전 입자 검사 시스템일 수 있거나, 이를 포함할 수 있다. 하전 입자 검사 시스템은 패턴 내의 윤곽들의 기하학적 형상들을 설명하는 정보 또는 기하학적 형상들과 관련된 정보를 포함하는 이미지들을 생성하도록 구성된다. 패턴 내의 윤곽들의 기하학적 형상들은, 예를 들어 2 차원 기하학적 형상들일 수 있다. 이미지들은 (예를 들어, X-Y 차원 데이터 포인트들, 기하학적 형상을 설명하는 수학 방정식 등과 같은) 윤곽들의 특성들을 설명하는 데이터, 윤곽과 연계된 처리 파라미터들, 또는 다른 데이터를 포함한다. 이미지들은 3D 정보, 예컨대 1 이상의 서브-층에 매립되는 피처들에 대한 정보, 이전 층들의 이전 검사들로부터의 데이터, 검사 이미지들을 생성하는 사용자 운영 검사 시스템에 의해 선택 및 입력된 정보, 또는 다른 정보를 더 포함할 수 있다.
패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성된다. 일부 실시예들에서, 정규 패터닝 공정은 반도체 제조 공정이다. 정규 생산 패터닝 공정은 대량의 패터닝된 기판들을 생산하는 정상 과정에서 사용되는 여하한의 패터닝 공정을 포함할 수 있다. 정규 생산 패터닝 공정은 여러 공정 단계들을 가질 수 있으며, 이들은 각각 (예를 들어, 기능적 집적 회로들을 포함할 수 있는) 패터닝된 기판을 생성하는 데 사용되는 공정 윈도우를 정의하는 파라미터들을 갖는다. 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 공정 파라미터들을 변조하는 것은 패터닝 파라미터의 레벨 또는 설정점을 증가 또는 감소시키는 것, 공정 구성요소의 양을 추가 또는 제거하는 것, 패터닝 공정 단계를 추가 또는 제거하는 것, 또는 정규 생산 패터닝 공정에서와 다르게 패터닝 공정 파라미터를 조정하는 것을 포함할 수 있다. 이는 파라미터에 대한 공정 윈도우 내부 또는 외부의 조정들을 포함할 수 있다.
패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시킨다. 일부 실시예들에서, 패터닝된 기판 상으로의 패터닝 디바이스 결함의 패터닝가능성을 향상시키는 것은 기판 상에 패터닝된 확률적 결함들에 대한 패터닝 디바이스 결함들(예를 들어, 마스크 상의 입자들)의 비를 개선하는 것을 포함한다. 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함한다.
또한, 패터닝 파라미터들을 변조하는 것은 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들(예를 들어, 확률적 결함들)의 양의 균형을 맞춘다. 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추는 것은, 패터닝 디바이스 내의 결함이 패터닝된 기판 상에 대응하는 결함을 규칙적으로 나타나게 하는 충분히 높은 확률로 패터닝(예를 들어, 프린트)될 것을 보장하는 한편, 확률적 결함들(예를 들어, 패터닝 파라미터들 자체에 의해 야기되고, 패터닝 디바이스 내의 결함이 아닌 결함들)의 수를 제한하는 것을 지칭한다.
아래에서 설명되는 바와 같이, 이는 반복 분석(작업 608) 동안 검사되어야 하는 다수의 사이트를 허용가능한 레벨로 제한한다. 허용가능한 레벨은 시간에 기초하여 결정되거나(예를 들어, 반복 분석이 수행되는 데 일부 임계 시간만을 필요로 하도록), 검사 사이트의 수에 기초하여 결정되거나(예를 들어, 반복 분석이 패터닝된 웨이퍼 상의 타겟 수의 사이트의 검사만을 필요로 하도록), 또는 다른 방식으로 결정될 수 있다.
패터닝 파라미터들을 변조하는 것은 패터닝된 기판(예를 들어, 마스크 체크 웨이퍼)의 패터닝 생산성과 무관하게 수행된다. 이는 앞서 설명된 바와 같이, 변조가 비-생산 기판에 대해 수행되기 때문이다. 비-생산 기판은, 예를 들어 체크 웨이퍼 또는 다른 기판들을 포함할 수 있다.
일부 실시예들에서, 패터닝된 기판은 리소그래피 시스템으로 생성되며, 이는 앞서 설명된 LA(예를 들어, 도 2 참조), 스캐너, 또는 정규 생산 패터닝 공정과 연계된 다른 구성요소들, 또는 별도의 리소그래피 시스템일 수 있거나, 이를 포함할 수 있다. 일부 실시예들에서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인과 동일한 패턴 디자인에 대한 것이다. 다시 말해서, 방법(600)에서 마스크 체크 웨이퍼가 사용될 수 있더라도, 정규 생산 디자인이 기판 상으로 패터닝될 수 있다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함한다. 일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함한다. 일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함한다. 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 감소 또는 증가시키는 것을 포함할 수 있다.
예를 들어, 도즈 변조는 결함의 프린트가능성을 향상시키기 위해 사용될 수 있다. 이 도즈 변조는 도즈를 낮추는 것을 포함할 수 있으며, 이는 결함의 가능성을 증가시키는 노광부족(under exposure)을 유도할 수 있다. 하지만, 변조는 또한 또는 대신에 상이한, 더 높은 도즈 레지스트를 노광하는 것을 포함할 수 있다. 이 더 높은 도즈 레지스트는 공칭 노광을 위해 더 높은 노광 도즈를 필요로 한다. 게다가, 결함의 가능성을 증가시키기 위해, 이 더 높은 도즈 레지스트가 부족하게 노광될 수 있다. 순 도즈는 여전히, 부족하게 노광되지 않고 더 민감한 레지스트를 사용하는 정규 생산 패터닝 공정에 대해 증가된 도즈일 수 있다는 것을 유의한다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함한다. 이는 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시킴으로써 패터닝 파라미터들을 변조하는 것을 포함할 수 있다. 퓨필은, 예를 들어 패터닝 디바이스 상에 원하는 각도 분포의 조명을 제공하도록 구성되는 리소그래피 시스템(예를 들어, 앞서 설명된 LA)의 구성요소일 수 있다. PFR은 조명 퓨필이 광으로 채워지는 비율이다. 현재 EUV 조명 시스템들로는, 스루풋 손실로 이어지는 일루미네이터 효율(IE)의 손실 없이 20 % 미만의 PFR이 만들어질 수 없다. 일부 실시예들에서, 예를 들어 PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 한다. 이 기술은, 예를 들어 높은 콘트라스트를 갖는 조명 설정을 얻기 위해 사용될 수 있다.
작업 604에서, 패터닝된 기판 내의 결함이 검출된다. 결함은 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된다. 향상된 패터닝가능성과 연계된 결함은 변조된 패터닝 파라미터들에 의해 야기되거나, 변조된 패터닝 파라미터들에 의해 확대 또는 감소되거나, 변조된 패터닝 파라미터들에 의해 더 빈번하게 또는 덜 빈번하게 만들어지거나, 또는 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과의 또 다른 연계를 가질 수 있다. 대조적으로, 정규 생산 패터닝 공정으로 생성된 결함은 덜 흔하게 프린트되거나, 덜 두드러지거나, 또는 전혀 프린트되지 않을 수 있다. 일부 실시예들에서, 결함은 입자, 주석(Sn) 액적 또는 패터닝 디바이스의 수명 동안 구축될 수 있는 다른 결함들, 수리 관련 결함 또는 불완전성, 또는 다른 결함들을 포함할 수 있다.
작업 606에서, 패터닝 디바이스 내의 결함은 패터닝된 기판 내의 결함에 기초하여 검출된다. 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 것은 패터닝된 기판 내의 결함을 패터닝 디바이스에 다시 매핑하고, 패터닝된 기판과 패터닝 디바이스의 피처들의 치수들을 분석 및 비교하는 것, 또는 다른 분석을 포함할 수 있다. 예를 들어, 패터닝 디바이스 내의 결함은 패터닝된 기판 상의 특정 위치에 나타날 수 있다. 패터닝 디바이스 상의 패턴이 기판 전체에 걸쳐 반복적으로 재현되기 때문에, 패터닝 디바이스 상의 결함의 위치는 패터닝된 기판 내의 결함들에 기초하여 결정될 수 있다. 패터닝 디바이스와 기판 사이의 정렬 정보, 패턴의 기하학적 치수들, 또는 다른 정보가 사용되어 패터닝된 기판 상에서 검출된 결함들에 기초하여 패터닝 디바이스 내의 결함의 위치를 결정할 수 있다.
작업 608에서, 패터닝된 기판 상의 후보 결함 영역들에서 검사가 반복된다. 검사는 다수 필드들에서 반복된다. 검사는 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하기 위해 반복된다. 후보 결함 영역들은 영역 스캔(작업 602) 동안 패터닝 공정 파라미터들을 변조함으로써 야기되는 결함들을 잠재적으로 포함하는 것으로서 식별되는 패터닝된 기판 상의 영역들을 포함한다. 다시 말해서, 방법(600)은 2 개(또는 그 이상)의 검사 단계들을 포함한다. 두 단계들은 앞서 설명된 작업 602, 및 작업 602(예를 들어, 영역 스캔)에서 패터닝된 기판 상의 핫스폿들(예를 들어, 후보 결함들을 포함하는 패터닝된 기판의 영역들)이 발견되었던 곳의 반복 검사 및 분석을 포함하는 작업 608을 포함한다. 이러한 핫스폿들은 다수 필드들에서 검사되어 어떤 결함들이 반복되는지(및 이에 따라 패터닝 디바이스 내의 결함에 의해 야기되는지)를 결정한다.
앞서 설명된 바와 같이, 패터닝 디바이스(예컨대, 마스크) 내의 결함의 향상된 패터닝가능성(예컨대, 프린트가능성)은 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양과 균형을 맞춘다. 이는 패터닝 디바이스 내의 결함이 패터닝된 기판 상에 대응하는 결함을 규칙적으로 나타나게 하는 충분히 높은 확률로 패터닝(예를 들어, 프린트)될 것을 보장하는 한편, 확률적 결함들(예를 들어, 패터닝 파라미터들 자체에 의해 야기되고, 패터닝 디바이스 내의 결함이 아닌 결함들)의 수를 제한한다. 이는 반복 분석(작업 608) 동안 검사되어야 하는 다수의 사이트를 허용가능한 레벨로 제한한다. 허용가능한 레벨은 시간에 기초하여 결정되거나(예를 들어, 반복 분석이 수행되는 데 일부 임계 시간만을 필요로 하도록), 검사 사이트의 수에 기초하여 결정되거나(예를 들어, 반복 분석이 패터닝된 웨이퍼 상의 타겟 수의 사이트의 검사만을 필요로 하도록), 또는 다른 방식으로 결정될 수 있다. 비-제한적인 예시에 의하면, 허용가능한 레벨은 후보 결함들을 포함하는 약 100 내지 1000 개의 사이트일 수 있다.
앞선 예시적인 패터닝 공정 파라미터 변조 예시들(더 높은 도즈 레지스트 또는 고 콘트라스트/저 퓨필 충전율 조명)로 되돌아가면, 일부 실시예들에서, 영역 스캔(작업 602)에 사용되는 필드는 고-콘트라스트/저-PFR 조명을 사용하여 노광될 수 있는 한편, 반복 검사들(작업 608)에 사용되는 필드들은 공칭 조건들 하에서 노광되어 입자 결함의 존재에 관한 결정이 대량 제조를 나타내는 조건들 하에서 이루어지도록 할 수 있다. 일부 실시예들에서, 하나의 단일 기판이 영역 스캔(작업 602) 및 반복 검사들(작업 608)에 사용되는 경우, 반복 검사들은 더 높은 도즈에서 노광된 필드들에 대해 수행될 수도 있다. 필요한 경우, 반복 검사들은 공칭 조건들 하에서 노광된 필드들에 대해 수행되어, 패터닝 디바이스 결함의 존재에 관한 결정이 공칭(예를 들어, 정규 생산 패터닝 공정) 레지스트로 코팅되는 제 2 비-생산 또는 체크 기판(웨이퍼)을 사용하여 대량 제조를 나타내는 조건들 하에서 다시 이루어지도록 할 수 있다.
도 7은 확률적 결함(708)이 패터닝되는 동안, 기판(706) 상에 패터닝(705)되지 않는 패터닝 디바이스(700) 결함들(702, 704)의 일반화된 예시를 나타낸다. 본 명세서에 설명된 바와 같이, 본 시스템들 및 방법들은 과도한 양의 확률적 결함들(예를 들어, 결함 708)을 초래하지 않으면서 (예를 들어, 결함들 702, 704의) 결함 패터닝가능성 또는 프린트가능성이 향상될 수 있도록 구성된다. 이로 인해, 하전 입자 검사 및 분석에 필요한 사이트 수 및 이에 따른 스루풋이 크게 개선될 수 있다(예를 들어, 사이트 수 감소 및 스루풋 증가). 또한, 도 7은 허용가능한 양의 확률적 결함들을 제공하는 변조 크기를 선택하기 위해 상이한 변조 크기들로 다수의 필드들(709)을 노광하는 것을 예시한다. 리피터 분석은 변조되지 않은 필드들에서 행해진다.
도 8은 일반적으로 입자 크기(802)에 대한 결함 프린트가능성(800)을 예시한다. 또한, 도 8은 도즈 감소(예를 들어, 0 % 시작점으로부터 10 % 및 20 %만큼 도즈 감소 803)에 따라 결함 프린트가능성(800)이 어떻게 증가하는지를 예시한다. 본 명세서에 설명된 바와 같이, 도즈 변조는 결함의 프린트가능성을 향상시키기 위해 사용될 수 있다. 이 도즈 변조는 (예를 들어, 도 8에 나타낸 바와 같이) 도즈를 낮춰 결함의 가능성을 증가시키는 노광부족을 유도하는 것을 포함할 수 있다. 하지만, 변조는 또한 또는 대신에 상이한, 더 높은 도즈 레지스트를 노광하는 것을 포함할 수 있다. 이 더 높은 도즈 레지스트는 공칭 노광을 위해 더 높은 노광 도즈를 필요로 한다. 게다가, 결함의 가능성을 증가시키기 위해, 이 더 높은 도즈 레지스트가 부족하게 노광될 수 있다. 순 도즈는 여전히, 부족하게 노광되지 않고 더 민감한 레지스트를 사용하는 정규 생산 패터닝 공정에 대해 증가된 도즈일 수 있다는 것을 유의한다. 마스크 상의 입자 결함들과 확률적 결함들 사이의 훨씬 더 명확한 구별을 제공하기 위해, 도즈를 낮추는 것이 마스크 상의 입자 결함들을 검출하는 데 좋지만, (원하지 않은) 더 많은 확률적 결함들을 초래한다. 고 도즈 레지스트를 사용하는 것이 더 적은 확률적 결함들을 유도하며, 이에 따라 공칭 도즈보다 낮은 도즈를 사용하고 입자 결함 프린트가능성을 증가시킬 여지가 더 많다.
도 9a 및 도 9b는 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝을 향상시키는 예시적인 방법들(900 및 901)을 나타낸다. 방법(900)은 정규 생산 패터닝 공정의 패터닝 파라미터들을 변조하는 단계(작업 902), 변조된 패터닝 파라미터들을 사용하여 기판 상으로 패터닝 디바이스 내의 결함을 패터닝하는 단계(작업 904), 다수 필드들에서 기판 상의 후보 결함 영역들에서 및 전체 필드 검사를 사용하여 기판을 검사하여 어떤 결함들이 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 단계(작업 906), 또는 다른 작업들을 포함한다.
도 6에 나타낸 방법(600)과 같은 일부 실시예들에서, 비-일시적 컴퓨터 판독가능한 매체(예를 들어, 도 6의 작업들과 연계된 것과 동일하거나 유사한 매체)는 컴퓨터에 의해 실행될 때, 컴퓨터가 작업들 902 내지 906, 903 내지 909(도 9b), 또는 다른 작업들 중 1 이상을 실행하게 하는 명령어들을 저장한다. 방법(900 및 901)의 작업들은 예시적인 것으로 의도된다. 일부 실시예들에서, 방법(900 또는 901)은 설명되지 않은 1 이상의 추가적인 작업으로, 또는 논의된 작업들 중 1 이상 없이 달성될 수 있다. 추가적으로, 방법(900)의 작업들이 도 9a에 예시되고 방법(901)의 작업들이 도 9b에 예시되고 본 명세서에서 설명되는 순서는 제한적인 것으로 의도되지 않는다. 방법 900 또는 901의 많은 작업들은 앞서 설명된 방법 600의 작업들에 대응하며, 방법 600의 작업들의 설명들은 방법 900 또는 901의 대응하는 작업들에 적용된다(따라서, 아래에서 반복되지 않음).
도 9a를 참조하면, 및 앞서 설명된 바와 같이, 작업 902에서, 정규 패터닝 공정의 패터닝 파라미터들이 변조된다. 일부 실시예들에서, 정규 패터닝 공정은 반도체 패터닝 공정이다. 패터닝 파라미터들은 프로세서(예컨대, 컴퓨터 제어기)에 의해 자동으로 또는 달리 전자적으로 조정되거나, 사용자에 의해 수동으로 변조되거나, 또는 다른 방식들로 변조될 수 있다. 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킨다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함한다. 일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함한다. 일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함한다. 일부 실시예들에서, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 감소 또는 증가시키는 것을 포함한다.
일부 실시예들에서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함한다. 이는 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시킴으로써 패터닝 파라미터들을 변조하는 것을 포함할 수 있다. 일부 실시예들에서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 한다.
작업 904에서, 변조된 파라미터들을 사용하여 기판 상으로 패터닝 디바이스 내의 결함이 패터닝된다. 일부 실시예들에서, 패터닝 디바이스는 마스크이다. 패터닝은 도 1에 나타내고 앞서 설명된 리소그래피 투영 장치, 도 2에 나타낸 LA, 또는 다른 패터닝 시스템들과 같은 패터닝 시스템에 의해 수행될 수 있다. 변조된 패터닝 파라미터들로 패터닝한 후, 및 변조된 패터닝 파라미터들과 연계된 패터닝된 결함들의 양과 균형을 맞춘 결함의 향상된 패터닝가능성으로 인해, 기판은 하전 입자 검사 시스템으로의 검사를 위해 구성된다. 일부 실시예들에서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)이다. 방법 600(도 6)을 이용하는 바와 같이, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞춘다. 또한, 이는 패터닝된 기판(예를 들어, 마스크 체크 웨이퍼)의 패터닝 생산성과 무관하게 수행된다. 일부 실시예들에서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함한다.
일부 실시예들에서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 (예를 들어, 도 1에 나타낸 리소그래피 투영 장치, 도 2에 나타낸 LA, 스캐너, 또는 다른 구성요소들일 수 있거나, 이를 포함할 수 있는) 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성된다. 패터닝된 기판은 패터닝된 반도체 웨이퍼일 수 있으며, 패터닝 디바이스 내의 결함은 예를 들어 패터닝 디바이스 상의 입자를 포함할 수 있다. 일부 실시예들에서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성된다.
작업 906에서, 기판은 다수 필드들에서 후보 결함 영역들에서 검사된다. 작업 906은 어떤 결함들이 기판 전체에 걸쳐 반복되는지, 및 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지의 결정을 용이하게 할 수 있다. 앞서 설명된 바와 같이, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함한다.
일부 실시예들에서, 방법 900(및 도 6에 나타낸 방법 600)은 후보 결함 영역들의 검사들로부터 출력된 정보에 기초하여 정규 생산 패터닝 공정들을 위해 패터닝된 기판들의 하전 입자 검사들을 조정하는 것을 포함할 수 있다. 예를 들어, 후보 결함 영역들의 검사들은 소정 위치에서의 패터닝 디바이스 결함의 존재를 나타낼 수 있다. 동일하거나 유사한 마스크가 정규 생산 패터닝 공정에 사용되는 경우, 정규 생산 패터닝 공정 하전 입자 검사들은 생산 기판들 상의 대응하는 위치들에 초점을 맞추도록 조정될 수 있다. 추가적으로, 또는 대신에, 정규 생산 패터닝 공정은 패터닝 디바이스 결함으로부터의 프린트된 패턴에 대한 여하한의 영향들이 최소화되도록 조정될 수 있다(예를 들어, 공정 파라미터들이 공정 윈도우 내에서 변동될 수 있음, 공정이 추가되거나 빼질 수 있음, 등).
도 9b에 나타낸 바와 같이, 방법(901)은 일부 필드들에 대해서만 정규 생산 패터닝 공정의 패터닝 파라미터들을 변조하는(또는 그 필드들에 대해 변조 파라미터들을 낮추는) 단계(작업 903), 일부 필드들에 대해 변조된(또는 더 낮은 변조된) 패터닝 파라미터들을 사용하여 기판 상에 패터닝 디바이스 내의 결함을 패터닝하는 단계(작업 905), 전체 필드 검사를 사용하여 기판을 검사하는 단계(작업 907), 및 비-변조된 또는 더 낮은 변조된 필드들에 대해 리피터 분석을 수행하는 단계(작업 909)를 포함한다.
도 10은 본 명세서에 설명된 작업들 중 1 이상에 사용될 수 있는 예시적인 컴퓨터 시스템(CS)(이는 도 3에 나타낸 CL과 유사하거나 동일할 수 있음)의 다이어그램이다. 컴퓨터 시스템(CS)은 정보를 전달하는 버스(BS) 또는 다른 통신 기구, 및 정보를 처리하는 버스(BS)와 커플링된 프로세서(PRO)(또는 다중 프로세서들)를 포함한다. 또한, 컴퓨터 시스템(CS)은 프로세서(PRO)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(BS)에 커플링된 주 메모리(MM)를 포함한다. 또한, 주 메모리(MM)는 프로세서(PRO)에 의한 명령어들의 실행 동안 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(CS)은 프로세서(PRO)에 대한 정적 정보 및 명령어들을 저장하는 버스(BS)에 커플링된 ROM(read only memory: ROM) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(SD)가 제공되고 버스(BS)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(CS)은 버스(BS)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(DS)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(ID)는 정보 및 명령 선택(command selection)들을 프로세서(PRO)로 전달하기 위해 버스(BS)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(PRO)로 전달하고, 디스플레이(DS) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: CC)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축인 제 1 축(예를 들어, x) 및 제 2 축(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일부 실시예들에서, 주 메모리(MM)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(PRO)에 응답하여 컴퓨터 시스템(CS)에 의해 본 명세서에 설명된 1 이상의 방법의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(SD)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(MM)로 읽혀질 수 있다. 주 메모리(MM) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(PRO)가 본 명세서에 설명된 공정 단계들(작업들)을 수행하게 한다. 또한, 주 메모리(MM) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 일부 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(PRO)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(SD)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(MM)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(BS)를 포함하는 와이어들을 포함하여, 동축 케이블, 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체는 비-일시적이고, 예를 들어 플로피 디스크, 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드, 종이 테이프, 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지일 수 있다. 비-일시적 컴퓨터 판독가능한 매체는 (기계-판독가능한) 명령어들이 기록되어 있을 수 있다. 명령어들은 컴퓨터에 의해 실행될 때, 본 명세서에 설명된 작업들 중 어느 하나를 구현할 수 있다. 일시적 컴퓨터 판독가능한 매체는, 예를 들어 반송파 또는 다른 전파 전자기 신호를 포함할 수 있다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 기계-판독가능한 명령어들의 1 이상의 시퀀스를 프로세서(PRO)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(CS)에 로컬인 모뎀이 전화선 상의 데이터를 수신하고, 적외선 송신기를 사용하여 상기 데이터를 적외선 신호로 전환할 수 있다. 버스(BS)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(BS)에 놓을 수 있다. 버스(BS)는, 프로세서(PRO)가 명령어들을 회수하고 실행하는 주 메모리(MM)로 상기 데이터를 전달한다. 주 메모리(MM)에 의해 수신된 명령어들은 프로세서(PRO)에 의한 실행 전이나 후에 저장 디바이스(SD)에 선택적으로 저장될 수 있다.
예를 들면, (예를 들어, 앞서 설명된 바와 같은) SEM에 대해, 제어기(예를 들어, CS)의 프로세서(PRO)가 이미지 검사, 이미지 획득, 하전-입자 소스 활성화, 스티그메이터(stigmator)의 전기적 자극(electrical excitation) 조정, 전자들의 랜딩 에너지 조정, 대물 렌즈 자극 조정, 이차 전자 검출기 위치 및 방위 조정, 스테이지 움직임 제어, 빔 분리기 자극, 빔 디플렉터에 대한 스캔 편향 전압 적용, 전자 검출기로부터의 신호 정보와 연계된 데이터 수신 및 처리, 정전기 요소 구성, 신호 전자 검출, 제어 전극 전위 조정, 전자 소스, 추출기 전극 및 샘플에 적용되는 전압 조정 등을 수행하기 위한 명령어들을 저장하는 컴퓨터 판독가능한 매체가 제공될 수 있다.
또한, 컴퓨터 시스템(CS)은 버스(BS)에 커플링된 통신 인터페이스(CI)를 포함할 수 있다. 통신 인터페이스(CI)는 로컬 네트워크(LAN)에 연결되는 네트워크 링크(NDL)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(CI)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(CI)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(CI)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(NDL)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(NDL)는 로컬 네트워크(LAN)를 통해 호스트 컴퓨터(host computer: HC)로의 연결을 제공할 수 있다. 이는 이제 보편적으로 "인터넷"(INT)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 제공되는 데이터 통신 서비스를 포함할 수 있다. 로컬 네트워크(LAN)(인터넷)는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용할 수 있다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(CS)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(CI)를 통한 네트워크 데이터 링크(NDL) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(CS)은 네트워크(들), 네트워크 데이터 링크(NDL) 및 통신 인터페이스(CI)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 호스트 컴퓨터(HC)가 인터넷(INT), 네트워크 데이터 링크(NDL), 로컬 네트워크(LAN) 및 통신 인터페이스(CI)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 명세서에 설명된 방법의 일부 또는 전부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(PRO)에 의해 실행될 수 있고, 또는 추후 실행을 위해 저장 디바이스(SD) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(CS)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 11은 본 명세서에 설명된 작업들 중 1 이상에 사용되거나, 이를 용이하게 할 수 있는 또 다른 리소그래피 투영 장치(LPA)의 개략적인 다이어그램이다. 도 11에 나타낸 LPA는 도 1에 나타낸 장치와 유사하거나 동일하다. LPA는 소스 컬렉터 모듈(SO), 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL), 패터닝 디바이스 테이블(T), 기판 테이블(WT), 및 투영 시스템(PS)을 포함할 수 있다. 패터닝 디바이스 테이블(T)은 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결될 수 있다. 기판 테이블(예를 들어, 웨이퍼 테이블)(WT)은 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결될 수 있다. 투영 시스템(예를 들어, 반사 투영 시스템)(PS)은 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성될 수 있다.
이 예시에 나타낸 바와 같이, LPA는 (예를 들어, 반사 패터닝 디바이스를 채택하는) 반사형으로 구성될 수 있다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용할 수 있다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 11에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별개의 개체들일 수 있다. 이 예시에서, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않을 수 있으며, 방사선 빔은 예를 들어 적절한 지향 거울들 또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과될 수 있다. 다른 예시들에서, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 테이블(T) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사될 수 있으며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커싱한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 [예를 들어, 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록] 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(LPA)는 다음 모드들, 스텝 모드, 스캔 모드, 및 고정 모드 중 적어도 하나에서 사용될 수 있다. 스텝 모드에서, 패터닝 디바이스 테이블(T) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[예를 들어, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 또는 Y 방향으로 시프트된다. 스캔 모드에서, 패터닝 디바이스 테이블(T) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 패터닝 디바이스 테이블(T)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 고정 모드에서, 패터닝 디바이스 테이블(T)은 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 12는 도 11(또는 도 1)에 나타낸 리소그래피 투영 장치의 더 상세한 도면이다. 도 12에 나타낸 바와 같이, LPA는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함할 수 있다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 고온 플라즈마(hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일부 실시예들에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 또한, (아래에서 설명되는) 오염물 트랩 또는 오염물 방벽 트랩(230)은 채널 구조체를 포함한다. 컬렉터 챔버(212)는 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 라인 "O"로 나타낸 광축을 따라 가상 소스점(virtual source point: IF)에 포커싱될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 패터닝 디바이스 테이블(T)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다. 일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는, 예를 들어 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 12에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 12에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광축(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
도 13은 (앞선 도면들에 나타낸) 리소그래피 투영 장치(LPA)의 소스 컬렉터 모듈(SO)의 상세도이다. 소스 컬렉터 모듈(SO)은 LPA 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성할 수 있다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커싱된다.
다양한 실시예들이 번호가 매겨진 항목들의 다음 리스트에 개시되어 있다:
1. 패터닝 디바이스 내의 결함을 검출하는 방법으로서,
하전 입자 검사 시스템으로 패터닝된 기판을 검사하는 단계 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시킴- ; 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하는 단계; 및 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 단계를 포함하는 방법.
2. 1 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 상기 방법은 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 단계를 더 포함하는 방법.(1)
3. 1 항 또는 2 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 방법.(1)
4. 1 항 내지 3 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 방법.(1)
5. 1 항 내지 4 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 방법.(4)
6. 1 항 내지 5 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 방법.(1)
7. 1 항 내지 6 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 방법.(1)
8. 1 항 내지 7 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 방법.(7)
9. 1 항 내지 8 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 방법.(1)
10. 1 항 내지 9 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 방법.(1)
11. 1 항 내지 10 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 방법.(1)
12. 1 항 내지 11 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 방법.(1)
13. 1 항 내지 12 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 방법.(1)
14. 1 항 내지 13 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 방법.(1)
15. 1 항 내지 14 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 방법.(1)
16. 패터닝 디바이스 내의 결함을 검출하는 시스템으로서,
패터닝된 기판을 검사하기 위해 구성되는 하전 입자 검사 시스템 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시킴- ; 및 기계 판독가능한 명령어들에 의해: 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하고, 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하도록 구성되는 1 이상의 프로세서를 포함하는 시스템.
17. 16 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 하전 입자 검사 시스템 및 1 이상의 프로세서는 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 패터닝된 기판을 검사하고, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하도록 더 구성되는 시스템.(16)
18. 16 항 또는 17 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 시스템.(16)
19. 16 항 내지 18 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 시스템.(16)
20. 16 항 내지 19 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 시스템.(19)
21. 16 항 내지 20 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 시스템.(16)
22. 16 항 내지 21 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 시스템.(16)
23. 16 항 내지 22 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 시스템.(22)
24. 16 항 내지 23 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 시스템.(16)
25. 16 항 내지 24 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 시스템.(16)
26. 16 항 내지 25 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 시스템.(16)
27. 16 항 내지 26 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 시스템.(16)
28. 16 항 내지 27 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 시스템.(16)
29. 16 항 내지 28 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 시스템.(16)
30. 16 항 내지 29 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 시스템.(16)
31. 비-일시적 컴퓨터 판독가능한 매체로서,
컴퓨터에 의해 실행될 때: 하전 입자 검사 시스템으로 패터닝된 기판을 검사하는 것 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킴- ; 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하는 것; 및 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 것을 포함하는 작업들을 야기하는 명령어들을 갖는 비-일시적 컴퓨터 판독가능한 매체.
32. 31 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 작업들은 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.(31)
33. 31 항 또는 32 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(31)
34. 31 항 내지 33 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(31)
35. 31 항 내지 34 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(34)
36. 31 항 내지 35 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(31)
37. 31 항 내지 36 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(31)
38. 31 항 내지 37 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 비-일시적 컴퓨터 판독가능한 매체.(37)
39. 31 항 내지 38 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 비-일시적 컴퓨터 판독가능한 매체.(31)
40. 31 항 내지 39 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 비-일시적 컴퓨터 판독가능한 매체.(31)
41. 31 항 내지 40 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(31)
42. 31 항 내지 41 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(31)
43. 31 항 내지 42 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 비-일시적 컴퓨터 판독가능한 매체.(31)
44. 31 항 내지 43 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 비-일시적 컴퓨터 판독가능한 매체.(31)
45. 31 항 내지 44 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 비-일시적 컴퓨터 판독가능한 매체.(31)
46. 패터닝 디바이스 내의 결함을 검출하는 방법으로서,
검사 시스템으로 패터닝된 기판을 검사하는 단계 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시킴- ; 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하는 단계; 및 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 단계를 포함하는 방법.
47. 46 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 상기 방법은 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 단계를 더 포함하는 방법.(46)
48. 46 항 또는 47 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 방법.(46)
49. 46 항 내지 48 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 방법.(46)
50. 46 항 내지 49 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 방법.(49)
51. 46 항 내지 50 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 방법.(46)
52. 46 항 내지 51 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 방법.(46)
53. 46 항 내지 52 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 방법.(46)
54. 46 항 내지 53 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 방법.(46)
55. 46 항 내지 54 항 중 어느 하나에 있어서, 검사 시스템은 하전 입자 검사 시스템 또는 광학 검사 시스템인 방법.(46)
56. 46 항 내지 55 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 방법.(46)
57. 46 항 내지 56 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 방법.(46)
58. 46 항 내지 57 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 방법.(46)
59. 46 항 내지 58 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 방법.(46)
60. 46 항 내지 59 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 방법.(46)
61. 패터닝 디바이스 내의 결함을 검출하는 시스템으로서,
패터닝된 기판을 검사하기 위해 구성되는 검사 시스템 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킴- ; 및 기계 판독가능한 명령어들에 의해: 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하고, 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하도록 구성되는 1 이상의 프로세서를 포함하는 시스템.
62. 61 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 검사 시스템 및 1 이상의 프로세서는 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하도록 더 구성되는 시스템.(61)
63. 61 항 또는 62 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 시스템.(61)
64. 61 항 내지 63 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 시스템.(61)
65. 61 항 내지 64 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 시스템.(64)
66. 61 항 내지 65 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 시스템.(61)
67. 61 항 내지 66 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 시스템.(61)
68. 67 항에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 시스템.(61)
69. 61 항 내지 68 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 시스템.(61)
70. 61 항 내지 69 항 중 어느 하나에 있어서, 검사 시스템은 하전 입자 검사 시스템 또는 광학 검사 시스템인 시스템.(61)
71. 61 항 내지 70 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 시스템.(61)
72. 61 항 내지 71 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 시스템.(61)
73. 61 항 내지 72 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 시스템.(61)
74. 61 항 내지 73 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 시스템.(61)
75. 61 항 내지 74 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 시스템.(61)
76. 비-일시적 컴퓨터 판독가능한 매체로서,
컴퓨터에 의해 실행될 때: 검사 시스템으로 패터닝된 기판을 검사하는 것 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킴- ; 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하는 것; 및 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 것을 포함하는 작업들을 야기하는 명령어들을 갖는 비-일시적 컴퓨터 판독가능한 매체.
77. 76 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 작업들은 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.(76)
78. 76 항 또는 77 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(76)
79. 76 항 내지 78 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(76)
80. 76 항 내지 79 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(79)
81. 76 항 내지 80 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(76)
82. 76 항 내지 81 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(76)
83. 76 항 내지 82 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 비-일시적 컴퓨터 판독가능한 매체.(76)
84. 76 항 내지 83 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 비-일시적 컴퓨터 판독가능한 매체.(76)
85. 76 항 내지 84 항 중 어느 하나에 있어서, 검사 시스템은 하전 입자 검사 시스템 또는 광학 검사 시스템인 비-일시적 컴퓨터 판독가능한 매체.(76)
86. 76 항 내지 85 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(76)
87. 76 항 내지 86 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(76)
88. 76 항 내지 87 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 비-일시적 컴퓨터 판독가능한 매체.(76)
89. 76 항 내지 88 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 비-일시적 컴퓨터 판독가능한 매체.(76)
90. 76 항 내지 89 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 비-일시적 컴퓨터 판독가능한 매체.(76)
91. 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝을 향상시키는 방법으로서,
정규 생산 패터닝 공정의 패터닝 파라미터들을 변조하는 단계 -패터닝 파라미터들을 변조하는 단계는 정규 생산 패터닝 공정에서의 결함의 패터닝가능성과 비교하여 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킴- ; 및 변조된 패터닝 파라미터들을 사용하여 기판 상으로 패터닝 디바이스 내의 결함을 패터닝하는 단계를 포함하며; 변조된 패터닝 파라미터들로 패터닝한 후, 및 변조된 패터닝 파라미터들과 연계된 패터닝된 결함들의 양과 균형을 맞춘 결함의 향상된 패터닝가능성으로 인해, 기판은 하전 입자 검사 시스템으로의 검사를 위해 구성되는 방법.
92. 91 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 상기 방법은 다수 필드들에서 기판 상의 후보 결함 영역들에서 기판을 검사하여, 어떤 결함들이 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 단계를 더 포함하는 방법.(91)
93. 91 항 또는 92 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 방법.(91)
94. 91 항 내지 93 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 방법.(91)
95. 91 항 내지 94 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 방법.(94)
96. 91 항 내지 95 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 방법.(91)
97. 91 항 내지 96 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 방법.(91)
98. 91 항 내지 97 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 방법.(97)
99. 91 항 내지 98 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 방법.(91)
100. 91 항 내지 99 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 방법.(91)
101. 91 항 내지 100 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 방법.(91)
102. 91 항 내지 101 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 방법.(91)
103. 91 항 내지 102 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 방법.(91)
104. 91 항 내지 103 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 방법.(91)
105. 91 항 내지 104 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 방법.(91)
106. 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝을 향상시키는 시스템으로서,
기계 판독가능한 명령어에 의해, 정규 생산 패터닝 공정의 패터닝 파라미터들을 변조하도록 구성되는 1 이상의 프로세서 -패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성과 비교하여 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킴- ; 및 변조된 패터닝 파라미터들을 사용하여 기판 상으로 패터닝 디바이스 내의 결함을 패터닝하기 위해 구성되는 패터닝 시스템을 포함하며; 변조된 패터닝 파라미터들로 패터닝한 후, 및 변조된 패터닝 파라미터들과 연계된 패터닝된 결함들의 양과 균형을 맞춘 결함의 향상된 패터닝가능성으로 인해, 기판은 하전 입자 검사 시스템으로의 검사를 위해 구성되는 시스템.
107. 106 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 시스템은 다수 필드들에서 기판 상의 후보 결함 영역들에서 기판을 검사하여, 어떤 결함들이 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하기 위해 구성되는 하전 입자 검사 시스템을 더 포함하는 시스템.(106)
108. 106 항 또는 107 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 시스템.(106)
109. 106 항 내지 108 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 시스템.(106)
110. 106 항 내지 109 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 시스템.(109)
111. 106 항 내지 110 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 시스템.(106)
112. 106 항 내지 111 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 시스템.(106)
113. 106 항 내지 112 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 시스템.(106)
114. 106 항 내지 113 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 시스템.(106)
115. 106 항 내지 114 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 시스템.(106)
116. 106 항 내지 115 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 시스템.(106)
117. 106 항 내지 116 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 시스템.(106)
118. 106 항 내지 117 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 시스템.(106)
119. 106 항 내지 118 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 시스템.(106)
120. 106 항 내지 119 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 시스템.(106)
121. 비-일시적 컴퓨터 판독가능한 매체로서,
컴퓨터에 의해 실행될 때: 정규 생산 패터닝 공정의 패터닝 파라미터들을 변조하는 것 -패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성과 비교하여 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킴- ; 및 변조된 패터닝 파라미터들을 사용하여 기판 상으로 패터닝 디바이스 내의 결함을 패터닝하는 것을 포함하는 작업들을 야기하는 명령어들을 가지며; 변조된 패터닝 파라미터들로 패터닝한 후, 및 변조된 패터닝 파라미터들과 연계된 패터닝된 결함들의 양과 균형을 맞춘 결함의 향상된 패터닝가능성으로 인해, 기판은 하전 입자 검사 시스템으로의 검사를 위해 구성되는 비-일시적 컴퓨터 판독가능한 매체.
122. 121 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 작업들은 다수 필드들에서 기판 상의 후보 결함 영역들에서 기판을 검사하여, 어떤 결함들이 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
123. 121 항 또는 122 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
124. 121 항 내지 123 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
125. 121 항 내지 124 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
126. 121 항 내지 125 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
127. 121 항 내지 126 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
128. 121 항 내지 127 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 비-일시적 컴퓨터 판독가능한 매체.(127)
129. 121 항 내지 128 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 비-일시적 컴퓨터 판독가능한 매체.(121)
130. 121 항 내지 129 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 비-일시적 컴퓨터 판독가능한 매체.(121)
131. 121 항 내지 130 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
132. 121 항 내지 131 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
133. 121 항 내지 132 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 비-일시적 컴퓨터 판독가능한 매체.(121)
134. 121 항 내지 133 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 비-일시적 컴퓨터 판독가능한 매체.(121)
135. 121 항 내지 134 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 비-일시적 컴퓨터 판독가능한 매체.(121)
136. 패터닝 디바이스 내의 결함을 검출하는 방법으로서,
하전 입자 검사 시스템으로 패터닝된 기판을 검사하는 단계 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시킴- ; 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하는 단계; 및 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 단계를 포함하는 방법.
137. 136 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 상기 방법은 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 단계를 더 포함하는 방법.(136)
138. 136 항 또는 137 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 방법.(136)
139. 136 항 내지 138 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 방법.(136)
140. 136 항 내지 139 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 방법.(139)
141. 136 항 내지 140 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 방법.(136)
142. 136 항 내지 141 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 방법.(136)
143. 136 항 내지 142 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 방법.(136)
144. 136 항 내지 143 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 방법.(136)
145. 136 항 내지 144 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 방법.(136)
146. 136 항 내지 145 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 방법.(136)
147. 136 항 내지 146 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 방법.(136)
148. 136 항 내지 147 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 방법.(136)
149. 136 항 내지 148 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 방법.(136)
150. 136 항 내지 149 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 방법.(136)
151. 패터닝 디바이스 내의 결함을 검출하는 시스템으로서,
패터닝된 기판을 검사하기 위해 구성되는 하전 입자 검사 시스템 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시킴- ; 및 기계 판독가능한 명령어들에 의해: 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하고, 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하도록 구성되는 1 이상의 프로세서를 포함하는 시스템.
152. 151 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 하전 입자 검사 시스템 및 1 이상의 프로세서는 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 패터닝된 기판을 검사하여, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하도록 더 구성되는 시스템.(151)
153. 151 항 또는 152 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 시스템.(151)
154. 151 항 내지 153 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 시스템.(151)
155. 151 항 내지 154 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 시스템.(154)
156. 151 항 내지 155 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 시스템.(151)
157. 151 항 내지 156 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 시스템.(151)
158. 151 항 내지 157 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 시스템.(151)
159. 151 항 내지 158 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 시스템.(151)
160. 151 항 내지 159 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 시스템.(151)
161. 151 항 내지 160 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 시스템.(151)
162. 151 항 내지 161 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 시스템.(151)
163. 151 항 내지 162 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 시스템.(151)
164. 151 항 내지 163 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 시스템.(151)
165. 151 항 내지 164 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 시스템.(151)
166. 비-일시적 컴퓨터 판독가능한 매체로서,
컴퓨터에 의해 실행될 때: 하전 입자 검사 시스템으로 패터닝된 기판을 검사하는 것 -패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 패터닝 파라미터들을 변조하는 것은 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킴- ; 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 기판 내의 결함을 검출하는 것; 및 패터닝된 기판 내의 결함에 기초하여 패터닝 디바이스 내의 결함을 검출하는 것을 포함하는 작업들을 야기하는 명령어들을 갖는 비-일시적 컴퓨터 판독가능한 매체.
167. 166 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정에서의 결함의 패터닝가능성에 비해 패터닝된 기판 상으로의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들의 양의 균형을 맞추며, 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고; 작업들은 다수 필드들에서 패터닝된 기판 상의 후보 결함 영역들에서 검사를 반복하여, 어떤 결함들이 패터닝된 기판에 걸쳐 반복되고 이에 따라 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.(166)
168. 166 항 또는 167 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(166)
169. 166 항 내지 168 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(166)
170. 166 항 내지 169 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 도즈를 변동시키는 것은 정규 생산 패터닝 공정에 사용되는 도즈에 비해 도즈를 증가시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(169)
171. 166 항 내지 170 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(166)
172. 166 항 내지 171 항 중 어느 하나에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 패터닝 공정과 연계된 퓨필 충전율(PFR)을 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(166)
173. 166 항 내지 172 항 중 어느 하나에 있어서, PFR은 20 % 미만으로 변동되며, 이는 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는 비-일시적 컴퓨터 판독가능한 매체.(166)
174. 166 항 내지 173 항 중 어느 하나에 있어서, 패터닝 디바이스는 마스크이고, 정규 패터닝 공정은 반도체 제조 공정인 비-일시적 컴퓨터 판독가능한 매체.(166)
175. 166 항 내지 174 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 비-일시적 컴퓨터 판독가능한 매체.(166)
176. 166 항 내지 175 항 중 어느 하나에 있어서, 패터닝된 기판 상으로의 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 패터닝 디바이스 내의 결함이 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(166)
177. 166 항 내지 176 항 중 어느 하나에 있어서, 변조된 패터닝 파라미터들과 연계된 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(166)
178. 166 항 내지 177 항 중 어느 하나에 있어서, 패터닝된 기판은 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는 비-일시적 컴퓨터 판독가능한 매체.(166)
179. 166 항 내지 178 항 중 어느 하나에 있어서, 패터닝된 기판은 패터닝된 반도체 웨이퍼이고, 패터닝 디바이스 내의 결함은 패터닝 디바이스 상의 입자를 포함하는 비-일시적 컴퓨터 판독가능한 매체.(166)
180. 166 항 내지 179 항 중 어느 하나에 있어서, 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 패터닝된 기판은 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는 비-일시적 컴퓨터 판독가능한 매체.(166)
181. 비-일시적 컴퓨터 판독가능한 매체로서,
컴퓨터에 의해 실행될 때, 컴퓨터가 반도체 제조 공정에서 사용되는 마스크 내의 결함을 검출하게 하는 명령어들을 갖고, 검출은 패터닝된 기판의 패터닝 생산성과 무관하게 결함이 향상된 비-생산 패터닝된 반도체 웨이퍼에서 수행되며, 상기 명령어들은: 하전 입자 검사 시스템으로 패터닝된 반도체 웨이퍼를 검사하는 것 -패터닝된 반도체 웨이퍼는 마스크를 사용하여, 및 정규 반도체 제조 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고; 패터닝 파라미터들을 변조하는 것은 패터닝된 반도체 웨이퍼 상으로의 마스크 내의 결함의 패터닝가능성을 향상시키고, 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 패터닝된 반도체 웨이퍼 내의 패터닝된 결함들의 양의 균형을 맞추도록 구성됨- ; 마스크 내의 결함의 향상된 패터닝가능성과 연계된 패터닝된 반도체 웨이퍼 내의 결함을 검출하는 것; 및 패터닝된 반도체 웨이퍼 내의 결함에 기초하여 마스크 내의 결함을 검출하는 것을 포함하는 작업들을 야기하는 비-일시적 컴퓨터 판독가능한 매체.
182. 181 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 반도체 제조 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 반도체 웨이퍼를 코팅하고, 더 높은 도즈 레지스트에 기초하여 정규 반도체 제조 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(181)
183. 181 항 또는 182 항에 있어서, 패터닝 파라미터들을 변조하는 것은 정규 반도체 제조 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하고, 더 높은 콘트라스트 조명은 반도체 제조 공정과 연계된 퓨필 충전율(PFR)을 20 % 미만으로 변동시킴으로써 제공되며, 이는 반도체 제조 공정의 일루미네이터 효율이 100 % 미만이도록 하는 비-일시적 컴퓨터 판독가능한 매체.(181)
184. 181 항 내지 183 항 중 어느 하나에 있어서, 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)인 비-일시적 컴퓨터 판독가능한 매체.(181)
185. 181 항 내지 184 항 중 어느 하나에 있어서, 패터닝된 반도체 웨이퍼 상으로의 마스크 내의 결함의 패터닝가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것은, 정규 생산 패터닝 공정에서 결함을 패터닝할 가능성에 비해 마스크 내의 결함이 반도체 웨이퍼 상으로 패터닝될 가능성을 향상시키기 위해 패터닝 파라미터들을 변조하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.(181)
본 명세서에 개시된 개념들은 서브 파장 피처들을 위한 여하한의 이미징, 에칭, 연마, 검사 등의 시스템과 사용될 수 있으며, 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 50 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판으로 제조하는 데 사용될 수 있지만, 개시된 개념들은 여하한 타입의 제조 시스템(예를 들어, 실리콘 웨이퍼들 이외의 기판들 상에 제조하는 데 사용되는 것들)으로 사용될 수도 있다는 것을 이해하여야 한다.
또한, 개시된 요소들의 조합 및 서브-조합들이 개별 실시예들을 포함할 수 있다. 예를 들어, 본 명세서에 설명된 지점 레벨 및 피처 레벨 필터링은 개별 실시예들에 포함될 수 있거나, 동일한 실시예에 함께 포함될 수 있다.
앞선 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 분명히 알 것이다.
본 명세서에 사용된 바와 같이, 달리 특정적으로 명시되지 않는 한, "또는(or)"이라는 용어는 실행불가능한 경우를 제외하고 모든 가능한 조합들을 포괄한다. 예를 들어, 구성요소가 A 또는 B를 포함할 수 있다고 언급되는 경우, 달리 특정적으로 명시되거나 실행불가능하지 않는 한, 구성요소는 A, 또는 B, 또는 A와 B를 포함할 수 있다. 두 번째 예시로서, 구성요소가 A, B, 또는 C를 포함할 수 있다고 언급되는 경우, 달리 특정적으로 명시되거나 실행불가능하지 않는 한, 구성요소는 A, 또는 B, 또는 C, 또는 A와 B, 또는 A와 C, 또는 B와 C, 또는 A와 B와 C를 포함할 수 있다.

Claims (15)

  1. 패터닝 디바이스 내의 결함을 검출하는 시스템으로서,
    패터닝된 기판을 검사하기 위해 구성되는 하전 입자 검사 시스템 -상기 패터닝된 기판은 상기 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 상기 패터닝 파라미터들을 변조하는 것은 상기 패터닝된 기판 상으로의 상기 결함의 패터닝가능성(patternability)을 향상시킴- ; 및
    기계 판독가능한 명령어들에 의해:
    상기 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 상기 패터닝된 기판 내의 결함을 검출하고,
    상기 패터닝된 기판 내의 결함에 기초하여 상기 패터닝 디바이스 내의 결함을 검출하도록 구성되는 1 이상의 프로세서
    를 포함하는, 시스템.
  2. 제 1 항에 있어서,
    상기 패터닝 파라미터들을 변조하는 것은 상기 정규 생산 패터닝 공정에서의 상기 결함의 패터닝가능성에 비해 상기 패터닝된 기판 상으로의 상기 결함의 패터닝가능성을 향상시키고, 상기 향상된 패터닝가능성과 변조된 패터닝 파라미터들과 연계된 상기 패터닝된 기판 내의 패터닝된 결함들의 양(quantity)의 균형을 맞추며, 상기 패터닝된 기판의 패터닝 생산성과 무관하게 수행되고,
    상기 하전 입자 검사 시스템 및 상기 1 이상의 프로세서는 다수 필드들에서 상기 패터닝된 기판 상의 후보 결함 영역들에서 상기 패터닝된 기판을 검사하고, 어떤 결함들이 상기 패터닝된 기판에 걸쳐 반복되고 이에 따라 상기 패터닝 파라미터들을 변조함으로써 향상되었는지를 결정하도록 더 구성되는, 시스템.
  3. 제 1 항에 있어서,
    상기 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한, 더 높은 도즈 레지스트로 기판을 코팅하는 것을 포함하는, 시스템.
  4. 제 1 항에 있어서,
    상기 패터닝 파라미터들을 변조하는 것은 상기 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈 또는 포커스를 변동시키는 것을 포함하는, 시스템.
  5. 제 4 항에 있어서,
    상기 패터닝 파라미터들을 변조하는 것은 정규 생산 패터닝 공정 레지스트와 비교하여 상이한 레지스트로 기판을 코팅하고, 상기 정규 생산 패터닝 공정과 연계된 노광 조명의 도즈를 변동시키는 것을 포함하며, 상기 도즈를 변동시키는 것은 상기 정규 생산 패터닝 공정에 사용되는 도즈에 비해 상기 도즈를 증가시키는 것을 포함하는, 시스템.
  6. 제 1 항에 있어서,
    상기 패터닝 파라미터들을 변조하는 것은 상기 정규 생산 패터닝 공정 동안 제공되는 조명과 비교하여 패터닝을 위해 더 높은 콘트라스트 조명을 제공하는 것을 포함하는, 시스템.
  7. 제 1 항에 있어서,
    상기 패터닝 파라미터들을 변조하는 것은 상기 정규 패터닝 공정과 연계된 퓨필 충전율(pupil fill ratio: PFR)을 변동시키는 것을 포함하는, 시스템.
  8. 제 7 항에 있어서,
    상기 PFR은 20 % 미만으로 변동되며, 이는 상기 정규 패터닝 공정의 일루미네이터 효율이 100 % 미만이도록 하는, 시스템.
  9. 제 1 항에 있어서,
    상기 패터닝 디바이스는 마스크이고, 상기 정규 패터닝 공정은 반도체 제조 공정인, 시스템.
  10. 제 1 항에 있어서,
    상기 하전 입자 검사 시스템은 스캐닝 전자 현미경(SEM)이고, 상기 패터닝된 기판은 패터닝된 반도체 웨이퍼이며, 상기 패터닝 디바이스 내의 결함은 상기 패터닝 디바이스 상의 입자를 포함하는, 시스템.
  11. 제 1 항에 있어서,
    상기 패터닝된 기판 상으로의 상기 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시키기 위해 상기 패터닝 파라미터들을 변조하는 것은, 상기 정규 생산 패터닝 공정에서 상기 결함을 패터닝할 가능성에 비해 상기 패터닝 디바이스 내의 결함이 상기 패터닝된 기판 상으로 패터닝될 가능성을 향상시키기 위해 상기 패터닝 파라미터들을 변조하는 것을 포함하는, 시스템.
  12. 제 1 항에 있어서,
    변조된 패터닝 파라미터들과 연계된 상기 패터닝된 기판 내의 패터닝된 결함들은 확률적 결함(stochastic defect)들을 포함하는, 시스템.
  13. 제 1 항에 있어서,
    상기 패터닝된 기판은 상기 정규 생산 패터닝 공정과 연계된 리소그래피 시스템 또는 별도의 리소그래피 시스템으로 생성되는, 시스템.
  14. 제 1 항에 있어서,
    상기 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되는 상기 패터닝된 기판은 상기 정규 생산 패터닝 공정에서 사용되는 패턴 디자인 및 패터닝 디바이스와 동일한 패턴 디자인 및 패터닝 디바이스를 사용하여 생성되는, 시스템.
  15. 비-일시적(non-transitory) 컴퓨터 판독가능한 매체로서,
    컴퓨터에 의해 실행될 때:
    하전 입자 검사 시스템으로 패터닝된 기판을 검사하는 것 -상기 패터닝된 기판은 패터닝 디바이스를 사용하여, 및 정규 생산 패터닝 공정 동안 사용되는 패터닝 파라미터들과 비교하여 패터닝 파라미터들을 변조함으로써 생성되고, 상기 패터닝 파라미터들을 변조하는 것은 상기 패터닝된 기판 상으로의 상기 패터닝 디바이스 내의 결함의 패터닝가능성을 향상시킴- ;
    상기 패터닝 디바이스 내의 결함의 향상된 패터닝가능성과 연계된 상기 패터닝된 기판 내의 결함을 검출하는 것; 및
    상기 패터닝된 기판 내의 결함에 기초하여 상기 패터닝 디바이스 내의 결함을 검출하는 것
    을 포함하는 작업들을 야기하는 명령어들을 갖는, 비-일시적 컴퓨터 판독가능한 매체.
KR1020247011704A 2021-09-09 2022-08-15 패터닝 디바이스 결함 검출 시스템들 및 방법들 KR20240064683A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP21195866.5A EP4148499A1 (en) 2021-09-09 2021-09-09 Patterning device defect detection systems and methods
EP21195866.5 2021-09-09
PCT/EP2022/072752 WO2023036561A1 (en) 2021-09-09 2022-08-15 Patterning device defect detection systems and methods

Publications (1)

Publication Number Publication Date
KR20240064683A true KR20240064683A (ko) 2024-05-13

Family

ID=77710584

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247011704A KR20240064683A (ko) 2021-09-09 2022-08-15 패터닝 디바이스 결함 검출 시스템들 및 방법들

Country Status (7)

Country Link
US (1) US20240210336A1 (ko)
EP (2) EP4148499A1 (ko)
KR (1) KR20240064683A (ko)
CN (1) CN117918007A (ko)
IL (1) IL310980A (ko)
TW (1) TW202326293A (ko)
WO (1) WO2023036561A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JPH09211840A (ja) * 1996-02-05 1997-08-15 Matsushita Electric Ind Co Ltd レチクルの検査方法及び検査装置並びにパターンの検査方法及び検査装置
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US8041106B2 (en) * 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
US10395358B2 (en) * 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection

Also Published As

Publication number Publication date
TW202326293A (zh) 2023-07-01
US20240210336A1 (en) 2024-06-27
WO2023036561A1 (en) 2023-03-16
EP4399571A1 (en) 2024-07-17
CN117918007A (zh) 2024-04-23
EP4148499A1 (en) 2023-03-15
IL310980A (en) 2024-04-01

Similar Documents

Publication Publication Date Title
US11119414B2 (en) Yield estimation and control
TWI753517B (zh) 半導體裝置幾何方法及系統
KR102550326B1 (ko) 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법
KR20230141891A (ko) 기계 학습 기반 역 광 근접 보정 및 공정 모델 캘리브레이션
TWI752539B (zh) 用於模型校準以減少模型預測不確定性的預測資料選擇
KR102304317B1 (ko) 패턴 구성을 위한 방법 및 시스템
US10459345B2 (en) Focus-dose co-optimization based on overlapping process window
TWI718771B (zh) 用於減小與晶圓上之結構相關聯的誤差之可變性的方法、電腦程式產品、及系統
KR102166322B1 (ko) 메트롤로지 데이터로부터의 소스 분리
TW202201118A (zh) 基於機器學習之次解析度輔助特徵置放
KR20210076995A (ko) 로컬 전계에 기초하여 패터닝 프로세스의 모델에서 타겟 피처를 조정하는 방법
KR20180096757A (ko) 프로세스-윈도우 특성화를 위한 장치 및 방법
KR20200015748A (ko) 결함 예측
KR20240064683A (ko) 패터닝 디바이스 결함 검출 시스템들 및 방법들
US20240212125A1 (en) Patterning parameter determination using a charged particle inspection system
TWI844923B (zh) 使用帶電粒子檢測系統之圖案化參數判定
JP2024522605A (ja) 検査データフィルタリングのシステム及び方法
TW202346842A (zh) 與半導體製造相關之度量衡之視場選擇
WO2024088666A1 (en) Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
CN117501184A (zh) 检查数据滤除系统和方法
CN116583785A (zh) 确定用于重叠特征标识的标记结构的方法