KR102166322B1 - 메트롤로지 데이터로부터의 소스 분리 - Google Patents

메트롤로지 데이터로부터의 소스 분리 Download PDF

Info

Publication number
KR102166322B1
KR102166322B1 KR1020187020353A KR20187020353A KR102166322B1 KR 102166322 B1 KR102166322 B1 KR 102166322B1 KR 1020187020353 A KR1020187020353 A KR 1020187020353A KR 20187020353 A KR20187020353 A KR 20187020353A KR 102166322 B1 KR102166322 B1 KR 102166322B1
Authority
KR
South Korea
Prior art keywords
substrate
contributions
measurement
radiation
target
Prior art date
Application number
KR1020187020353A
Other languages
English (en)
Other versions
KR20180095638A (ko
Inventor
스콧 앤더슨 미들브룩스
오머 아부바커 오머 아담
아드리아누스 코르넬리스 마테우스 코프만
헨리쿠스 요하네스 람베르투스 메겐스
아리에 제프리 덴 보에프
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180095638A publication Critical patent/KR20180095638A/ko
Application granted granted Critical
Publication of KR102166322B1 publication Critical patent/KR102166322B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

본 명세서에서는, 리소그래피 장치들 및 공정들에 관한 방법 및 컴퓨터 프로그램 제품, 특히 리소그래피 장치들 및 공정들에 의해 생성되는 기판들을 검사하는 방법 및 컴퓨터 프로그램이 개시된다. 상기 방법 및/또는 컴퓨터 프로그램 제품은: 컴퓨터를 이용하여, 리소그래피 공정 또는 상기 리소그래피 공정에 의해 처리된 기판으로부터 측정되는 결과들로부터 독립적인 소스들로부터의 기여들을 결정하는 단계를 포함하고, 상기 결과들은 복수의 상이한 기판 측정 레시피들을 이용하여 측정된다.

Description

메트롤로지 데이터로부터의 소스 분리
본 출원은 2015년 12월 17일에 출원된 미국 출원 62/268,982의 우선권을 주장하고, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 리소그래피 장치들 및 공정들에 관한 것으로, 특히 리소그래피 장치들 및 공정들에 의해 생성되는 기판들을 검사하는 방법 및 툴에 관한 것이다.
리소그래피 장치는, 예를 들어 집적 회로(IC) 또는 다른 디바이스들의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 디바이스의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 장치에서는, 전체 패터닝 디바이스 상의 회로 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는, 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 과정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 이 과정들 중 일부 또는 전부, 또는 그 변형이 반복될 수 있다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 복수의 디바이스들이 존재하는 경우, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되고 핀에 연결되는 등의 단계를 거칠 수 있다.
본 명세서에서, 컴퓨터를 이용하여 리소그래피 공정 또는 리소그래피 공정에 의해 처리된 기판으로부터 측정되는 결과들로부터 독립적인 소스들로부터의 기여(contribution)들을 결정하는 단계를 포함한 방법이 개시되고, 결과들은 복수의 상이한 기판 측정 레시피(recipe)들을 이용하여 측정된다.
일 실시예에 따르면, 상기 방법은 결과들의 차원(dimension)들의 수를 감소시키는 단계를 더 포함한다.
일 실시예에 따르면, 차원들의 수를 감소시키는 단계는 주 성분 분석(PCA)을 이용하는 단계를 포함한다.
일 실시예에 따르면, 결과들은 기여들의 선형 조합들이다.
일 실시예에 따르면, 상기 방법은 기여들을 매트릭스로 컴파일(compile)하는 단계를 더 포함한다.
일 실시예에 따르면, 결과들은 복수의 상이한 위치들로부터 얻어진 오버레이 값들을 포함한다.
일 실시예에 따르면, 기판 측정 레시피들은 기판 측정 레시피들이 수행하는 측정의 파라미터, 또는 기판 측정 레시피들에 의해 측정되는 패턴의 파라미터에 있어서 상이하다.
일 실시예에 따르면, 기여들은 기판 측정 레시피들에 의해 측정되는 특성의 실제 값으로부터의 기여를 포함한다.
일 실시예에 따르면, 상기 방법은 실제 값으로부터의 기여를 식별하는 단계를 더 포함한다.
일 실시예에 따르면, 상기 방법은 실제 값으로부터의 기여로부터 실제 값을 결정하는 단계를 더 포함한다.
일 실시예에 따르면, 실제 값으로부터의 기여를 식별하는 단계는 다른 데이터로의 검증(verification)을 포함한다.
일 실시예에 따르면, 실제 값으로부터의 기여를 식별하는 단계는 기여들 중 어느 것이 복수의 기판 측정 레시피들에 걸쳐 일관성이 있는지를 발견하는 단계를 포함한다.
일 실시예에 따르면, 상기 방법은 기여들로부터 기판 측정 레시피들의 정확성을 결정하는 단계를 더 포함한다.
본 명세서에서, 명령어들이 기록되어 있는 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품이 개시되고, 명령어들은 컴퓨터에 의해 실행되는 경우에 앞선 방법들 중 어느 하나를 구현한다.
도 1은 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램;
도 2a는 리소그래피 공정에서 결함들을 예측하는 방법을 개략적으로 도시하는 도면;
도 2b는 소정 조명 모드들을 제공하는 제 1 쌍의 조명 어퍼처(illumination aperture)들을 이용하여 본 발명의 실시예들에 따른 타겟들을 측정하는 데 사용되는 다크 필드(dark field) 측정 장치의 개략적인 다이어그램;
도 2c는 주어진 방향의 조명에 대한 타겟의 상세한 회절 스펙트럼을 개략적으로 도시하는 도면;
도 2d는 회절 기반 오버레이 측정들을 위해 측정 장치를 이용함에 있어서 또 다른 조명 모드들을 제공하는 제 2 쌍의 조명 어퍼처들을 개략적으로 예시하는 도면;
도 2e는 회절 기반 오버레이 측정들을 위해 측정 장치를 이용함에 있어서 또 다른 조명 모드들을 제공하는 제 1 및 제 2 쌍들의 어퍼처들을 조합한 제 3 쌍의 조명 어퍼처들을 개략적으로 예시하는 도면;
도 2f는 일 형태의 다수 주기적 구조체(예를 들어, 다수 격자) 타겟 및 기판 상의 측정 스폿의 윤곽(outline)을 도시하는 도면;
도 2g는 도 2b의 장치에서 얻어진 도 2f의 타겟의 이미지를 도시하는 도면;
도 3은 각 복제품(copy)들이 기판의 4 개의 상이한 영역들에 배치되는 2 개의 별개의 타겟들(P 및 Q)을 갖는 기판을 개략적으로 나타내는 도면;
도 4a 및 도 4b는 동일한 타겟이 어떻게 상이한 기판 측정 레시피들에서 상이한 시스템적 오차(systematic error)들을 도입할 수 있는지를 입증하는 도면;
도 5는 측정 결과들의 세트에서의 (시스템적 오차들 및 실제 값과 같은) 다양한 소스들로부터의 기여들의 조합을 개략적으로 나타내는 도면;
도 6은 도 5의 결과들의 예시들로서, 기판 상의 상이한 위치들에서 측정된 12 개의 오버레이 값들을 개략적으로 나타내는 도면;
도 7은 12 개의 오버레이 값들, 오버레이의 실제 값들, 및 비대칭으로부터의 기여가 맵들로서(즉, 위치들의 함수로서) 플롯구성될 수 있음을 개략적으로 나타내는 도면;
도 8은 일 실시예에 따라, 리소그래피 공정 또는 리소그래피 공정에 의해 처리되는 기판으로부터 측정된 결과들의 세트에서 상이한 소스들로부터의 기여들을 결정하는 방법에 대한 흐름도를 개략적으로 나타내는 도면;
도 9는 일 실시예에 따라, 도 8에서 결정되는 기여들 사이에서의 측정으로부터 실제 값으로부터의 기여가 식별될 수 있음을 개략적으로 나타내는 도면;
도 10은 일 실시예에 따라, 도 8에서 결과들을 얻는 데 사용되는 기판 측정 레시피들의 정확성이 도 8의 흐름에서 결정되는 매트릭스 또는 기여들로부터 결정될 수 있음을 개략적으로 나타내는 도면;
도 11a, 도 11b 및 도 11c는 각각 16 개의 상이한 기판 측정 레시피들(수평축)을 이용하여 얻어진 결과들에서 3 개의 소스들로부터 정규화된 기여들(수직축)을 나타내는 도면;
도 12는 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 13은 리소그래피 장치의 개략적인 다이어그램;
도 14는 또 다른 리소그래피 장치의 개략적인 다이어그램; 및
도 15는 도 14의 장치의 더 상세한 도면이다.
본 명세서에서는, IC의 제조에 있어서 특정 사용예에 대하여 언급되지만, 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 한다는 것을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 (예를 들어, 리소그래피의) 디바이스 제작 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃의 더 높은 투영 정확성, 더 큰 공정 윈도우 등과 같은 1 이상의 바람직한 특성을 갖도록 장치, 예를 들어 리소그래피 장치를 조정하는 것을 의미한다.
간략한 도입부로서, 도 1은 예시적인 리소그래피 장치(10A)를 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스(deep-ultraviolet excimer laser source) 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스일 수 있는 방사선 소스(12A)(본 명세서에 언급되는 바와 같이, 리소그래피 장치 자체가 방사선 소스를 가질 필요는 없음)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있고, (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하는 조명 광학기; 및 기판 평면(22A) 상에 패터닝 디바이스(18A)의 패터닝 디바이스 패턴의 이미지를 투영하는 광학기(16Ac)를 포함한다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(20A)가 기판 평면(22A) 상에 부딪치는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수(numerical aperture) NA = sin(Θmax)를 정의한다.
리소그래피 장치에서, 투영 광학기는 소스로부터 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. "투영 광학기"라는 용어는, 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 여하한의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 가용성의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157630호에서 찾아볼 수 있다. 레지스트 모델은 레지스트 층의 속성들[예를 들어, 노광, 노광-후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들]에만 관련된다. 리소그래피 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우한다. 리소그래피 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다.
도 2a에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 리소클러스터(lithocluster)라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있으며, 이는 기판 상에 1 이상의 노광-전(pre-exposure) 및 노광-후(post-exposure) 공정을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 1 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 1 이상의 디벨로퍼(developer: DE), 1 이상의 칠 플레이트(chill plate: CH) 및 1 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판을 집어올리고, 상기 기판을 상이한 공정 디바이스들 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다. 또한, 리소그래피 셀(LC)은 기판을 에칭하는 1 이상의 에처(etcher) 및 기판의 파라미터를 측정하도록 구성되는 1 이상의 측정 디바이스를 포함할 수 있다. 측정 디바이스는 기판의 물리적 파라미터를 측정하도록 구성되는 광학 측정 디바이스, 예컨대 스케터로미터, 스캐닝 전자 현미경 등을 포함할 수 있다.
반도체 디바이스 제작 공정(예를 들어, 리소그래피 공정)에서, 기판은 공정 동안 또는 이후에 다양한 유형들의 측정을 거칠 수 있다. 측정은 특정 기판에 결함이 있는지 여부를 결정할 수 있거나, (예를 들어, 기판 상의 2 개의 층들을 정렬시키거나 마스크를 기판에 정렬시키는) 공정 및 공정에 사용되는 장치들에 대한 조정들을 확립할 수 있거나, 공정 및 장치들의 성능을 측정할 수 있거나, 또는 다른 목적들을 위한 것일 수 있다. 기판 측정의 예시들로는 광학 이미징(예를 들어, 광학 현미경), 비-이미징 광학 측정(예를 들어, ASML YieldStar, ASML SMASH GridAlign과 같은 회절에 기초한 측정), 기계 측정[예를 들어, 스타일러스를 사용한 프로파일링, 원자력 현미경(AFM)], 비-광학 이미징[예를 들어, 스캐닝 전자 현미경(SEM)]을 포함한다. 본 명세서에서 그 전문이 인용참조되는 미국 특허 제 6,961,116호에 기재된 바와 같은 SMASH(SMart Alignment Sensor Hybrid) 시스템은 정렬 마커의 두 개의 중첩되고 상대적으로 회전된 이미지들을 생성하는 자기-참조 간섭계(self-referencing interferometer)를 사용하며, 이미지들의 푸리에 변환이 간섭하게 되는 퓨필 평면 내의 세기들을 검출하고, 간섭된 차수들에서의 세기 변동들로서 나타나는 두 이미지의 회절 차수들 간의 위상차로부터 위치 정보를 추출한다. 유용한 데이터를 얻기 위해, 기판 측정 레시피는 충분히 정확하고 정밀하여야 한다.
"기판 측정 레시피"라는 용어는 측정 자체의 파라미터들, 측정되는 패턴의 파라미터들, 또는 둘 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에서 사용되는 측정이 비-이미징 회절-기반 광학 측정인 경우, 측정의 파라미터들은 회절되는 광의 파장, 편광, 기판에 대한 입사각, 기판 상의 패턴에 대한 상대 방위를 포함할 수 있다. 측정되는 패턴들은 회절이 측정되는 패턴들일 수 있다. 측정되는 패턴들은 측정을 위해 특별히 디자인되는 패턴들("타겟들" 또는 "타겟 구조체들"이라고도 알려짐)일 수 있다. 타겟의 다수 복제품들이 기판 상의 여러 위치에 배치될 수 있다. 측정되는 패턴들의 파라미터들은 이 패턴들의 형상, 방위 및 크기를 포함할 수 있다. 기판 측정 레시피는 기판 상의 기존 패턴들에 대해 이미징되고 있는 패턴들의 층을 정렬시키는 데 사용될 수 있다. 기판 측정 레시피는 기판의 상대 위치를 측정함으로써 기판에 마스크를 정렬시키는 데 사용될 수 있다.
기판 측정 레시피는 수학적 형태: (r1,r2,r3,…,rn;t1,t2,t3,…,tn)로 표현될 수 있으며, 여기서 ri는 측정의 파라미터들이고, tj는 측정되는 패턴들의 파라미터들이다. 도 3은 2 개의 별개의 타겟들(P 및 Q)을 갖는 기판을 개략적으로 도시하며, 여기서 각각의 복제품들이 기판의 4 개의 상이한 영역들에 배치된다. 타겟들은, 예를 들어 서로 수직인 방향들의 격자들을 포함할 수 있다. 도 3의 기판은 2 개의 기판 측정 레시피들(A 및 B)을 사용한 측정을 거칠 수 있다. 기판 측정 레시피들(A 및 B)은 적어도 측정되는 타겟이 상이하다(예를 들어, A는 타겟 P를 측정하고, B는 타겟 Q를 측정함). 또한, 기판 측정 레시피들(A 및 B)은 이들 측정의 파라미터들이 상이할 수 있다. 기판 측정 레시피들(A 및 B)은 심지어 동일한 측정 기술에 기초하지 않을 수도 있다. 예를 들어, 레시피 A는 SEM 측정에 기초할 수 있고, 레시피 B는 AFM 측정에 기초할 수 있다.
스케터로미터에 의해 사용되는 타겟은 비교적 큰, 예를 들어 40㎛×40㎛ (예를 들어, 1 이상의 격자를 포함한) 주기적 구조체 레이아웃을 포함할 수 있다. 그 경우, 측정 빔은 흔히 주기적 구조체 레이아웃보다 작은 스폿 크기를 갖는다[즉, 주기적 구조체들 중 1 이상이 스폿으로 완전히 덮이지 않도록 레이아웃이 언더필링(underfill)됨]. 이는 무한한 것으로서 간주될 수 있기 때문에 타겟의 수학적 재구성을 단순화한다. 하지만, 예를 들어 타겟이 스크라이브 레인 내에 있기보다는 제품 피처(product feature)들 사이에 위치될 수 있기 위해, 예를 들어 20㎛×20㎛ 이하까지, 또는 10㎛×10㎛ 이하까지 타겟의 크기가 감소되었다. 이 상황에서, 주기적 구조체 레이아웃은 측정 스폿보다 작게 구성될 수 있다[즉, 주기적 구조체 레이아웃이 오버필링(overfill)됨]. 통상적으로, 이러한 타겟은 (정반사에 대응하는) 0차 회절이 차단되고, 더 높은 차수들만이 처리되는 다크 필드 스케터로메트리를 이용하여 측정된다. 다크 필드 메트롤로지의 예시들은 PCT 특허 출원 공개공보 WO 2009/078708 및 WO 2009/106279에서 찾아볼 수 있으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 기술의 추가 개발들이 미국 특허 출원 공개공보 US2011/0027704, US2011/0043791, 및 US2012/0242970에서 설명되었으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 회절 차수들의 다크-필드 검출을 이용한 회절-기반 오버레이는 더 작은 타겟들에 대한 오버레이 측정들을 가능하게 한다. 이 타겟들은 조명 스폿보다 작을 수 있고, 기판 상의 제품 구조체들에 의해 둘러싸일 수 있다. 일 실시예에서, 다수 타겟들이 하나의 이미지에서 측정될 수 있다.
일 실시예에서, 기판 상의 타겟은 현상 이후에 바아(bar)들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1 이상의 1-D 주기적 격자를 포함할 수 있다. 일 실시예에서, 타겟은 현상 이후에 1 이상의 격자가 레지스트에서 솔리드 레지스트 필라(pillar)들 또는 비아(via)들로 형성되도록 프린트되는 1 이상의 2-D 주기적 격자를 포함할 수 있다. 대안적으로, 바아, 필라 또는 비아는 기판 안으로 에칭될 수 있다. 격자의 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PS)의 색수차에 민감하며, 조명 대칭성 및 이러한 수차의 존재가 프린트된 격자의 변동에서 드러날 것이다. 따라서, 프린트된 격자들의 측정된 데이터가 격자들을 재구성하는 데 사용될 수 있다. 프린팅 단계 및/또는 다른 측정 공정들의 정보로부터, 라인 폭 및 형상과 같은 1-D 격자의 파라미터들, 또는 필라 또는 비아 폭 또는 길이 또는 형상과 같은 2-D 격자의 파라미터들이 처리 유닛(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다.
다크 필드 메트롤로지 장치가 도 2b에 도시된다. 도 2c에는 (격자와 같은 주기적 구조체를 포함한) 타겟(T) 및 회절된 광선들이 더 상세히 예시된다. 다크 필드 메트롤로지 장치는 독립형 디바이스이거나, 예를 들어 측정 스테이션에서의 리소그래피 장치(LA) 또는 리소그래피 셀(LC)에 통합될 수 있다. 장치 전체에 걸쳐 수 개의 브랜치들을 갖는 광학 축선이 점선(O)으로 나타내어진다. 이 장치에서, 출력부(11)(예를 들어, 레이저 또는 제논 램프와 같은 소스 또는 소스에 연결된 개구부)에 의해 방출된 방사선이 렌즈들(12, 14) 및 대물 렌즈(16)를 포함한 광학 시스템에 의하여 프리즘(15)을 통해 기판(W) 상으로 지향된다. 이 렌즈들은 4F 구성의 이중 시퀀스(double sequence)로 배치된다. 상이한 렌즈 구성이 여전히 검출기 상에 기판 이미지를 제공하는 것을 전제로 사용될 수 있다.
일 실시예에서, 렌즈 구성은 공간-주파수 필터링을 위해 중간 퓨필-평면의 접근을 허용한다. 그러므로, 방사선이 기판 상에 입사하는 각도 범위는, 본 명세서에서 (켤레) 퓨필 평면이라고 칭하는 기판 평면의 공간 스펙트럼을 나타내는 평면 내의 공간 세기 분포를 정의함으로써 선택될 수 있다. 특히, 이는 대물 렌즈 퓨필 평면의 배면-투영된 이미지(back-projected image)인 평면에서 렌즈들(12 및 14) 사이에 적절한 형태의 어퍼처 플레이트(aperture plate: 13)를 삽입함으로써 행해질 수 있다. 나타낸 예시에서, 어퍼처 플레이트(13)는 13N 및 13S로 표시된 상이한 형태들을 가지며, 상이한 조명 모드들이 선택되게 한다. 나타낸 예시들에서의 조명 시스템은 오프-액시스(off-axis) 조명 모드를 형성한다. 제 1 조명 모드에서는, 어퍼처 플레이트 13N이 단지 설명을 위해 '북'으로 지정된 방향으로부터 오프-액시스 조명을 제공한다. 제 2 조명 모드에서는, 어퍼처 플레이트 13S가 유사하지만 '남'으로 표시된 반대 방향으로부터의 조명을 제공하는 데 사용된다. 상이한 어퍼처들을 이용함으로써 다른 조명 모드들이 가능하다. 퓨필 평면의 나머지는 바람직하게는 어두운데(dark), 이는 바람직한 조명 모드 외의 여하한의 불필요한 방사선이 바람직한 측정 신호들과 간섭할 수 있기 때문이다.
도 2c에 나타낸 바와 같이, 타겟(T)이 대물 렌즈(16)의 광학 축선(O)에 실질적으로 수직인 기판(W)과 배치된다. 축선(O)을 벗어난 각도로부터 타겟(T)에 입사하는 조명 광선(I)은 0차 광선[실선(0)] 및 2 개의 1차 광선들[1점쇄선(+1) 및 2점쇄선(-1)]을 발생시킨다. 오버필링되는 작은 타겟(T)을 이용하면, 이 광선들은 메트로롤지 타겟(T) 및 다른 피처들을 포함한 기판의 영역을 덮는 많은 평행 광선들 중 하나에 불과하다. 플레이트(13) 내의 어퍼처가 (유용한 양의 방사선을 수용하는 데 필요한) 유한 폭을 갖기 때문에, 입사 광선들(I)은 사실상 다양한 각도들을 차지할 것이고, 회절된 광선들(0 및 +1/-1)은 어느 정도 확산(spread out)될 것이다. 작은 타겟의 점확산 함수(point spread function)에 따르면, 각각의 차수 +1 및 -1은 나타낸 바와 같은 단일의 이상적인 광선이 아니라, 다양한 각도들에 걸쳐 더 확산될 것이다. 주기적 구조체 피치 및 조명 각도는, 대물 렌즈에 들어가는 1차 광선들이 중심 광학 축선과 밀접하게 정렬되도록 디자인되거나 조정될 수 있다는 것을 유의한다. 도 2b 및 도 2c에 예시된 광선들은 순전히 다이어그램에서 이들이 더 쉽게 구별될 수 있도록 어느 정도 축선을 벗어나 도시된다.
적어도 기판(W) 상의 타겟에 의해 회절된 0차 및 +1차가 대물 렌즈(16)에 의해 수집되고, 프리즘(15)을 통해 다시 지향된다. 도 2b로 되돌아가면, 제 1 및 제 2 조명 모드들은 북(N) 및 남(S)으로 표시된 정반대의 어퍼처들을 지정함으로써 예시된다. 입사 광선(I)이 광학 축선의 북쪽으로부터인 경우, 즉 제 1 조명 모드가 어퍼처 플레이트 13N을 이용하여 적용되는 경우, +1(N)으로 표시되는 +1 회절 광선들이 대물 렌즈(16)에 들어간다. 대조적으로, 제 2 조명 모드가 어퍼처 플레이트 13S를 이용하여 적용되는 경우, [-1(S)로 표시된] -1 회절 광선들이 렌즈(16)에 들어가는 광선들이다. 따라서, 일 실시예에서는, -1차 및 +1차 회절 세기들을 따로따로 얻기 위해 소정 조건들 하에, 예를 들어 타겟을 회전시키거나 조명 모드를 변화시키거나 이미징 모드를 변화시킨 후 타겟을 두 번 측정함으로써 측정 결과들이 얻어진다. 주어진 타겟에 대해 이 세기들을 비교하는 것이 타겟에서의 비대칭의 측정을 제공하고, 타겟에서의 비대칭이 리소그래피 공정의 파라미터, 예를 들어 오버레이 오차의 지표(indicator)로서 사용될 수 있다. 앞서 설명된 상황에서는, 조명 모드가 변화된다.
빔 스플리터(17)가 회절된 빔들을 2 개의 측정 브랜치들로 분할한다. 제 1 측정 브랜치에서는, 광학 시스템(18)이 0차 및 1차 회절 빔들을 이용하여 제 1 센서(19)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(퓨필 평면 이미지)을 형성한다. 각각의 회절 차수가 센서 상의 상이한 지점을 타격하여, 이미지 처리가 차수들을 비교하고 대조하도록 할 수 있다. 센서(19)에 의해 포착된 퓨필 평면 이미지는 메트롤로지 장치를 포커싱하고, 및/또는 1차 빔의 세기 측정들을 정규화하는 데 사용될 수 있다. 또한, 퓨필 평면 이미지는 재구성과 같은 많은 측정을 위해 사용될 수 있으며, 이는 본 명세서에서 상세히 설명되지 않는다.
제 2 측정 브랜치에서는, 광학 시스템(20, 22)이 센서(23)(예를 들어, CCD 또는 CMOS 센서) 상에 기판(W) 상의 타겟의 이미지를 형성한다. 제 2 측정 브랜치에서, 퓨필-평면에 대해 켤레인 평면에 어퍼처 스톱(aperture stop: 21)이 제공된다. 어퍼처 스톱(21)은, 센서(23) 상에 형성된 타겟의 이미지(DF)가 -1차 또는 +1차 빔으로부터 형성되도록 0차 회절 빔을 차단하는 기능을 한다. 센서들(19 및 23)에 의해 포착된 이미지들은 이미지 프로세서 및 제어기(PU)로 출력되며, 이들의 기능은 수행되는 측정들의 특정 타입에 의존할 것이다. 본 명세서에서, '이미지'라는 용어는 넓은 의미로 사용된다는 것을 유의한다. 이러한 것으로서 주기적 구조체 피처들(예를 들어, 격자 라인들)의 이미지는 -1차 및 +1차 중 하나만이 존재하는 경우에 형성되지 않을 것이다.
도 2d 및 도 2e에 나타낸 어퍼처 플레이트(13) 및 스톱(21)의 특정 형태들은 순전히 예시들이다. 또 다른 실시예에서, 타겟들의 온-액시스(on-axis) 조명이 사용되고, 오프-액시스 어퍼처를 갖는 어퍼처 스톱이 사용되어, 실질적으로 회절된 방사선의 단 하나의 1차를 센서로 통과시킨다. 다른 실시예들에서, 1차 빔들 대신에, 또는 이에 추가하여 2차, 3차 및 더 높은 차수의 빔들(도시되지 않음)이 측정에 사용될 수 있다.
조명을 이 상이한 타입들의 측정에 적응가능하게 만들기 위해, 어퍼처 플레이트(13)는 디스크 주위에 형성되는 다수의 어퍼처 패턴들을 포함할 수 있으며, 이는 회전되어 제 자리에 원하는 패턴을 야기한다. 어퍼처 플레이트 13N 또는 13S는 한 방향으로(셋업에 의존하여 X 또는 Y) 방위지정되는 타겟의 주기적 구조체를 측정하기 위해 사용된다는 것을 유의한다. 직교 주기적 구조체의 측정을 위해서는, 90°및 270°에 걸친 타겟의 회전이 시행될 수 있다. 상이한 어퍼처 플레이트들이 도 2d 및 도 2e에 도시된다. 도 2d는 2 개의 또 다른 타입의 오프-액시스 조명 모드를 예시한다. 도 2d의 제 1 조명 모드에서는, 어퍼처 플레이트 13E가 단지 설명을 위해 앞서 설명된 '북'에 대해 '동'으로 지정된 방향으로부터 오프-액시스 조명을 제공한다. 도 2d의 제 2 조명 모드에서는, 어퍼처 플레이트 13W가 유사하지만 '서'로 표시된 반대 방향으로부터의 조명을 제공하는 데 사용된다. 도 2e는 2 개의 또 다른 타입의 오프-액시스 조명 모드를 예시한다. 도 2e의 제 1 조명 모드에서는, 어퍼처 플레이트 13NW가 앞서 설명된 바와 같은 '북' 및 '서'로 지정된 방향들로부터 오프-액시스 조명을 제공한다. 제 2 조명 모드에서는, 어퍼처 플레이트 13SE가 유사하지만 앞서 설명된 바와 같은 '남' 및 '동'으로 표시된 반대 방향으로부터의 조명을 제공하는 데 사용된다. 이들의 사용, 및 장치의 많은 다른 변형예들 및 적용예들은, 예를 들어 앞서 언급된 사전 공개된 특허 출원 공개공보들에서 설명된다.
도 2f는 기판 상에 형성된 예시적인 복합 메트롤로지 타겟을 도시한다. 복합 타겟은 함께 밀접하게 위치되는 4 개의 주기적 구조체들(이 경우에는 격자들)(32 내지 35)을 포함한다. 일 실시예에서, 주기적 구조체들은 메트롤로지 장치의 조명 빔에 의해 형성된 측정 스폿(31) 내에 모두 있도록 충분히 함께 밀접하게 위치된다. 그 경우, 4 개의 주기적 구조체들은 이에 따라 모두 동시에 조명되고, 동시에 센서들(19 및 23) 상에 이미징된다. 오버레이 측정에 관련된 예시에서, 주기적 구조체들(32 내지 35)은 자체로 겹쳐진(overlying) 주기적 구조체들에 의해 형성된 복합 주기적 구조체들(예를 들어, 복합 격자들)이며, 즉 주기적 구조체들은 기판(W) 상에 형성된 디바이스의 상이한 층들에 패터닝되고, 하나의 층 내의 적어도 하나의 주기적 구조체가 상이한 층 내의 적어도 하나의 주기적 구조체와 겹치도록 한다. 이러한 타겟은 20㎛×20㎛ 또는 16㎛×16㎛ 내의 외측 치수들을 가질 수 있다. 또한, 모든 주기적 구조체들이 특정 쌍의 층들 간의 오버레이를 측정하는 데 사용된다. 타겟이 단일 쌍보다 많은 쌍의 층들을 측정할 수 있게 하기 위해, 주기적 구조체들(32 내지 35)은 상이하게 편향(bias)된 오버레이 오프셋들을 가져, 복합 주기적 구조체들의 상이한 부분들이 형성되는 상이한 층들 간의 오버레이의 측정을 용이하게 할 수 있다. 따라서, 기판 상의 타겟에 대한 주기적 구조체들이 모두 한 쌍의 층들을 측정하는 데 사용될 것이고, 기판 상의 또 다른 동일한 타겟에 대한 주기적 구조체들이 모두 또 다른 쌍의 층들을 측정하는 데 사용될 것이며, 상이한 편향이 층의 쌍들 간의 구별을 용이하게 한다.
도 2g는 도 2e로부터의 어퍼처 플레이트들(13NW 또는 13SE)을 이용하는 도 2b의 장치에서 도 2f의 타겟을 이용하여 센서(23) 상에 형성되고 센서(23)에 의해 검출될 수 있는 이미지의 일 예시를 나타낸다. 센서(19)는 상이한 개별적인 주기적 구조체들(32 내지 35)을 분해할 수 없지만, 센서(23)는 그렇게 할 수 있다. 어두운 직사각형은 센서 상의 이미지의 필드를 나타내며, 이 안에서 기판 상의 조명된 스폿(31)은 대응하는 원형 영역(41)으로 이미징된다. 이 안에서, 직사각형 영역들(42 내지 45)은 주기적 구조체들(32 내지 35)의 이미지들을 나타낸다. 주기적 구조체들이 제품 영역들 내에 위치되는 경우, 제품 피처들도 이 이미지 필드의 주변에서 보일 수 있다. 이미지 프로세서 및 제어기(PU)가 주기적 구조체들(32 내지 35)의 개별 이미지들(42 내지 45)을 식별하기 위해 패턴 인식을 이용하여 이 이미지들을 처리한다. 이 방식으로, 이미지들은 센서 프레임 내의 특정한 위치에서 매우 정밀하게 정렬되지 않아도 되며, 이는 전체적으로 측정 장치의 스루풋을 크게 개선한다.
정확성(accuracy) 및 정밀도(precision)는 관련되지만 별개의 개념들이다. 양(quantity) 측정의 정확성은 양의 실제 값에 대한 양의 측정 값의 근접 정도이다. 재현성 및 반복성과 관련된 측정의 정밀도는 변화되지 않은 조건에서 양의 반복된 측정이 동일한 결과들을 나타내는 정도이다. 정확성 및 정밀도라는 두 용어들은 구어체 사용에서 동의어가 될 수 있지만, 본 명세서에서 과학적 방법의 맥락에서는 의도적으로 대조된다. 측정은 정확하지만 정밀하지 않을 수 있고, 정밀하지만 정확하지 않을 수 있으며, 어느 쪽도 아니거나 둘 다일 수도 있다. 예를 들어, 측정이 시스템적 오차를 포함하는 경우, 샘플 크기(즉, 반복 횟수)를 증가시키는 것은 일반적으로 정밀도를 증가시키지만 정확성을 개선하지는 않는다. 시스템적 오차를 제거하는 것은 정확성을 개선하지만, 정밀도를 변화시키지는 않는다.
이 정의들에 기초하면, 측정의 정밀도를 확인하는 것이 반드시 측정되는 양의 실제 값의 지식을 필요로 하지는 않는다. 양에 대한 측정의 정밀도는 측정의 성질, 측정에 사용되는 장치, 환경, 또는 심지어 측정과 관련된 물리학에 의해 제한될 수 있다. 하지만, 측정의 정확성을 확인하는 것은 측정되는 양의 실제 값을 알지 않고는 어려울 수 있다.
반도체 디바이스 제작 공정의 맥락에서, 기판 측정 레시피가 정확한지를 결정하고 측정 결과들로부터 실제 값을 얻는 것은 어려울 수 있는데, 이는 실제 값 및 시스템적 오차들이 둘 다 측정 결과들에서 나타나기 때문이다. 즉, 이들은 둘 다 결과들에 영향을 미치며, 이에 따라 결과들은 실제 값으로부터의 기여 및 시스템적 오차들로부터의 기여를 가질 수 있다. 시스템적 오차들의 기여가 결정될 수 있는 경우, 측정의 결과들로부터 측정 및 실제 값의 정확성이 결정될 수 있다. 측정의 결과들이 시스템적 오차들로부터의 기여 및 실제 값으로부터의 기여의 선형 조합들(예를 들어, 합)인 경우, 실제 값으로부터의 기여는 측정의 결과들로부터 시스템적 오차들을 제거함으로써 얻어질 수 있고, 실제 값은 실제 값으로부터의 기여로부터 결정될 수 있다.
도 4a 및 도 4b는 동일한 타겟이 어떻게 상이한 기판 측정 레시피들에서 상이한 시스템적 오차들을 도입할 수 있는지를 입증한다. 도 4a는 상부 구조체(311)와 트렌치(312) 사이의 오버레이 오차를 측정하기에 적절한, 트렌치(312) 위에 상부 구조체(311)를 포함하는 타겟(310)의 단면도를 개략적으로 도시한다. 트렌치(312)의 저부(313)는 공정(예를 들어, 에칭, CMP, 또는 공정의 다른 단계들)으로 인해 기울어진다(기판과 평행하지 않다). 예를 들어, 2 개의 다른 동일한 기판 측정 레시피는 광 빔들(314 및 315)이 상이한 방향들로부터 기판 상으로 지향되는 것을 제외하고는, 기판 측정에 대해 동일한 입사각으로 광 빔들(314 및 315)을 사용한다. 빔들(314 및 315)은 기판에 대해 동일한 입사각을 갖지만, 저부(313)가 기판에 대해 기울어지기 때문에 트렌치(312)의 저부(313)에 대해서는 동일한 입사각을 갖지 않는다. 그러므로, 타겟에 의한 빔들(314 및 315)의 산란 특성들이 상이하다.
도 4b는 상부 구조체(321)와 트렌치(322) 사이의 오버레이 오차를 측정하기에 적절한, 트렌치(322) 위에 상부 구조체(321)를 포함하는 또 다른 타겟(320)의 단면도를 개략적으로 도시한다. 트렌치(322)의 측벽(323)은 공정(예를 들어, 에칭, CMP, 또는 공정의 다른 단계들)으로 인해 기울어진다(기판에 수직하지 않다). 예를 들어, 2 개의 다른 동일한 기판 측정 레시피는 광 빔들(324 및 325)이 상이한 방향들로부터 기판 상으로 지향되는 것을 제외하고는, 기판 측정에 대해 동일한 입사각으로 광 빔들(324 및 325)을 사용한다. 빔들(324 및 325)은 기판에 대해 동일한 입사각을 갖지만, 빔(324)이 측벽(323)을 스쳐가는 한편, 빔(325)은 측벽(323)에 거의 수직이다. 따라서, 빔(324)은 측벽(323)에 의해 간신히 산란되지만, 빔(325)은 측벽(323)에 의해 강하게 산란된다. 그러므로, 타겟에 의한 빔들(324 및 325)의 산란 특성들이 상이하다.
시스템적 오차들의 기여를 결정하는 한가지 방식은 모델링이다. 시스템적 오차들의 원인이 측정될 수 있고 시스템적 오차들의 기여에 대한 원인 간의 관계가 알려지는 경우, 시스템적 오차들의 기여는 측정된 원인 및 관계로부터 결정될 수 있다. 불행하게도, 원인은 항상 측정가능하지는 않으며, 관계는 항상 알려지지는 않는다. 본 명세서는 측정의 결과들로부터 시스템적 오차들로부터의 기여를 통계적으로 결정하는 또 다른 접근법을 설명할 것이다.
도 5는 일련의 측정 결과들(M1,M2,…,Mn)에서의 (시스템적 오차들 및 실제 값과 같은) 다양한 소스들로부터의 기여들(S1,S2,…,Sm)의 조합을 개략적으로 나타낸다. 조합이 선형인 경우, 조합은 매트릭스 A에 의해 표현될 수 있고, 이때
Figure 112018069801153-pct00001
이다. 흔히, 측정 결과들(M1,M2,…,Mn)은 알려져 있으며, 문제는 측정 결과들(M1,M2,…,Mn)로부터 기여들(S1,S2,…,Sm)을 발견하는 것이다. 기여들(S1,S2,…,Sm)은 매트릭스 A를 결정함으로써 결정될 수 있다.
도 6은 결과들(M1,M2,…,Mn)의 예시들로서, 기판 상의 상이한 위치들(i)에서 측정된 12 개의 오버레이 값들을 개략적으로 도시한다. 이 12 개의 오버레이 값들 각각은 도 2b에 도시된 것과 같은 메트롤로지 툴에 의해 위치들(i) 중 하나에서 타겟으로부터 얻어질 수 있다. 이 12 개의 오버레이 값들 각각은 두 개의 상이한 소스들로부터의 기여들(S1 및 S2)을 가질 수 있으며, 이들 중 하나는 오버레이의 실제 값일 수 있고 다른 하나는 그 위치에서 측정된 타겟에서의 비대칭(예를 들어, 도 4a 및 도 4b에 도시된 것들)일 수 있다. 계수들(ai,1 및 ai,2)이 결정되는 경우, 결과들 각각에서의 2 개의 기여들 각각이 결정된다. 기여 S1이 실제 값으로부터 나온다고 가정하여, 일단 ai,1이 알려지면, 위치들(i) 각각에서의 오버레이의 실제 값은
Figure 112018069801153-pct00002
이고, 비대칭은 예를 들어 적절한 모델링을 사용하여
Figure 112018069801153-pct00003
로부터 결정될 수 있다. 기여들의 성질은 다른 데이터, 예를 들어 SEM 이미지들과의 상관관계, 또는 타겟의 비대칭에 의해 상이하게 영향을 받는 또 다른 메트롤로지 툴로부터의 데이터에서 결정된 기여와의 일관성에 의해 검증될 수 있다.
도 7은 12 개의 오버레이 값들, 오버레이의 실제 값들, 및 비대칭으로부터의 기여가 맵들로서(즉, 위치들 i의 함수로서) 플롯구성될 수 있음을 개략적으로 도시한다.
도 8은 일 실시예에 따라, 리소그래피 공정 또는 리소그래피 공정에 의해 처리된 기판으로부터 측정된 결과들(810)의 세트에서 상이한 소스들로부터의 기여들을 결정하는 방법에 대한 흐름도를 개략적으로 도시한다. 결과들(810)은 다수의 상이한 기판 측정 레시피들을 사용하여 측정된다. 820에서, 결과들(810)에서의 차원의 수가 선택적으로 감소된다. 예를 들어, 결과들은 다수의 상이한 기판 측정 레시피들을 사용하여 각각의 위치에서 다수의 상이한 위치로부터 얻어진 오버레이 값들일 수 있다. 기판 측정 레시피들은 기판 측정 레시피들에서 사용되는 광의 파장 및 편광과 같은 일부 파라미터들(r1,r2,r3,…,rn;t1,t2,t3,…,tn)에 있어서 상이할 수 있다. 파라미터들 각각은 결과들(810)의 차원이다. 파라미터들 중 일부는 독립적이지 않을 수 있다. 차원들의 수를 감소시키는 것은 주 성분 분석(PCA)과 같은 적절한 알고리즘을 사용하여 달성될 수 있다. PCA는 직교 변환을 사용하여 아마도 상관관계가 있는 변수(possibly correlated variable)들의 관측 세트를 주 성분들이라고 하는 선형 상관관계가 없는 변수(linearly uncorrelated variable)들의 값 세트로 전환하는 통계적 절차이다. 830에서, 독립적인 소스들로부터의 기여들(850)이 선택적으로 감소된 수의 차원들을 갖는 결과들(810)로부터 결정된다. 기여들을 결정하는 한 가지 방식은 독립 성분 분석(ICA)에 의한 것이다. ICA는 통계적으로 상호 독립적이고 비-가우시안(non-Gaussian)인 소스들로부터의 부가적 기여들로 데이터를 분리한다. 기여들(850)은 독립적인 소스들을 결과들(810)에 투영하는 매트릭스(840)로서 컴파일될 수 있다.
도 9는 일 실시예에 따라, 기여들(850) 사이에서의 측정으로부터 실제 값으로부터의 기여(850T)가 식별될 수 있음을 개략적으로 나타낸다. 기여(850T)는 다른 데이터(SEM 이미지들)로의 검증에 의해 식별될 수 있다. 기여(850T)는 실제 값들이 동일한 특성에 대한 상이한 측정들에 유사하게 영향을 미쳐야 하고 다른 소스들이 이 측정들에 상이하게 영향을 미칠 수 있기 때문에, 기여들(850) 중 어느 것이 또 다른 측정의 결과들에서 결정된 기여와 일관성이 있는지를 발견함으로써 식별될 수 있다. 예를 들어, 도 8에서 사용된 기판 측정 레시피들이 모두 동일한 특성(예를 들어, 오버레이)을 측정하는 데 사용되기 때문에, 특성의 실제 값은 결과들(810)에서 유사한 기여를 가져야 한다. 기여들(850) 사이에서의 소스로부터의 기여가 결과들(810)에 걸쳐 유사한 경우, 그 기여가 실제 값으로부터의 기여일 가능성이 있다.
도 10은 결과들(810)을 얻는 데 사용된 기판 측정 레시피들의 정확성(860)이 기여들(850)[또는 매트릭스(840)]로부터 결정될 수 있음을 개략적으로 나타낸다. 정의에 의해, 정확한 기판 측정 레시피가 실제 값으로부터 큰 기여를 갖고 다른 소스들로부터 작은 기여들을 갖는 결과들을 초래하여야 한다. 그러므로, 기여들(850)이 특정 기판 측정 레시피가 실제 값으로부터 큰 기여를 갖고 다른 소스들로부터 작은 기여들을 가짐을 나타내는 경우, 그 특정 기판 측정 레시피가 정확하다.
도 11a, 도 11b 및 도 11c는 각각 16 개의 상이한 기판 측정 레시피들(수평축)을 이용하여 얻어진 결과들에서 3 개의 소스들로부터 정규화된 기여들(수직축)을 나타낸다. 화살표로 표시된 기판 측정 레시피가 3 개의 소스들 중 하나 -이는 실제 값일 가능성이 있음- 로부터 큰 기여를 갖고, 다른 두 소스들로부터 작은 기여들을 갖는 결과들을 초래하기 때문에 상대적으로 정확하다.
도 12는 본 명세서에 개시된 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장 및/또는 공급하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함할 수 있다. 주 메모리(106)가 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장 및/또는 공급하는 데 사용될 수 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장 및/또는 공급하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함할 수 있다. 정보 및 명령어들을 저장 및/또는 공급하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링될 수 있다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)일 수 있다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 명시하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 디스크 또는 메모리 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 통신 경로에 걸쳐 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)은 경로로부터 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 유선 또는 무선 데이터 통신 연결을 제공할 수 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 네트워크(122) 및 인터넷(128)은 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지들을 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 예를 들어, 하나의 이러한 다운로드된 어플리케이션은 본 명세서에서 방법을 구현하는 코드에 대해 제공될 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 13은 예시적인 리소그래피 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) -이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기(PM)에 연결되는 제 1 대상물 테이블(예를 들어, 마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기(PW)에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템]을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 전형적인 마스크의 사용에 대한 대안예로서 또 다른 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)(예를 들어, 수은 램프 또는 엑시머 레이저)는 방사선 빔을 생성한다. 이 빔은 곧바로 또는 빔 익스팬더(beam expander)와 같은 컨디셔너를 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 빔에서의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하도록 구성되는 조정기(AD)를 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 13과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 [예를 들어, 적절한 지향 거울(BD)의 도움으로] 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정기(PW)[및 간섭계(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM)는 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 13에 명확히 도시되지는 않는다.
패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 하나보다 많은 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마커가 포함될 수도 있으며, 이 경우 마커들은 인접한 피처들과 상이한 여하한의 이미징 또는 공정 조건들을 필요로 하지 않고, 가능한 한 작은 것이 바람직하다.
도 14는 또 다른 예시적인 리소그래피 장치(1000)를 개략적으로 도시한다. 리소그래피 장치(1000)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기를 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖는다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 14를 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외(EUV) 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 14에 나타내지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하도록 구성되는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스(facetted field and pupil mirror device)들과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 주어진 방향(소위 "스캔 방향")으로 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 리소그래피 장치는 2 이상의 테이블(예를 들어, 2 이상의 기판 테이블, 2 이상의 패터닝 디바이스 테이블, 및/또는 기판 테이블 및 기판이 없는 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계들이 수행될 수 있다. 예를 들어, 본 명세서에서 그 전문이 인용참조되는 미국 특허 제 5,969,441호에서 트윈 스테이지 리소그래피 장치들이 설명된다.
도 15는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부(opening) 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 15에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 15에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는, 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용된다. 대안적으로, 소스 컬렉터 모듈(SO)은 LPP 방사선 시스템의 일부분일 수 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭, 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 바와 같은 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담그어져야 함을 의미하는 것이라기보다는, 단지 액체가 노광 시 투영 시스템과 기판 사이에 놓인다는 것을 의미한다.
본 명세서에 개시된 개념들은 리소그래피 장치를 수반하는 여하한의 디바이스 제조 공정을 시뮬레이션하거나 수학적으로 모델링하는 데 사용될 수 있으며, 특히 점점 더 작은 크기의 파장들을 생성할 수 있는 첨단(emerging) 이미징 기술들로 유용할 수 있다. 이미 사용중인 첨단 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 심자외(DUV) 리소그래피를 포함한다. 또한, EUV 리소그래피가 5 내지 20 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상의 디바이스 제조를 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
앞서 언급된 패터닝 디바이스는 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(computer-aided design) 프로그램을 사용하여 생성될 수 있다. 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 "임계 치수"(CD)라고 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현(reproduce)하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여, 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 제 5,296,891호 및 제 5,523,193호로부터 얻을 수 있으며, 이들은 본 명세서에서 인용참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
유의되는 바와 같이, 마이크로리소그래피는 IC와 같은 디바이스의 제조에 있어서 중요한 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
리소그래피 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(통상적으로, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 장치 내의 투영 광학기의 개구수이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정(fine-tuning) 단계들이 리소그래피 장치 및/또는 디자인 레이아웃에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접성 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 칭함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다.
일 예시로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 단순히 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치에만 의존하거나 이와 동일하지 않을 것이라는 사실을 설명한다. 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내도록 사용될 수 있기 때문에, 당업자라면 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크"/"패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있다는 것을 알 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피에 따라오는 노광-후 베이크(PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합될 것을 보장하기 위해, 정교한 수치 모델, 디자인 레이아웃의 보정 또는 전치-왜곡(pre-distortion)을 이용하여 근접 효과들이 예측되고 보상될 수 있다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design"(C. Spence, Proc. SPIE, Vol.5751, pp 1-14, 2005)은 현재 "모델-기반" 광 근접성 보정 공정들의 개요를 제공한다. 전형적인 고성능(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 고 충실도(high fidelity)를 달성하기 위해 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정들은 라인 폭 또는 에지 위치의 시프팅 또는 편향, 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트" 피처들의 적용을 포함할 수 있다.
OPC를 적용하는 것은 일반적으로 "정확한 과학"이 아니라, 경험적인 반복적 공정이며, 이것이 모든 가능한 근접 효과를 항상 보상하지는 않는다. 그러므로, OPC의 효과, 예를 들어 OPC의 적용 후 디자인 레이아웃들 및 여하한의 다른 RET는 디자인 결함이 패터닝 디바이스 패턴으로 도입될 가능성을 최소화하기 위해 디자인 검사, 즉 캘리브레이션된 수치 공정 모델들을 이용한 집약적인 풀-칩 시뮬레이션에 의해 검증되어야 한다.
OPC 및 풀-칩 RET 검증은 둘 다, 예를 들어 미국 특허 출원 공개공보 US 2005-0076322호 및 "Optimized Hardware and Software For Fast, Full Chip Simulation"(Y. Cao 외, Proc. SPIE, Vol.5754, 405, 2005)이라는 제목의 논문에서 설명되는 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
하나의 RET는 디자인 레이아웃의 전역적 편향의 조정과 관련된다. 전역적 편향은 기판 상에 프린트되도록 의도된 패턴들과 디자인 레이아웃의 패턴들 간의 차이이다. 예를 들어, 25 nm 직경의 원형 패턴이 디자인 레이아웃의 50 nm 직경 패턴에 의해 또는 디자인 레이아웃의 20 nm 직경 패턴에 의해 하지만 높은 도즈로 기판 상에 프린트될 수 있다.
디자인 레이아웃들 또는 패터닝 디바이스들에 대한 최적화(예를 들어, OPC)에 더하여, 전체 리소그래피 충실도를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로, 조명 소스도 최적화될 수 있다. "조명 소스" 및 "소스"라는 용어들은 본 명세서에서 교환가능하게 사용된다. 알려진 바와 같이, 환형, 쿼드러폴(quadrupole) 및 다이폴(dipole)과 같은 오프-액시스 조명은 패터닝 디바이스에 포함된 미세 구조체들(즉, 타겟 피처들)을 분해하는 증명된 방식이다. 하지만, 종래의 조명 소스에 비해, 오프-액시스 조명 소스는 통상적으로 에어리얼 이미지(AI)에 대해 더 적은 방사선 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 간의 최적 밸런스를 달성하도록 조명 소스를 최적화하려는 시도가 바람직해진다.
무수한 조명 소스 최적화 접근법들은, 예를 들어 Rosenbluth 외의 논문 "Optimum Mask and Source Patterns to Print A Given Shape"(Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, 2002)에서 찾아볼 수 있다. 소스는 수 개의 구역들로 분할되고, 이 각각은 퓨필 스펙트럼의 소정 구역에 대응한다. 이때, 소스 분포는 각 소스 구역에서 균일하다고 가정되며, 각 구역의 휘도는 공정 윈도우에 대해 최적화된다. Granik의 논문 "Source Optimization for Image Fidelity and Throughput"(Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, 2004)에서 설명된 또 다른 예시에서, 몇몇 기존 소스 최적화 접근법들의 개요가 제공되고, 소스 최적화 문제를 일련의 비-음수 최소 제곱 최적화(non-negative least square optimization)들로 전환하는 일루미네이터 픽셀들에 기초한 방법이 제안된다.
저 k1 포토리소그래피에 대해, 소스 및 패터닝 디바이스 둘의 최적화는 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는 데 유용하다. 몇몇 알고리즘들이 공간 주파수 도메인에서 조명을 독립적인 소스 포인트들로, 그리고 패터닝 디바이스 패턴을 회절 차수들로 분할(discretize)하고, 소스 포인트 세기들 및 패터닝 디바이스 회절 차수들로부터의 광학 이미징 모델들에 의해 예측될 수 있는 노출 관용도(exposure latitude)와 같은 공정 윈도우 메트릭에 기초하여 개별적으로 비용 함수(이는 선택된 디자인 변수들의 함수로서 정의됨)를 공식화한다. 본 명세서에서 사용되는 바와 같은 "디자인 변수"라는 용어는 장치 또는 디바이스 제조 공정의 파라미터들, 예를 들어 리소그래피 장치의 사용자가 조정할 수 있는 파라미터들, 또는 그 파라미터들을 조정함으로써 사용자가 조정할 수 있는 이미지 특성들의 세트를 포함한다. 소스, 패터닝 디바이스, 투영 광학기 및/또는 레지스트 특성들을 포함한 디바이스 제조 공정의 여하한의 특성들이 최적화에서의 디자인 변수들 사이에 있을 수 있음을 이해하여야 한다. 비용 함수는 흔히 디자인 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용된다.
실행가능한 시간 내에 제약 없이 비용 함수를 이용하여 소스 및 패터닝 디바이스의 동시 최적화를 허용하는 소스 및 패터닝 디바이스(디자인 레이아웃) 최적화 방법 및 시스템이, 일반적으로 승인된 PCT 특허 출원 공개공보 WO2010/059954호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
소스의 픽셀들을 조정함으로써 소스를 최적화하는 것을 수반하는 또 다른 소스 및 마스크 최적화 방법 및 시스템이 미국 특허 출원 공개공보 2010/0315614호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처 및 카타디옵트릭 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
이상, 광학 리소그래피와 관련하여 실시예들의 특정 사용예를 언급하였지만, 본 발명의 일 실시예는 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 그 안에 패턴을 남기는 레지스트로부터 이동된다. 따라서, 임프린트 기술을 이용하는 리소그래피 장치는 통상적으로 임프린트 템플릿을 유지하는 템플릿 홀더, 기판을 유지하는 기판 테이블, 및 기판과 임프린트 템플릿 간의 상대 이동을 야기하는 1 이상의 액추에이터를 포함하여, 임프린트 템플릿의 패턴이 기판의 층 상으로 임프린트될 수 있도록 한다.
앞선 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수 있다는 것을 분명히 알 것이다.

Claims (14)

  1. 기판을 검사하는 방법에 있어서,
    컴퓨터를 이용하여, 복수의 상이한 기판 측정 레시피(substrate measurement recipe)들을 이용하여 리소그래피 공정에 의해 처리된 기판으로부터 측정되는 결과들로부터 독립적인 소스들로부터의 기여(contribution)들을 결정하는 단계 -상기 기여들은 상기 기판으로부터 측정되는 특성의 실제 값으로부터의 기여를 포함함- ; 및
    상기 실제 값으로부터의 기여를 식별하는 단계 -상기 실제 값으로부터의 기여를 식별하는 단계는 a) 다른 데이터로의 검증(verification), 또는 b) 상기 기여들 중 어느 것이 상기 복수의 기판 측정 레시피들에 걸쳐 일관성이 있는지를 발견하는 단계를 포함함-
    을 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 결과들의 차원(dimension)들의 수를 감소시키는 단계를 더 포함하는 방법.
  3. 제 2 항에 있어서,
    상기 차원들의 수를 감소시키는 단계는 주 성분 분석(PCA)을 이용하는 단계를 포함하는 방법.
  4. 제 1 항에 있어서,
    상기 결과들은 상기 기여들의 선형 조합들인 방법.
  5. 제 1 항에 있어서,
    상기 기여들을 매트릭스로 컴파일(compile)하는 단계를 더 포함하는 방법.
  6. 제 1 항에 있어서,
    상기 결과들은 복수의 상이한 위치들로부터 얻어진 오버레이 값들을 포함하는 방법.
  7. 제 1 항에 있어서,
    상기 기판 측정 레시피들은 상기 기판 측정 레시피들이 수행하는 측정의 파라미터, 또는 상기 기판 측정 레시피들에 의해 측정되는 패턴의 파라미터에 있어서 상이한 방법.
  8. 제 1 항에 있어서,
    상기 실제 값으로부터의 기여로부터 상기 실제 값을 결정하는 단계를 더 포함하는 방법.
  9. 제 1 항에 있어서,
    상기 기여들로부터 상기 기판 측정 레시피들의 정확성을 결정하는 단계를 더 포함하는 방법.
  10. 명령어들이 기록되어 있는 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램에 있어서,
    상기 명령어들은 컴퓨터에 의해 실행되는 경우, 제 1 항의 방법을 구현하는 컴퓨터 프로그램.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
KR1020187020353A 2015-12-17 2016-11-22 메트롤로지 데이터로부터의 소스 분리 KR102166322B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562268982P 2015-12-17 2015-12-17
US62/268,982 2015-12-17
PCT/EP2016/078445 WO2017102264A1 (en) 2015-12-17 2016-11-22 Source separation from metrology data

Publications (2)

Publication Number Publication Date
KR20180095638A KR20180095638A (ko) 2018-08-27
KR102166322B1 true KR102166322B1 (ko) 2020-10-16

Family

ID=57354393

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187020353A KR102166322B1 (ko) 2015-12-17 2016-11-22 메트롤로지 데이터로부터의 소스 분리

Country Status (4)

Country Link
US (1) US11016397B2 (ko)
KR (1) KR102166322B1 (ko)
TW (1) TWI623822B (ko)
WO (1) WO2017102264A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180239851A1 (en) * 2017-02-21 2018-08-23 Asml Netherlands B.V. Apparatus and method for inferring parameters of a model of a measurement structure for a patterning process
KR20230004633A (ko) * 2020-05-14 2023-01-06 에이에스엠엘 네델란즈 비.브이. 확률적 기여자를 예측하는 방법
EP3910418A1 (en) * 2020-05-14 2021-11-17 ASML Netherlands B.V. Method for direct decomposition of stochastic contributors
WO2023041488A1 (en) * 2021-09-15 2023-03-23 Asml Netherlands B.V. Source separation from metrology data
WO2024099686A1 (en) * 2022-11-09 2024-05-16 Asml Netherlands B.V. Systems, methods, and software for overlay model building and application

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014512101A (ja) 2011-04-06 2014-05-19 ケーエルエー−テンカー コーポレイション 向上したプロセス制御のための品質測定値を提供するための方法およびシステム
US20150042984A1 (en) 2013-08-10 2015-02-12 Kla-Tencor Corporation Methods and apparatus for determining focus
WO2015049087A1 (en) * 2013-10-02 2015-04-09 Asml Netherlands B.V. Methods & apparatus for obtaining diagnostic information relating to an industrial process

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
KR100539279B1 (ko) * 2003-05-20 2005-12-27 삼성전자주식회사 오버레이 측정 방법
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
NL2009294A (en) 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
WO2015031337A1 (en) * 2013-08-27 2015-03-05 Kla-Tencor Corporation Removing process-variation-related inaccuracies from scatterometry measurements
NL2013737A (en) 2013-11-26 2015-05-27 Asml Netherlands Bv Metrology method and apparatus, substrates for use in such methods, lithographic system and device manufacturing method.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014512101A (ja) 2011-04-06 2014-05-19 ケーエルエー−テンカー コーポレイション 向上したプロセス制御のための品質測定値を提供するための方法およびシステム
US20150042984A1 (en) 2013-08-10 2015-02-12 Kla-Tencor Corporation Methods and apparatus for determining focus
WO2015049087A1 (en) * 2013-10-02 2015-04-09 Asml Netherlands B.V. Methods & apparatus for obtaining diagnostic information relating to an industrial process

Also Published As

Publication number Publication date
US20200192229A1 (en) 2020-06-18
WO2017102264A1 (en) 2017-06-22
US11016397B2 (en) 2021-05-25
TWI623822B (zh) 2018-05-11
TW201732448A (zh) 2017-09-16
KR20180095638A (ko) 2018-08-27

Similar Documents

Publication Publication Date Title
US11568123B2 (en) Method for determining an etch profile of a layer of a wafer for a simulation system
US10871716B2 (en) Metrology robustness based on through-wavelength similarity
KR102166322B1 (ko) 메트롤로지 데이터로부터의 소스 분리
US20230244151A1 (en) Method for adjusting a target feature in a model of a patterning process based on local electric fields
US10983440B2 (en) Selection of substrate measurement recipes
US10437158B2 (en) Metrology by reconstruction
TWI836599B (zh) 判定來自統計獨立源之度量衡貢獻值之方法、判定微影程序之感興趣參數之方法及其相關聯電腦程式與非暫時性電腦程式載體
KR20240058872A (ko) 계측 데이터로부터의 소스 분리
KR20220037505A (ko) 메트롤로지 시스템 및 방법
CN116583785A (zh) 确定用于重叠特征标识的标记结构的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right