KR20240052992A - 대전 유도된 결함들을 감소시키기 위한 사이클링 레시피들에서 멀티-상태 rf 펄싱 - Google Patents

대전 유도된 결함들을 감소시키기 위한 사이클링 레시피들에서 멀티-상태 rf 펄싱 Download PDF

Info

Publication number
KR20240052992A
KR20240052992A KR1020247011742A KR20247011742A KR20240052992A KR 20240052992 A KR20240052992 A KR 20240052992A KR 1020247011742 A KR1020247011742 A KR 1020247011742A KR 20247011742 A KR20247011742 A KR 20247011742A KR 20240052992 A KR20240052992 A KR 20240052992A
Authority
KR
South Korea
Prior art keywords
power
state
etch
feature
high frequency
Prior art date
Application number
KR1020247011742A
Other languages
English (en)
Inventor
허 장
첸 리
케빈 레이
닐 매커래그 맥키
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240052992A publication Critical patent/KR20240052992A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 챔버 내 플라즈마 프로세싱에서 에칭하기 위한 방법은 마스킹된 기판의 피처를 에칭하기 위한 시간 기간 동안 제 1 에칭 사이클과 제 2 에칭 사이클 사이에서 계속해서 로테이팅하는 (rotate) 단계를 포함한다. 방법은 제 1 서브-기간 동안 제 1 에칭 화학 물질을 사용하여 마스킹된 기판 상에서 제 1 에칭 사이클을 수행하는 단계를 포함한다. 제 1 에칭 사이클은 패시베이션을 위해 구성된 제 1 상태, 제 2 상태, 및 마스킹된 기판을 에칭하도록 구성된 제 3 상태 사이에서 계속해서 로테이팅된다. 제 1 에칭 사이클의 제 2 상태 동안, 제 1 튜닝 단계가 마스킹된 기판의 피처에 확장된 패시베이션을 제공하도록 제 1 에칭 화학 물질, 고 주파수 무선 주파수 (radio frequency; RF) 전력 및 저 주파수 RF 전력을 튜닝함으로써 수행된다. 방법은 제 2 서브-기간 동안 제 2 에칭 화학 물질을 사용하여 마스킹된 기판 상에서 제 2 에칭 사이클을 수행하는 단계를 포함한다. 제 2 에칭 사이클은 전기적 방전을 위해 구성된 제 1 상태, 제 4 상태, 및 마스킹된 기판의 피처를 에칭하기 위해 구성된 제 3 상태 사이에서 계속해서 로테이팅된다. 제 2 에칭 사이클의 제 4 상태 동안, 제 2 튜닝 단계가 마스킹된 기판의 피처에 펀치-쓰루 (punch-through) 에칭을 제공하도록 제 2 에칭 화학 물질, 고 주파수 RF 전력, 및 저 주파수 RF 전력을 튜닝함으로써 수행된다.

Description

대전 유도된 결함들을 감소시키기 위한 사이클링 레시피들에서 멀티-상태 RF 펄싱
본 실시 예들은 반도체 디바이스를 제조하기 위한 방법들 및 장치에 관한 것이고, 더 구체적으로 3 차원 NAND 메모리 슬릿 에칭 동안 피처-내 (in-feature) 대전 유도된 결함들을 감소시키기 위한 사이클링 레시피에서 혼합-모드 멀티-상태 무선 주파수 (radio frequency; RF) 펄싱, 및 피처-내 제 2 전자 생성 및 전하 중성화를 위해 1 개 또는 2 개의 플라즈마 오프 상태들 (off states) 을 갖는 멀티-상태 RF 펄싱을 사용하여 유전체-함유 재료 내로 고 종횡비 (high aspect ratio; HAR) 피처들을 에칭하기 위한 방법들 및 장치에 관한 것이다.
반도체 디바이스들의 제조는 유전체-함유 재료의 스택 내에 리세스된 피처들 (예를 들어, 에칭된 실린더, 비아, 트렌치, 슬릿, 등) 의 형성을 포함한다. 예를 들어, 이들 피처들은 트랜지스터들의 3 차원 (3D) 수직 스택킹 (stacking) 을 포함하는 3D NAND 메모리 구조체들의 제조 동안 형성될 수도 있다. 3 차원에서 더 높은 트랜지스터 밀도 및 더 우수한 성능 (예를 들어, 더 빠른, 더 낮은 전력 소비, 등) 을 달성하기 위해 디바이스 치수들이 더 작아지고 스택 치수들이 더 커짐 (예를 들어, 스택 층들이 증가함) 에 따라, 고 종횡비들 (high aspect ratio; HARs) 을 갖는 피처들은 피처-내 (in-feature) 결함들을 도입하지 않고 균일하게 에칭하는 것이 점점 더 어려워진다.
예를 들어, 피처-내 전하 축적은 고 종횡비 유전체 에칭에 대한 일반적인 문제이고, 여기서 HAR은 대응하는 피처의 깊이와 폭 사이의 비 (예를 들어, 20보다 더 큰 HAR들) 로서 규정될 수도 있다. HAR이 50 이상으로 증가함에 따라, 피처-내 전하는 예를 들어 고 이온 에너지/플럭스 플라즈마 에칭 동안 방출되기 어렵다. 플라즈마 에칭 동안 이 전하 축적은 프로파일 트위스팅 (twisting), 프로파일 틸팅 (tilting), 딤플 왜곡 (dimple distortion), 고르지 않은 (uneven) 측방향 에칭, 및 피처들의 표면 조면화 (surface roughening) 를 포함하는 에칭 프로파일 왜곡들을 유발할 수도 있다. 피처 표면의 대전은 또한 패턴들 사이의 간섭을 야기할 수 있고, 이는 (예를 들어, 전이 영역들에서) 패턴 종속 (pattern dependent) 왜곡을 유발한다. 3D NAND 슬릿 에칭은 유전체 에칭 워크플로우의 최종 단계들 중 하나이고 따라서 메모리 홀들과 같은 기존 피처들의 상호 작용 (클리핑 (clipping)) 을 방지하기 위해 매우 엄격한 임계 치수 (critical dimension; CD) 허용 오차들을 갖는다. 피처-내 전하는 슬릿 (예를 들어, 슬릿 딤플) 뾰족한 (pointy) 결함들을 야기할 수도 있는 메모리 홀들 근방의 전하 축적, 및 슬릿 전이 영역 구불거림 (wiggling) 을 생성할 수도 있는 메모리 홀 밀도 변화 영역 (예를 들어, 코어 영역 및 더미 (dummy) 영역 사이의 전이 위치) 에서의 전하를 포함하는, 슬릿 딤플에서의 에칭 결함들을 유발할 수 있다.
슬릿 딤플 결함들을 제거하기 위한 일부 기존 방법들은 전체적인 이온 틸팅의 감소를 포함한다. 이들 방법들은 어느 정도 딤플 결함들을 제거할 수도 있지만, 증가된 전하 축적을 포함하는 트레이드오프 (tradeoff) 가 있다. 예를 들어, 높은 피처-내 전하 축적으로, 이온 궤적이 편향될 수도 있고, 이는 전체적인 이온 틸팅 조정들 및/또는 다른 조정들을 하기 위해 훨씬 더 좁은 프로세싱 윈도우를 제공한다 (give).
본 명세서에 제공된 배경기술 기술 (description) 은 일반적으로 본 개시의 맥락을 제시하기 위한 목적이다. 본 배경기술 섹션에 기술된 범위까지, 현재 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로 또는 묵시적으로 인정되지 않는다.
이 맥락에서 본 개시의 실시 예들이 발생한다.
본 실시 예들은 기판 상에 3D 스택된 반도체 (예를 들어, NAND) 구조체들의 제조 동안 예컨대 스택 내로 에칭 (예를 들어, 슬릿 에칭) 할 때, 유전체 재료를 포함하는 스택 내에 고 종횡비 (high aspect ratio; HAR) 피처들을 에칭하기 위한 방법들 및 장치에 관한 것이다. 본 개시의 몇몇 독창적인 실시 예들이 이하에 기술된다.
본 개시의 실시 예들은 플라즈마 챔버 내 플라즈마 프로세싱에서 에칭하기 위한 방법을 제공한다. 방법은 마스킹된 기판의 피처를 에칭하기 위한 시간 기간 동안 제 1 에칭 사이클과 제 2 에칭 사이클 사이에서 계속해서 로테이팅하는 (rotate) 단계를 포함한다. 예를 들어, 마스킹된 기판은 복수의 유전체 층들의 스택 및 스택의 상단 상에 패터닝된 마스크 층을 포함할 수도 있다. 방법은 제 1 서브-기간 동안 제 1 에칭 화학 물질을 사용하여 마스킹된 기판 상에서 제 1 에칭 사이클을 수행하는 단계를 포함한다. 제 1 에칭 사이클은 패시베이션을 위해 구성된 제 1 상태 (S0), 제 2 상태 (S2), 및 마스킹된 기판을 에칭하기 위해 구성된 제 3 상태 (S1) 사이에서 계속해서 로테이팅된다. 제 1 에칭 사이클의 제 2 상태 (S2) 동안, 제 1 튜닝 단계가 마스킹된 기판의 피처에 확장된 패시베이션을 제공하도록 제 1 에칭 화학 물질, 고 주파수 무선 주파수 (radio frequency; RF) 전력 및 저 주파수 RF 전력을 튜닝함으로써 수행된다. 방법은 제 2 서브-기간 동안 제 2 에칭 화학 물질을 사용하여 마스킹된 기판 상에서 제 2 에칭 사이클을 수행하는 단계를 포함한다. 제 2 에칭 사이클은 전기적 방전을 위해 구성된 제 1 상태 (S0), 제 4 상태 (S2), 및 마스킹된 기판의 피처를 에칭하기 위해 구성된 제 3 상태 (S1) 사이에서 계속해서 로테이팅된다. 제 2 에칭 사이클의 제 4 상태 (S2) 동안, 제 2 튜닝 단계가 마스킹된 기판의 피처에 펀치-쓰루 (punch-through) 에칭을 제공하도록 제 2 에칭 화학 물질, 고 주파수 RF 전력, 및 저 주파수 RF 전력을 튜닝함으로써 수행된다.
본 개시의 다른 실시 예들은 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법을 제공한다. 방법은 플라즈마 챔버에 에칭 화학 물질을 제공하는 단계를 포함한다. 방법은 피처의 전하 중성화를 위한 음이온 이송을 위해 구성된 제 1 상태 (S0), 제 2 상태 (S2), 및 복수의 유전체 층들의 스택 및 스택 상단에 패터닝된 마스크 층을 포함하는 마스킹된 기판의 피처를 에칭하도록 구성된 제 3 상태 사이에서 계속해서 로테이팅하는 단계를 포함한다. 제 2 상태 (S2) 동안, 에칭 화학 물질, 고 주파수 RF 전력, 및 저 주파수 RF 바이어스 전력은 마스킹된 기판의 피처에 패시베이션을 제공하도록 튜닝된다. 제 1 상태 (S0) 동안, 저 주파수 RF 전력 생성기는 마스킹된 기판으로의 저 주파수 RF 전력의 전달을 방지하도록 제 1 오프-상태 (off-state) 로 설정된다. 제 1 상태 (S0) 동안, 고 주파수 RF 전력 생성기는 마스킹된 기판으로의 고 주파수 RF 전력의 전달을 방지하도록 제 2 오프-상태로 설정된다.
본 개시의 또 다른 실시 예들은 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법을 제공한다. 방법은 플라즈마 챔버에 에칭 화학 물질을 제공하는 단계를 포함한다. 방법은 피처의 전하 중성화를 위한 음이온 이송을 위해 구성된 제 1 상태 (S0-A), 제 2 상태 (S2), 마스킹된 기판의 피처를 에칭하도록 구성된 제 3 상태 (S1), 및 피처의 전하 중성화를 위한 부가적인 음이온 이송을 위해 구성된 제 4 상태 (S0-B) 사이에서 계속해서 로테이팅하는 단계를 포함한다. 제 2 상태 (S2) 동안, 에칭 화학 물질, 고 주파수 RF 전력, 및 저 주파수 RF 바이어스 전력은 복수의 유전체 층들의 스택 및 스택의 상단 상에 패터닝된 마스크 층을 포함하는 마스킹된 기판의 피처에 패시베이션을 제공하도록 튜닝된다. 제 1 상태 (S0) 동안, 저 주파수 RF 전력 생성기는 마스킹된 기판으로의 저 주파수 RF 전력의 전달을 방지하도록 제 1 오프-상태로 설정된다. 제 1 상태 (S0-A) 또는 제 4 상태 (S0-B) 동안, 고 주파수 RF 전력 생성기는 마스킹된 기판으로의 고 주파수 RF 전력의 전달을 방지하도록 제 2 오프-상태로 설정된다.
이들 및 다른 이점들은 전체 명세서 및 청구항들을 읽으면 당업자에 의해 인식될 것이다.
실시 예들은 첨부된 도면들과 함께 취해진 이하의 기술 (description) 을 참조하여 가장 잘 이해될 수도 있다.
도 1a는 본 개시의 일 실시 예에 따른, 웨이퍼를 프로세싱하도록, 예를 들어, 에칭을 수행하기 위해 사용되는 기판 프로세싱 시스템을 예시한다.
도 1b는 본 개시의 일 실시 예에 따른, 웨이퍼를 프로세싱하도록, 예를 들어, 웨이퍼 상에 막들을 형성하도록 사용되는 기판 프로세싱 시스템을 예시한다.
도 2a는 일 실시 예에 따른, 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다.
도 2b는 일 실시 예에 따른, 인바운드 로드 록 (inbound load lock) 및 아웃바운드 로드 록 (outbound load lock) 을 갖는 멀티-스테이션 프로세싱 툴의 일 실시 예의 개략도를 도시한다.
도 3a는 피처의 하부에서 원치 않은 측방향 에칭에 기여하는 수직 대전 모드를 도시한다.
도 3b는 피처의 하부에서 틸팅 (tilting) 및/또는 피처의 프로파일 전반에 걸쳐 (throughout) 왜곡된 트위스팅 (twisting) 을 야기하는 이온 궤적의 원치 않은 편향을 갖는 병렬 대전 모드들을 도시한다.
도 4는 본 개시의 일 실시 예에 따른, 복수의 사이클링 레시피들을 사용한 테일러링된 (tailor) 멀티-상태 무선 주파수 (radio frequency; RF) 펄싱을 도시하는 다이어그램이다.
도 5는 본 개시의 일 실시 예에 따른, 혼합 모드, 멀티-상태 펄싱을 포함하는, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 방법을 예시하는 흐름도이다.
도 6a는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용되는 3 개의 펄싱된 RF 전력 상태들을 각각 갖는 상이한 사이클링 레시피들을 갖는 2 개의 사이클링 스테이지들 (cycling stages) 을 예시하는 다이어그램이다.
도 6b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 6a의 혼합 모드, 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램이다.
도 6c는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 6a의 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용된 상이한 사이클링 레시피들을 갖는 2 개의 사이클링 스테이지들 동안 RF 상태들의 펄싱을 예시하는 다이어그램이다.
도 7a는 본 개시의 일 실시 예에 따른, 사이클링 스테이지들 각각에서 2 개의 확장 상태들을 포함하는, 그리고 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용되는 4 개의 펄싱된 RF 전력 상태들을 각각 갖는 상이한 사이클링 레시피들을 갖는 2 개의 사이클링 스테이지들을 예시하는 다이어그램이다.
도 7b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 7a의 혼합 모드, 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램이다.
도 8a는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용되는 4 개의 펄싱된 RF 전력 상태들을 각각 갖는 상이한 사이클링 레시피들을 갖는 2 개의 사이클링 스테이지들을 예시하는 또 다른 다이어그램이고, 사이클링 스테이지 각각에서 2 개의 확장 상태들은 저 RF 전력 상태에 걸쳐 있다 (straddle).
도 8b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 8a의 혼합 모드, 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램이다.
도 9는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용되는 4 개의 펄싱된 RF 전력 상태들을 각각 갖는 상이한 사이클링 레시피들을 갖는 2 개의 사이클링 스테이지들에서 사용하는 사이클링 레시피들의 다양한 조합들을 예시하는 표이다.
도 10은 본 개시의 일 실시 예에 따른, 1 개 또는 2 개의 플라즈마 오프 상태들 (off states) 을 갖는 멀티 상태 펄싱을 포함하는, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 방법을 예시하는 흐름도이다.
도 11a는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 일 플라즈마 OFF 상태를 포함하는 3 개의 펄싱된 RF 전력 상태들을 갖는 멀티-상태 펄싱 프로세스에서 사이클링 레시피를 예시하는 다이어그램이다.
도 11b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 11a의 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램이다.
도 12a는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 2 개의 플라즈마 OFF 상태들을 포함하는 4 개의 펄싱된 RF 전력 상태들을 갖는 멀티-상태 펄싱 프로세스에서 사이클링 레시피를 예시하는 다이어그램이다.
도 12b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 12a의 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램이다.
도 13은 상기 기술된 시스템들을 제어하기 위한 제어 모듈을 도시한다.
이하의 상세한 기술 (description) 은 예시의 목적을 위해 많은 특정한 상세들을 포함하지만, 당업자는 이하의 상세들에 대한 많은 변형들 및 변경들이 본 개시의 범위 내에 있다는 것을 인식할 것이다. 따라서, 이하에 기술된 본 개시의 양태들은 이 기술을 따르는 청구항들에 대한 어떠한 일반성 손실도 없이 그리고 제한들을 부과하지 않고 제시된다.
일반적으로 말하면, 본 개시의 다양한 실시 예들은 반도체 디바이스들을 제조할 때 유전체-함유 재료 내로 고 종횡비 (high aspect ratio; HAR) 피처들을 에칭하기 위한 방법들 및 장치를 기술한다. 에칭 프로세스는 3 차원 NAND 메모리 슬릿 에칭 동안 피처-내 (in-feature) 대전 유도된 결함들을 감소시키도록 2 개의 사이클링 스테이지들 (cycling stages) 에서 혼합-모드, 멀티-상태 무선 주파수 (radio frequency; RF) 펄싱 및/또는 피처-내 제 2 전자 생성 및 전하 중성화를 위해 1 개 또는 2 개의 플라즈마 오프 상태들 (off states) 을 갖는 멀티-상태 RF 펄싱을 사용하여 수행된다. 특히, 상이한 저 주파수 대 고 주파수 RF 전력 비들이 하나 이상의 플럭스 및 전압 확장 상태들 동안 상이한 사이클링 스테이지들 및/또는 레시피들에 적용되고, 멀티-상태 RF 펄싱이 사이클링 스테이지들 각각에서 수행된다. 상이한 사이클링 스테이지들 동안 적용된 혼합-모드, 멀티-상태 RF 펄싱 레시피는 플럭스 및/또는 전압 확장 상태들을 적용하는 것 사이의 트레이드오프 (tradeoff) 를 중단한다. 즉, 화학 물질 사이클링 (즉, 상이한 사이클링 스테이지들에서 상이한 에칭 화학 물질들을 사용함) 과 함께 멀티-상태 RF 펄싱을 사이클링하는 것은 NAND 반도체 디바이스들을 제조할 때 예컨대 슬릿 에칭을 수행할 때, 에칭 프로세스 동안 효과적인 제어 튜닝 메커니즘 또는 노브 (knob) 를 제공한다. 이는 피처들의 감소된 임계 치수들 및 개선된 하부/보잉 (bowing) 비들을 달성하는 측벽 수정들을 가능하게 하도록 피처들 내의 대전 유도된 결함들 (예를 들어, 프로파일 트위스팅 (twisting), 딤플 왜곡 (dimple distortion), 등) 을 감소시킨다. 이에 더하여, 또 다른 에칭 프로세스는 딤플 뾰족한 (pointy) 결함들을 억제하고 슬릿 전이 영역 구불거림 (wiggling) 을 최소화하는, 피처-내 제 2 전자 생성 및 전하 중성화 (예를 들어, 피처-내 축적된 전하 방출) 를 위해 1 개 또는 2 개의 플라즈마 오프 상태들을 갖는 멀티-상태 RF 펄싱을 사용하여 수행된다. 이는 고 종횡비 유전체 플라즈마 에칭을 수행할 때 딤플 결함들을 생성하는 전하 축적의 영향을 감소시킨다. 특히, 멀티-상태 RF 펄싱에서 1 개 또는 2 개의 플라즈마 OFF 상태들을 부가하는 것은 피처-내 전하 축적을 최소화하고, 폴리머 튜닝을 위해 더 큰 프로세싱 윈도우를 가능하게 하고, 슬릿 넥 (slit neck) 개방을 가능하게 하고, 피처-내 제 2 전자 생성을 보조하고, 그리고 플라즈마 OFF 상태 동안 전하 중성화 효율을 상승시킨다 (즉, 전하 중성화를 증가시킨다). 이들은 뾰족한 결함들 및 슬릿 구불거림을 더 감소시키고, 이들 모두는 슬릿 딤플들의 균일한 에칭을 발생시킨다.
2 개의 사이클링 스테이지들에서 혼합-모드, 멀티-상태 RF 펄싱 및/또는 1 개 또는 2 개의 플라즈마 오프 상태들을 갖는 멀티-상태 RF 펄싱을 사용하여 반도체 디바이스들을 제조할 때 유전체-함유 재료 내로 고 종횡비 피처들을 에칭하기 위한 방법들 및 장치를 개시하는, 다양한 실시 예들의 이점들은 슬릿 피처-내 전하를 최소화하기 위해 그리고 전하 유도된 결함들 (예를 들어, 프로파일 트위스팅, 딤플 왜곡, 측방향 에칭, 등) 을 감소시키기 위해 제 2 전자 생성과 이송의 최상의 조합을 발생시킨다. 본 개시의 실시 예들을 통해 달성된 바와 같이, 고 효율 2 차 전자 생성 및 피처-내 이송은 특히 3D NAND 디바이스들에서 슬릿 피처들에 대한 고 종횡비가 계속해서 증가함에 따라, 전하 축적의 유해한 (deleterious) 효과들을 최소화하기 위해 요구된다.
다양한 실시 예들의 상기 일반적인 이해와 함께, 실시 예들의 예시적인 상세들이 이제 다양한 도면들을 참조하여 기술될 것이다. 하나 이상의 도면들에서 유사하게 번호가 붙은 엘리먼트들 및/또는 컴포넌트들은 일반적으로 동일한 구성 및/또는 기능성을 갖도록 의도된다. 또한, 도면들은 축척대로 도시되지 않을 수도 있고, 신규 개념들을 예시하고 강조하도록 의도된다. 본 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지된 프로세스 동작들은 본 실시 예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1a는 본 개시의 일 실시 예에 따른, 예컨대 고 종횡비 유전체 에칭을 수행할 때, 마스킹된 기판들 내에 피처들을 에칭하는 것을 포함하기 위해, 웨이퍼를 프로세싱하도록 사용될 수도 있는 예시적인 기판 프로세싱 또는 반응기 시스템 (100A) 을 예시한다. 예를 들어, 피처들은 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택으로 에칭될 수도 있다. 더 구체적으로, 기판 프로세싱 시스템 (100A) 은 예컨대 웨이퍼 (101) 의 플라즈마 프로세싱을 수행함으로써 웨이퍼 (101) 를 프로세싱하도록 사용되고, 그리고 용량 커플링된 플라즈마들 (capacitively coupled plasmas; CCPs), 전극 대신 플라즈마를 여기하기 (exite) 위해 사용되는 유도 코일들을 포함하는 유도 커플링된 플라즈마들 (inductively coupled plasmas; ICPs), 등을 포함하는, 다양한 방법들을 통해 플라즈마들을 생성하도록 설계에 따라 수정될 수도 있다.
도시된 바와 같이, 시스템 (100A) 은 CCP 챔버인 플라즈마 챔버 (172) 를 포함한다. 플라즈마 챔버 (172)는 정전 척 (electrostatic chuck; ESC) 또는 자기 척 (magnetic chuck) 과 같은 기판 지지부 또는 페데스탈 (140) 을 포함한다. 하단 전극 (146) 은 페데스탈 (140) 내에 임베딩될 (embed) 수도 있다. 기판 (101) 은 프로세싱을 위해 페데스탈 (140) 상에 배치될 (place) 수도 있고, 기판 (101) 은 하나 이상의 반도체 칩들을 제조하도록 프로세싱된다. 플라즈마 챔버 (172) 의 상단 전극 (171) 은 페데스탈을 대면한다. 상단 전극 (171) 과 하단 전극 (146) 사이에 플라즈마 (178) 가 형성될 수도 있는 프로세싱 볼륨을 형성하는 갭이 있다. 가스 펌프 (181) 는 플라즈마 챔버 (172) 로부터 가스들 및 부산물들을 제거하도록 사용된다.
제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100A) 을 동작시키도록 구성된다. 수행될 프로세싱에 따라, 제어 모듈 (110) 은 설계된 프로세싱 조건을 달성하도록 가스 소스 (182) 로부터 전달된 프로세스 가스들의 전달을 제어한다. 이어서 선택된 가스들은 페데스탈 (140) 위에 놓인 (rest) 웨이퍼 (101) 와 상단 전극 (171) 사이에 규정된 공간 볼륨 내에 분배된다. 특히, 프로세스 입력 및 제어부 (108) 는 예컨대 웨이퍼 (101) 의 스택 구조들 내에 피처들을 에칭하고 그리고/또는 웨이퍼 (101) 위에 막들을 증착하거나 형성하기 위해, 프로세스 레시피들, 예컨대 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 움직임 (movement), 등을 포함할 수도 있다. 특히, 제어 모듈 (110) 은 다양한 사이클링 스테이지들에서 에칭 화학 물질의 조성을 튜닝하는 것, 및 RF 전력 공급부 (184) (예를 들어, 소스 생성기) 및 바이어스 RF 전력 공급부 (174) 의 펄싱 파라미터들을 포함하기 위해, 마스킹된 기판의 스택 내에 고 종횡비 피처들의 에칭을 수행하도록 기판 프로세싱 시스템 (100A) 을 동작시키게 구성될 수도 있다.
소스 RF 전력 공급부 (184) (예를 들어, 소스 전력 생성기) 는 플라즈마 챔버 (172) 에 더 커플링되는 임피던스 매칭 네트워크 (186) 에 커플링되고, 매칭 네트워크는 부하 (예를 들어, 플라즈마 챔버 및 임의의 연결 케이블링) 와 소스 (예를 들어, 소스 RF 전력 공급부 및 임의의 연결 케이블링) 사이의 임피던스들을 매칭한다. 특히, 임피던스 매칭 네트워크 (186) 는 플라즈마 챔버 (172) 내에 위치된 상단 전극 (171) 에 커플링된다. 소스 RF 전력 공급부 (184) 는 통상적으로 가스 소스 (182) 로부터 전달된 프로세스 가스들을 사용하여 플라즈마 (178) 를 생성하도록 사용된다.
시스템 (100A) 은 플라즈마 챔버 (172) 에 더 커플링되는 임피던스 매칭 네트워크 (176) 에 커플링된 바이어스 RF 전력 공급부 (174) (예를 들어, 바이어스 전력 생성기) 를 포함하고, 매칭 네트워크는 부하 (예를 들어, 플라즈마 챔버 및 임의의 연결 케이블링) 와 소스 (예를 들어, 바이어스 RF 전력 공급부 및 임의의 연결 케이블링) 사이의 임피던스들을 매칭한다. 특히, 임피던스 매칭 네트워크 (176) 는 플라즈마 챔버 (172) 내에 (예를 들어, 페데스탈 (140) 내에) 위치된 하단 전극 (146) 에 커플링된다. 바이어스 RF 전력 공급부 (174) 는 통상적으로 이온 분포 (예를 들어, 이방성 (anisotropic) 에칭을 수행하기 위해 더 높은 수직 에칭 레이트들을 달성하기 위한 기판의 더 수직적인 이온 충돌 (ion bombardment)) 를 제어하도록 사용된다.
예시를 위해, 바이어스 RF 전력 공급부 (174) 는 대략 20 ㎑ 내지 대략 13 ㎒ (megahertz) 의 주파수로 동작할 수도 있고, 그리고 일 특정한 경우에서 기판당 약 0 W 내지 40 ㎾ (kilowatts) 의 RF 전력 레벨로, 400 ㎑로 동작한다. 다른 예로서, 소스 RF 전력 공급부 (184) 는 대략 13 ㎒ 내지 대략 100 ㎒의 주파수로 동작할 수도 있고, 일 특정한 경우에서, 약 0 W 내지 약 15 ㎾의 전력 레벨로 60 ㎒로 동작한다.
도시된 바와 같이, 기판 프로세싱 시스템 (100A) 은 RF 전력 공급부 (184) 로부터 소싱되는 (sourced) 일 주파수 (예를 들어, 플라즈마 생성을 위한 여기 주파수) 의 RF 전력 및 바이어스 RF 전력 공급부 (174) 로부터 소싱되는 또 다른 주파수의 RF 바이어스 전력을 포함하기 위해, 2 개의 주파수들로 RF 전력을 전달하도록 구성될 수도 있다. 2 개의 RF 전력들의 전달은 하나 이상의 플루오로카본들 및/또는 하이드로플루오로카본들, 및 다른 가스들을 포함하는 프로세스 가스들로부터 플라즈마를 생성하도록 수행된다. 일반적으로, 더 높은 주파수로 전달된 RF 전력은 챔버 (172) 내에서 플라즈마를 생성하도록 사용되고, 그리고 더 낮은 주파수의 RF 바이어스 전력은 이온 분포 (예를 들어, 이방성 에칭을 수행하기 위해 더 높은 수직 에칭 레이트들을 달성하기 위한 기판의 더 수직적인 이온 충돌) 를 제어하도록 사용된다. 예를 들어, 플라즈마는 생성되고 그리고 마스킹된 기판 (예를 들어, 고 종횡비 피처들이 에칭될 개구부들을 갖는 패터닝된 마스크 층) 의 스택 내에 피처의 에칭을 수행하도록 챔버 (172) 내에 마스킹된 기판에 노출될 수도 있다. 이에 더하여, 본 개시의 실시 예들에서, 2 개의 RF 전력 모두의 전달은 마스킹된 기판의 스택 내에 피처의 전체 프로파일에 전반에 걸쳐 (throughout) 더 균일한 에칭을 달성하도록 상이한 사이클링 스테이지들에서 가변하는 패턴들로 펄싱될 수도 있고, 이에 따라 이하의 도면들에서 더 완전히 기술될 바와 같이, 프로파일 트위스팅, 보잉, 프로파일 틸팅 (tilting), 딤플 왜곡 및 고르지 않은 (uneven) 측방향 에칭의 효과들을 감소시킨다.
또 다른 실시 예에서, 시스템 (100A) 은 소스 RF 전력을 하단 전극 (146) 에 제공하도록 구성될 수도 있다. 이 경우, 소스 RF 전력 공급부 (184) (예를 들어, 소스 전력 생성기) 는 하단 전극 (146) 에 더 커플링되는 임피던스 매칭 네트워크 (186) 에 커플링된다. 또한, 상단 전극은 RF 에너지의 리턴 (return) 을 용이하게 하도록 접지에 커플링될 수도 있다. 나머지 컴포넌트들은 하단 전극 (146) 에 더 커플링되는 임피던스 매칭 네트워크 (176) 에 커플링되는 바이어스 RF 전력 공급부 (174) (예를 들어, 바이어스 전력 생성기) 를 갖는 것과 같이, 이전에 기술된 바와 같이 유사하게 구성된다.
도 1b는 막들을 증착하는 것을 포함하기 위해, 웨이퍼를 프로세싱하도록 사용될 수도 있는 기판 프로세싱 또는 반응기 시스템 (100B) 을 예시한다. 예를 들어, 피처들은 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택으로 에칭될 수도 있다. 더 구체적으로, 기판 프로세싱 시스템 (100B) 은 예컨대 웨이퍼 (101) 의 플라즈마 프로세싱을 수행함으로써 웨이퍼 (101) 를 프로세싱하도록 사용되고, 그리고 용량 커플링된 플라즈마들 (CCPs), 유도 커플링된 플라즈마들 (ICPs), 등을 포함하는, 다양한 방법들을 통해 플라즈마들을 생성하도록 설계에 따라 수정될 수도 있다.
특히, 시스템 (100B) 은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 갖는 챔버 (102) 를 포함한다. 중심 컬럼 (column) 이 일 실시 예에서 전력 공급된 전극인, 페데스탈 (140) 을 지지하도록 구성된다.
제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100B) 을 동작시키도록 구성된다. 수행될 프로세싱에 따라, 제어 모듈 (110) 은 설계된 프로세싱 조건을 달성하도록 프로세스 가스들 (114) 에 연결된 가스 공급 매니폴드 (112) (예를 들어, 설비로부터 가스 화학 물질 공급부들) 를 통한 프로세스 가스들 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고, 웨이퍼 (101) 를 대면하는 샤워헤드 (150) 의 면 (face) 과 페데스탈 (140) 위에 놓인 웨이퍼 사이에 규정된 공간 볼륨 내에 분배된다. 특히, 프로세스 입력 및 제어부 (108) 는 예컨대 웨이퍼 (101) 의 스택 구조들 내에 피처들을 에칭하고 그리고/또는 웨이퍼 (101) 위에 막들을 증착하거나 형성하기 위해, 프로세스 레시피들, 예컨대 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 움직임, 등을 포함할 수도 있다. 특히, 제어 모듈 (110) 은 다양한 사이클링 스테이지들에서 증착 화학 물질의 조성을 튜닝하는 것, 및 RF 전력 소스 공급부 (194) 및 RF 바이어스 전력 소스 공급부 (104) 의 펄싱 파라미터들을 포함하기 위해, 기판 상에 막들의 증착을 수행하도록 기판 프로세싱 시스템 (100B) 을 동작시키게 구성될 수도 있다.
또한, 가스들은 미리 혼합될 수도 있고 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 (mass flow control) 메커니즘들은 프로세스의 증착 및 플라즈마 처리 페이즈들 (phases) 동안 올바른 가스들이 전달되는 것을 보장하도록 채용될 수도 있다. 프로세스 가스들은 유출구를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 단계 또는 2 단계 기계식 건식 펌프 및/또는 터보분자 펌프) 가 프로세스 가스들을 인출하고, 쓰로틀 (throttle) 밸브 또는 펜듈럼 (pendulum) 밸브와 같은 폐루프 제어된 (close loop controlled) 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다.
도시된 바와 같이, 기판 프로세싱 시스템 (100B) 은 RF 전력 공급부 (194) 로부터 소싱되는 일 주파수 (예를 들어, 플라즈마 생성을 위한 여기 주파수) 의 RF 전력 및 RF 전력 공급부 (104) 로부터 소싱되는 또 다른 주파수의 RF 바이어스 전력을 포함하기 위해, 2 개의 주파수들로 RF 전력을 전달하도록 구성될 수도 있다. 2 개의 RF 전력들의 전달은 하나 이상의 플루오로카본들 및/또는 하이드로플루오로카본들, 및 다른 가스들을 포함하는 프로세스 가스들 (114) 로부터 플라즈마를 생성하도록 수행된다. 일반적으로, 더 높은 주파수로 전달된 RF 전력은 챔버 (102) 내에서 플라즈마를 생성하도록 사용되고, 그리고 더 낮은 주파수의 RF 바이어스 전력은 이온 분포 (예를 들어, 이방성 에칭을 수행하기 위해 더 높은 수직 에칭 레이트들을 달성하기 위한 기판의 더 수직적인 이온 충돌) 를 제어하도록 사용된다. 예를 들어, 증착 프로세스들을 수행하기 위해 플라즈마가 생성되고 그리고 챔버 (102) 내에 마스킹된 기판에 노출될 수도 있다. 이에 더하여, 본 개시의 실시 예들에서, 2 개의 RF 전력 모두의 전달은 상이한 사이클링 스테이지들에서 가변하는 패턴들로 펄싱될 수도 있다.
특히, 샤워헤드 (150) 는 RF 매칭 네트워크 (196) 를 통해 RF 전력 공급부 (194) 에 전기적으로 커플링된다. RF 전력 공급부 (194) 는 RF 전력을 샤워헤드 (150) 에 인가하도록 제어 모듈 (110) (예를 들어, 제어기) 에 의해 제어된다. 예를 들어, 샤워헤드 (150) 에 제공된 플라즈마 RF 전력은 약 0 W 내지 15 ㎾의 전력 레벨로 그리고 약 20 내지 100 ㎒ (예를 들어, 특정한 경우에서 60 ㎒) 의 주파수로 전달될 수도 있다.
또한, 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 전력 공급부 (104) 에 전기적으로 커플링된다. 전력 공급부는 페데스탈 (140) 에 RF 바이어스 전력을 인가하도록 제어 모듈 (110) (예를 들어, 제어기) 에 의해 제어된다. 예를 들어, 기판은 기판당 약 0 W 내지 40 ㎾의 RF 전력 레벨로 약 20 ㎑ 내지 1.5 ㎒의 주파수로 바이어스될 수도 있다.
중심 컬럼 (예를 들어, 또한 중심 샤프트 또는 스핀들로 공지됨) (160) 은 리프트 핀들 (미도시) 과 인터페이싱할 수도 있고, 이들 각각은 리프트 핀 제어부 (122) 에 의해 제어될 때 대응하는 리프트 핀 구동 (actuation) 링 (120) 에 의해 구동된다. 리프트 핀들은 로봇 암 (예를 들어, 엔드 이펙터, 등) 으로 하여금 프로세스 챔버로 웨이퍼를 전달 (예를 들어, 로딩) 하게 하고 그리고/또는 프로세스 챔버 (102) 로부터 웨이퍼를 제거 (예를 들어, 언로딩) 하게 하기 위해 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키도록 사용된다.
기판 프로세싱 시스템 (100B) 은 복수의 프로세싱 스테이션들을 포함할 수도 있다. 예를 들어, 챔버 (102) 는 복수의 프로세싱 스테이션들을 포함할 수도 있고, 스테이션 각각은 웨이퍼 (101) 를 지지하기 위한 페데스탈을 갖는다. RF 매칭 네트워크 (106) 는 시스템 (100B) 에 전력을 공급하는 RF 분배 시스템 (420) 에 커플링될 수도 있다. 예를 들어, 매칭 네트워크 (106) 에 의해 공급된 RF 전력 및 주파수는 분배 시스템 (420) 에 의해 스테이션들 각각으로 분할되고 분배된다. 또한, 스테이션으로 전달되는 RF 전력은 동작 동안 샤워헤드의 전압을 센싱하기 위해 VI 프로브 (417) 를 통과한다. 이러한 방식으로, RF 전력은 밸런싱된 (balanced) 전력 전달을 위해, 또는 목표된 (desired) 전력 전달을 위해 스테이션 각각에서 조정될 수도 있다.
또한 페데스탈 (140) 의 외측 영역을 둘러싸는 (encircle) 캐리어 링 (200) 이 도시된다. 캐리어 링 (200) 은 페데스탈 (140) 의 중심에 있는 기판 지지 영역으로부터 스텝 다운되는 (step down) 캐리어 링 지지 영역 위에 놓이도록 (sit) 구성된다. 캐리어 링은 디스크 구조체의 외측 에지 측면, 예를 들어, 외측 반경, 및 웨이퍼 (101) 가 놓이는 곳에 가장 가까운 디스크 구조체의 웨이퍼 에지 측면, 예를 들어, 내측 반경을 포함한다. 캐리어 링의 웨이퍼 에지 측면은 캐리어 링 (200) 이 스파이더 포크들 (spider forks) (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (200) 은 웨이퍼 (101) 와 함께 리프팅되고 그리고 예를 들어, 멀티-스테이션 시스템에서 또 다른 스테이션으로 로테이팅될 수 있다. 다른 실시 예들에서, 챔버는 단일 스테이션 챔버이다.
도 2a는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다. 이 평면도는 (예를 들어, 예시를 위해 상단 챔버 부분 (102a) 이 제거된) 하부 챔버 부분 (102b) 의 평면도이고, 4 개의 스테이션들은 스파이더 포크들 (226) 에 의해 액세스된다. 스파이더 포크, 또는 포크 각각은 제 1 암 및 제 2 암을 포함하고, 암 각각은 페데스탈 (140) 의 측면 각각의 부분 둘레에 포지셔닝된다. 이 도면에서, 스파이더 포크들 (226) 은 캐리어 링 (200) 아래에 있다는 것을 전달하기 위해 점선들로 도시된다. 인게이지먼트 (engagement) 및 로테이션 메커니즘 (220) 을 사용하는 스파이더 포크들 (226) 은 캐리어 링들 (200) 을 스테이션들로부터 (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 동시에 상승 및 리프팅하도록, 이어서 추가 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 캐리어 링들 (200) (캐리어 링들 중 적어도 하나가 웨이퍼 (101) 를 지지함) 을 다음 위치로 하강시키기 전 적어도 하나 이상의 스테이션들을 로테이팅시키도록 구성된다. 다른 실시 예들에서, 링리스 (ringless) 웨이퍼 시스템은 캐리어 링의 사용 없이 스테이션들 사이의 웨이퍼 이송을 위해 구성될 수도 있다.
도 2b는 인바운드 로드 록 (252) 및 아웃바운드 로드 록 (254) 을 갖는 멀티-스테이션 프로세싱 툴 (200B) 의 일 실시 예의 개략도를 도시한다. 대기압에서, 로봇 (256) 은 카세트로부터 포드 (258) 를 통해 로딩된 기판들을 대기 포트 (260) 를 통해 인바운드 로드 록 (252) 내로 이동시키도록 구성된다. 인바운드 로드 록 (252) 은 대기 포트 (260) 가 폐쇄될 때, 인바운드 로드 록 (252) 이 펌핑 다운될 (pump down) 수도 있도록, 진공 소스 (미도시) 에 커플링된다. 인바운드 로드 록 (252) 은 또한 프로세싱 챔버 (102b) 와 인터페이싱된 챔버 이송 포트 (266) 를 포함한다. 따라서, 챔버 이송 포트 (266) 가 개방될 때, 또 다른 로봇 (미도시) 이 프로세싱을 위해 인바운드 로드 록 (252) 으로부터 제 1 프로세스 스테이션의 페데스탈 (140) 로 기판을 이동시킬 수도 있다.
도시된 프로세싱 챔버 (102b) 는 도 2b에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 일부 실시 예들에서, 프로세싱 챔버 (102b) 는 진공 브레이크 및/또는 공기 노출을 경험하지 않고 기판들이 프로세스 스테이션들 사이에서 캐리어 링 (200) 을 사용하여 이송될 수도 있게 저압 분위기를 유지하도록 구성될 수도 있다. 도 2b에 도시된 프로세스 스테이션 각각은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 (268) 로 도시됨) 및 프로세스 가스 전달 라인 유입구들을 포함한다.
또한 프로세싱 챔버 (102b) 내에서 기판들을 이송하도록 구성된 스파이더 포크들 (226) 이 도시된다. 스파이더 포크들 (226) 은 로테이팅하고, 일 스테이션으로부터 다른 스테이션으로 웨이퍼들의 이송을 가능하게 한다. 이송은 웨이퍼를 리프팅하고, 웨이퍼와 캐리어를 함께 다음 스테이션으로 로테이팅시키는, 외측 하부면으로부터 캐리어 링들 (200) 을 리프팅하도록 스파이더 포크들 (226) 을 가능하게 함으로써 발생한다. 일 구성에서, 스파이더 포크들 (226) 은 프로세싱 동안 고 레벨들의 열을 견디도록 세라믹 재료로 이루어진다.
도 3a 및 도 3b는 마스킹된 기판의 스택 내에 피처의 에칭 프로세스 동안 수행된 상이한 사이클링 스테이지들에 대해 가변하는 패턴들 하에서 복수의 전력 소스들을 펄싱할 때 발생하는 수직 대전 모드/메커니즘 및 병렬 대전 모드/메커니즘이 피처 (예를 들어, 홀, 슬릿, 등) 의 프로파일에 어떻게 유해하게 영향을 주는지를 도시한다. 예를 들어, 보정되지 않으면 대전 메커니즘들은 반응성 에천트들 및/또는 전하 입자들 (예를 들어, 피처의 상부 또는 하부에서 전하의 축적) 의 플로우를 변경할 수도 있어, 프로파일 트위스팅, 프로파일 틸팅, 딤플 왜곡, 고르지 않은 측방향 에칭, 및 피처들의 표면 조면화 (surface roughening) 를 포함하는 에칭 프로파일 왜곡들을 발생시킨다. 이론 또는 작용 메커니즘에 얽매이지 않고, 병렬 대전 및 수직 대전의 존재가 존재하고 슬릿 피처의 다양한 성능 관찰들 (예를 들어, 에칭 프로파일 왜곡들) 을 유발한다고 여겨진다. 예를 들어, 병렬 대전은 이온들을 편향시킬 수 있고, 그리고 수직 대전은 이온들의 속도를 감소시켜 (slow down) 에칭 프로파일 왜곡들을 유발할 것이다. 본 개시의 실시 예들은 예컨대 피처들 (예를 들어, 슬릿들, 채널들 홀들, 등) 내의 딤플 결함들을 제어하기 위해, 에칭 프로파일 왜곡들의 효과들을 감소시키도록, 피처-내 전하 축적의 전하 방출을 제공함으로써 대전 결함들의 효과들을 완화시킨다.
특히, 도 3a는 피처의 하부에서 원치 않은 측방향 에칭에 기여하는 수직 대전 메커니즘을 도시한다. 도시된 바와 같이, 피처의 상부 (예를 들어, 슬릿, 채널 홀, 등) 에서 음전하 (negative charge) 의 축적 및 피처의 하부에서 양전하 (positive charge) 의 축적이 있다. 일부 기여하는 전하는 또한 재료들에 트랩될 (trap) 수도 있다. 이 피처-내 전하 축적은 이온 충돌을 위해 생성된 이온들로 하여금 속도를 감소하게 하고 특히 피처의 하부에서 목표되지 않은 측방향 에칭 (즉, 감소된 수직 에칭) 에 기여하게 할 수도 있고, 그리고 또한 (예를 들어, 시스 (sheath) 에서 발생하는 (originate)) 플라즈마로부터의 이온 충돌의 전반적인 효율을 감소시킬 수도 있다. 예를 들어, 코어 어레이 (core array) 영역으로부터 더미 채널 홀 (channel hole; CH) 영역으로의 슬릿 전이는 쉽게 구불거리거나 깨질 (예를 들어, "마우스바이트 (mousebites)") 수도 있다. 이는 전이 (예를 들어, CH 밀도가 변화하는 영역) 에서 전하 유도된 고르지 않은 전기장 분포로 인한 것일 수도 있다. 또한, 채널 홀 근방의 피처-내 전하 축적은 또한 슬릿과 코어 어레이 영역 (예를 들어, 채널 홀들의 위치) 사이의 경계에서 (예를 들어, 슬릿 내) 뾰족한 결함들에 기여할 수도 있다. 또한, 피처-내 전하 축적은 원치 않은 전체 이온 틸팅 및 폴리머 분포 제어를 위해 바람직하지 않은 더 좁은 프로세스 윈도우를 제공할 수도 있다.
도 3b는 이온 궤적의 목표되지 않은 편향을 갖는 병렬 대전 메커니즘을 도시한다. 도시된 바와 같이, 일 경우에 피처의 일 측면 (예를 들어, 좌측) 상에 양전하의 축적이 있고, 그리고 피처의 하부에 집중된 다른 측면 (예를 들어, 피처의 우측) 상에 음전하의 축적이 있다. 또 다른 경우에, 피처의 일 측면 상에 음전하의 축적이 있고, 그리고 피처의 상부에 집중된 다른 측면 상에 양전하의 축적이 있다. 일부 기여하는 전하는 또한 재료들에 트랩될 수도 있다. 2 개의 경우들 모두에서, 이온 충돌을 위해 생성된 이온들의 궤적은 피처의 하부에서 틸팅, 및/또는 피처의 프로파일 전반에 걸쳐 왜곡된 트위스팅을 야기할 수도 있는, 피처-내 전하 축적의 대전된 표면들로 인해 편향된다. 특히, 하부 지배적인 (bottom dominated) 병렬 대전 메커니즘에서, 편향된 이온 궤적은 인접한 피처들을 병합하도록 (merge) 푸시하고 (push) "마우스바이트", 딤플 트위스팅, 등을 야기하는, 체계적 (systematic) 트위스팅 및 랜덤 트위스팅, 옥사이드 콘택트 타원도, 및/또는 비대칭 폴리머 증착에 기여할 수도 있다. 또한, 일반적으로 상부 부분에 영향을 주는 병렬 대전 메커니즘에서, 편향된 이온 궤적은 체계적 트위스팅 (예를 들어, 짧은 루프 (short-loop) 슬릿 트위스팅) 에 기여할 수도 있다. 또한, 전하의 시간 종속성은 또한 마스크 소비 및 타입에 따라 변할 수도 있다.
혼합-모드 멀티-상태 펄싱
도 4는 본 개시의 일 실시 예에 따른, 복수의 사이클링 레시피들을 사용한 테일러링된 (tailor) 혼합-모드, 멀티-상태 RF 펄싱을 도시하는 다이어그램 (400) 이다. 전통적으로, 펄싱은 스택의 유전체-함유 재료 내 피처들의 에칭을 수행할 때 저 전력 상태 (즉, 피처의 측벽들을 패시베이팅하기 위해 수행될 수도 있는 RF 소스 전력 공급부로부터 더 높은 주파수 신호에 대해 저 RF 전력으로 구성된 RF 상태 [S0]) 및 피크 전력 상태 (즉, 피처의 이온 충돌을 위해 수행될 수도 있는 RF 바이어스 전력 공급부로부터 저 주파수 신호에 대해 저 RF 전력으로 구성된 RF 상태 [S1]) 를 포함하는 2 개의 RF 전력 상태들 사이에서 수행된다. 본 개시의 실시 예들에서, 혼합-모드, 멀티-상태 RF 펄싱은 2 개의 에칭 사이클들 (또한 사이클링 스테이지들로 지칭됨) 에 걸쳐 수행되고, 에칭 사이클 각각은 RF 상태 S0 및 RF 상태 S1을 포함하는 복수의 RF 상태들, 및 하나 이상의 확장 상태들 (예를 들어, S2A 및 S2B) 을 포함한다.
특히, 에칭 사이클 ME1 (410) 은 에칭을 위해 사용된 혼합-모드, 멀티-상태 RF 펄싱 기법의 일 모드이다. 에칭 사이클 ME1은 RF 상태 S0 및 RF 상태 S1, 및 적어도 에칭 화학 물질에 증가된 이온 플럭스를 제공하도록 튜닝된 확장 상태 S2A를 포함한다. 이하의 도면들에서 더 기술될 바와 같이, RF 상태들 각각은 하나 이상의 패턴들에 걸쳐 에칭 사이클 ME1에서 반복적으로 펄싱된다. 일반적으로, 이온 종의 플럭스는 플라즈마 밀도와 (예를 들어, 비례하는, 등) 관련된다. 특히, 에칭 사이클 ME1은 더 높은 밀도의 플라즈마, 및 플라즈마를 생성하기 위해 사용된 RF 전력 공급부 (예를 들어, 소스 전력 생성기) 에 의해 제공된 더 높은 주파수 신호의 증가된 이온들의 플럭스를 제공하도록 구성된 플럭스 확장 상태 S2A를 포함한다. 또한, 이온 플럭스는 또한 이온들의 속도와 관련될 수도 있고, 그리고 단위 시간 기간에 걸쳐 단위 면적을 통과하는 이온들의 수로서 더 기술될 수도 있다. 예를 들어, 더 높은 주파수 신호에 대한 RF 전력을 증가시키는 것은 플럭스 및/또는 플라즈마 밀도를 상승시킬 수도 있다. 플럭스 확장 상태 S2A는 피처의 측벽들의 패시베이션을 제공하고, 패시베이션은 피처의 상부에 포커싱된다 (focus). 이는 특히 에칭이 고 종횡비들을 달성하기 위해 피처 내로 더 깊고 깊게 수행될 때, 에칭 프로세스 동안 피처의 상부 부분이 과도하게 확대되는 것으로부터 보호를 제공한다 (예를 들어, RF 상태 S1에서 더 높은 에칭 레이트들은 피처의 결함들을 야기할 수도 있음).
또한, 에칭 사이클 ME2 (420) 는 에칭을 위해 사용된 혼합-모드, 멀티-상태 RF 펄싱 기법의 또 다른 모드이다. 에칭 사이클 ME2는 RF 상태 S0 및 RF 상태 S1, 및 적어도 피처의 부가적인 이온 충돌을 제공하도록 튜닝된 전압 확장 상태 S2B를 포함한다. 이하의 도면들에서 더 기술될 바와 같이, RF 상태들 각각은 하나 이상의 패턴들에 걸쳐 에칭 사이클 ME2에서 반복적으로 펄싱된다. 특히, 전압 확장 상태 S2B는 더 낮은 플럭스를 제공하지만, 피처의 하부에 포커싱되는 부가적인 이온 충돌을 제공하도록 구성된 더 높은 RF 바이어스 전력을 제공하고, 이는 고 종횡비들을 갖는 피처들을 에칭할 때 유리할 수도 있다. 즉, 전압 확장 상태 S2B는 이온 충돌을 위해 피처의 하부로 더 우수한 이온들의 방향성을 제공한다. 특히, 전력은 전압 확장 상태 S2B를 구현하기 위해 RF 바이어스 전력 공급부에 의해 공급된 (즉, RF 바이어스 전력이 증가됨) 더 낮은 주파수 신호에 대해 증가될 수도 있다.
도 5, 도 6a 내지 도 6c는 2 개의 사이클링 스테이지들 또는 에칭 사이클들에 걸쳐 수행된 혼합 모드, 멀티-상태 RF 펄싱 방법을 예시하고, 여기서 에칭 사이클들 각각은 에칭 화학 물질, 및 RF 전력 공급부 (예를 들어, 소스 RF 전력 공급부) 에 의해 제공된 고 주파수 신호 및 RF 바이어스 전력 공급부에 의해 제공된 저 주파수 신호에 대한 전력 레벨들을 포함하는 제어 파라미터들, 펄싱 주파수, 펄싱 기간들, 펄싱 패턴들, 등을 튜닝할 수 있다.
특히, 도 5는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 방법을 예시하는 흐름도 (500) 이고, 혼합 모드, 멀티-상태 펄싱 기법은 피처를 에칭하기 위해 구현된다. 도 4의 다이어그램 (400) 은 흐름도 (500) 의 구현 예를 예시한다. 흐름도 (500) 의 방법은 흐름도 (500) 의 동작들을 수행하기 위해 도 1의 제어 모듈 (110) 및/또는 도 13의 제어 모듈 (1300) 에 의해 액세스 가능한 메모리에 컴퓨터 판독 가능 형태로 저장될 수도 있다.
일반적으로, 흐름도 (500) 의 방법은 플라즈마 챔버에 제공될 수도 있는 기판 상에서 수행된다. 유전체 재료의 스택이 기판 위에 형성되고 그리고 패터닝된 마스크 층은 유전체 재료의 스택을 오버레이한다 (overlay). 마스크 층이 패터닝되고, 그리고 고 종횡비 피처들을 형성하기 위해 스택의 에칭이 발생하는 개구부들을 포함한다. 플라즈마는 플라즈마 챔버 내에 담긴 플라즈마 생성 가스로부터 생성된다. 플라즈마 생성 가스는 또한 대응하는 에칭 사이클에서 스택의 재료들을 에칭하기 위해 적합하도록 선택적으로 튜닝될 수도 있는 에칭 화학 물질을 포함한다. 단지 예시 목적들을 위해, 에칭 화학 물질은 부분적으로, 하나 이상의 탄소-함유 종, 하나 이상의 불소-함유 종, 등을 포함할 수도 있다. 예를 들어, 일반적으로 에칭 화학 물질에 사용되는 재료들은, 이로 제한되는 것은 아니지만, C3F8, C4F8, C4F6, CH2F2, CH3F, CHF3, C5F8, C6F6, 등과 같은 플루오로카본들 및 하이드로플루오로카본들을 포함한다.
(510) 에서, 방법은 마스킹된 기판의 피처를 에칭하기 위한 시간 기간 동안 제 1 에칭 화학 물질을 사용하여 적용된 제 1 에칭 사이클 (즉, 사이클링 스테이지) 과 제 2 에칭 사이클 (즉, 사이클링 스테이지) 사이에서 계속해서 로테이팅하는 단계를 포함한다. 예를 들어, 제 1 에칭 사이클 및 제 2 에칭 사이클은 유전체 재료의 스택 내에 피처들을 에칭하기 위해 사용된 혼합-모드, 멀티-상태 RF 펄싱 기법의 2 개의 모드들을 제공한다. 에칭 사이클 각각은 도 4의 다이어그램 (400) 에 도시된 바와 같이, RF 상태 S0, RF 상태 S1을 포함하는 복수의 RF 상태들, 및 하나 이상의 확장 상태들 (예를 들어, S2A, S2B, 등) 을 포함한다.
(520) 에서, 방법은 제 1 서브-기간 동안 제 1 에칭 화학 물질을 사용하여 마스킹된 기판 상에서 제 1 에칭 사이클을 수행하는 단계를 포함한다. 예를 들어, 제 1 에칭 사이클은 도 4에 도시된 바와 같이 ME1 (410) 로 나타낼 수도 있고, 그리고 제 1 에칭 화학 물질 하에 적용된 복수의 RF 전력 상태들 S0, S1, 및 확장 상태 S2A를 포함한다. 중요하게, 에칭 사이클 ME1의 RF 전력 상태 각각 동안, 이전에 기술된 바와 같이, RF 전력 공급부 (예를 들어, 소스 RF 전력 공급부) 에 의해 생성된 고 주파수 RF 신호 및 RF 바이어스 전력 공급부에 의해 생성된 저 주파수 RF 신호 각각에 전력 레벨이 할당된다.
이전에 기술된 바와 같이, 제 1 에칭 사이클은 패시베이션을 위해 구성된 제 1 RF 전력 상태 (S0), 확장 상태인 제 2 RF 전력 상태 (S2A), 및 마스킹된 기판을 에칭하기 위해 구성된 제 3 RF 전력 상태 (S1) 사이에서 계속해서 로테이팅된다. 제 1 RF 전력 상태 (S0) 는 에칭될 피처의 측벽들을 패시베이팅하기 위해 구성된 저 전력 상태이고, 그리고 제 3 RF 전력 상태 (S1) 는 에칭될 피처의 이온 충돌을 위해 구성된 피크 전력 상태이다. 제 1 RF 전력 상태 (S0), 제 2 RF 전력 상태 또는 확장 상태 (S2A) 및 제 3 RF 전력 상태 (S1) 사이의 펄싱이 제 1 에칭 사이클 (예를 들어, ME1) 의 제 1 서브-기간 동안 수행된다.
제 1 에칭 사이클의 제 2 상태 (S2A) (즉, 확장 상태) 동안, 방법은 마스킹된 기판의 피처에 확장된 패시베이션을 제공하기 위해 제 1 에칭 화학 물질, (예를 들어, 소스 RF 전력 공급부에 의해 생성된 고 주파수 신호에 대한) 고 주파수 RF 전력 및 (예를 들어, RF 바이어스 전력 공급부에 의해 생성된 저 주파수 신호에 대한) 저 주파수 RF 전력을 튜닝함으로써 제 1 튜닝 단계를 수행하는 단계를 포함한다. 예를 들어, 제 1 에칭 화학 물질은 제 1 에칭 사이클 동안 사용된 적절한 가스 조성을 선택함으로써 튜닝된다. 이에 더하여, 제어 파라미터들의 부가적인 튜닝은 제 1 에칭 사이클 내의 RF 상태들 각각에서 고 주파수 RF 전력에 대한 적절한 전력 레벨의 선택, 및 저 주파수 RF 전력에 대한 적절한 전력 레벨의 선택을 포함할 수도 있다. 확장 상태 (S2A) 의 저 주파수 RF 전력과 고 주파수 RF 전력, 또는 그 반대에 대한 전력 레벨들 사이의 전력 비가 제 1 에칭 사이클에 대해 규정될 수도 있다.
(530) 에서, 방법은 제 2 서브-기간 동안 제 2 에칭 화학 물질을 사용하여 마스킹된 기판 상에서 제 2 에칭 사이클을 수행하는 단계를 포함한다. 예를 들어, 제 2 에칭 사이클은 도 4에 도시된 바와 같이 ME2 (420) 로 나타낼 수도 있고, 그리고 복수의 RF 전력 상태들 S0, S1, 및 확장 상태 S2B를 포함한다. 이전에 도입된 바와 같이, 에칭 사이클 ME2의 RF 전력 상태 각각 동안, RF 전력 공급부 (예를 들어, 소스 RF 전력 공급부) 에 의해 생성된 고 주파수 RF 신호 및 RF 바이어스 전력 공급부에 의해 생성된 저 주파수 RF 신호 각각에 전력 레벨이 할당된다.
일반적으로, 에칭 사이클 ME1 및 에칭 사이클 ME2에서 RF 전력 상태 S0 및 RF 전력 상태 S1 각각 동안 RF 전력 공급부 (예를 들어, 소스 RF 전력 공급부) 에 의해 생성된 고 주파수 RF 신호 및 RF 바이어스 전력 공급부에 의해 생성된 저 주파수 RF 신호에 할당된 전력 레벨들은 실질적으로 동일하다. 즉, 에칭 사이클 ME1 및 에칭 사이클 ME2 각각에서 수행된 제 1 RF 상태들 (S0) 동안 RF 전력 공급부에 의해 생성된 고 주파수 RF 신호에 할당된 전력 레벨들은 거의 동일하다. 또한, 에칭 사이클 ME1 및 에칭 사이클 ME2 각각에서 수행된 제 1 RF 상태들 (S0) 동안 RF 바이어스 전력 공급부에 의해 생성된 저 주파수 RF 신호에 할당된 전력 레벨들은 거의 동일하다. 또한, 에칭 사이클 ME1 및 에칭 사이클 ME2 각각에서 수행된 제 3 RF 상태들 (S1) 동안 RF 전력 공급부에 의해 생성된 고 주파수 RF 신호에 할당된 전력 레벨들은 거의 동일하다. 또한, 에칭 사이클 ME1 및 에칭 사이클 ME2 각각에서 수행된 제 3 RF 상태들 (S1) 동안 RF 바이어스 전력 공급부에 의해 생성된 저 주파수 RF 신호에 할당된 전력 레벨들은 거의 동일하다.
그러나, 에칭 사이클 ME1 및 에칭 사이클 ME2에서 확장 상태들 (즉, S2A 및 S2B) 동안 RF 전력 공급부에 의해 생성된 고 주파수 RF 신호에 할당된 전력 레벨들 및 RF 바이어스 전력 공급부에 의해 생성된 저 주파수 RF 신호에 할당된 전력 레벨들은 상이할 수도 있다. 즉, 에칭 사이클 ME1 및 에칭 사이클 ME2 각각에 대해 저 주파수 RF 전력과 고 주파수 RF 전력, 또는 그 반대에 대한 전력 레벨들 사이의 전력 비는 상이할 수도 있다.
제 2 에칭 사이클은 전기적 방전을 위해 구성된 제 1 RF 전력 상태 (S0), 확장 상태인 제 4 RF 전력 상태 (S2B), 및 마스킹된 기판의 피처를 에칭하기 위해 구성된 제 3 상태 (S1) 사이에서 계속해서 로테이팅된다. 이전에 기술된 바와 같이, 제 1 RF 전력 상태 (S0) 는 에칭될 피처의 측벽들을 패시베이팅하기 위해 구성된 저 전력 상태이고, 그리고 제 3 RF 전력 상태 (S1) 는 에칭될 피처의 이온 충돌을 위해 구성된 피크 전력 상태이다. 제 1 RF 전력 상태 (S0), 제 4 RF 전력 상태 또는 확장 상태 (S2B) 및 제 3 RF 전력 상태 (S1) 사이의 펄싱이 제 2 에칭 사이클 (예를 들어, ME2) 의 제 2 서브-기간 동안 수행된다.
제 2 에칭 사이클의 제 4 상태 (S2B) (즉, 확장 상태) 동안, 제 2 튜닝 단계는 마스킹된 기판의 피처에 펀치-쓰루 (punch-through) 에칭을 제공하기 위해 제 2 에칭 화학 물질, (예를 들어, 소스 RF 전력 공급부에 의해 생성된 고 주파수 신호에 대한) 고 주파수 RF 전력 및 (예를 들어, RF 바이어스 전력 공급부에 의해 생성된 저 주파수 신호에 대한) 저 주파수 RF 전력을 튜닝함으로써 수행된다. 예를 들어, 제 2 에칭 화학 물질은 제 2 에칭 사이클 동안 사용된 적절한 가스 조성을 선택함으로써 튜닝된다. 이에 더하여, 제어 파라미터들의 부가적인 튜닝은 제 2 에칭 사이클 내의 RF 상태들 각각에서 고 주파수 RF 전력에 대한 적절한 전력 레벨의 선택, 및 저 주파수 RF 전력에 대한 적절한 전력 레벨의 선택을 포함할 수도 있다. 확장 상태 (S2B) 의 저 주파수 RF 전력과 고 주파수 RF 전력, 또는 그 반대에 대한 전력 레벨들 사이의 전력 비가 제 2 에칭 사이클에 대해 규정될 수도 있다.
또한, 일 시간 기간 후, 피처는 스택 내에 형성되기 시작한다. 피처가 최종 에칭 깊이에 도달한 후, 기판은 플라즈마 챔버로부터 제거될 수도 있다.
도 6a는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용되는 3 개의 펄싱된 RF 전력 상태들을 각각 갖는 상이한 사이클링 레시피들을 갖는 2 개의 에칭 사이클들 및/또는 사이클링 스테이지들 (예를 들어, ME1 및 ME2) 을 예시하는 다이어그램 (600A) 이다. 도 6a에 도시된 동작들은 본 개시의 일 실시 예에 따른, 마스킹된 기판 위에 형성된 유전체 재료의 스택 내에 피처의 에칭을 수행할 때 복수의 사이클링 레시피들을 사용하는 혼합-모드, 멀티-상태 RF 펄싱의 일 구현 예를 형성한다. 예를 들어, 도 6a에 도시된 동작들은 흐름도 (500) 의 방법의 일 구현 예일 수도 있다.
도시된 바와 같이, 다이어그램 (600A) 은 ME1로 라벨링된 제 1 에칭 사이클 (즉, 사이클링 스테이지) 을 포함한다. 제 1 에칭 사이클은 피처 (610) 의 에칭을 수행하기 위해 제 1 에칭 화학 물질을 사용하여 인가되는 복수의 RF 전력 상태들 S0 (예를 들어, 저 전력 상태), S1 (피크 전력 상태), 및 플럭스 확장 상태 S2A (630) 를 포함한다. 일반적으로, 에칭 프로세스는 기판 (미도시) 위에 형성된 유전체 재료의 스택 (620) (예를 들어, 옥사이드-나이트라이드-옥사이드 층들, 옥사이드 층들, 등), 및 스택 (620) 을 오버레이하는 마스크 층 (605) 상에서 수행된다. 제 1 에칭 사이클 ME1에서 사용된 RF 전력 상태들 각각 동안 고 주파수 (예를 들어, 특정한 경우에서 60 ㎒) RF 신호 및 저 주파수 (예를 들어, 특정한 경우에서 400 ㎑) RF 바이어스 신호 각각에 대한 전력 레벨들을 도시하는 전력 다이어그램 (650) 은 또한 다이어그램 (600A) 에 도시되고, 그리고 도 6b에 더 상세히 도시된다.
제 1 에칭 사이클 ME1의 RF 전력 상태 S0, RF 전력 상태 S1, 및 플럭스 확장 상태 S2A (630) 는 제 1 서브-기간 동안 계속해서 로테이팅된다. 제 1 에칭 사이클 ME1에서 RF 전력 상태들의 순서는 선택 가능하고 (selectable), 일 특정한 경우에, RF 전력 상태들은 RF 전력 상태 S1에 이어서 RF 전력 상태 S0, 그리고 RF 전력 플럭스 확장 상태 S0에 이어서 RF 전력 상태 S2A를 갖는 순서로 펄싱된다.
피크 전력 RF 전력 상태 S1에 대한 제어 파라미터들은 피처 (610) 의 이온 충돌을 제공하도록 에칭 사이클 ME1에서 튜닝된다. 일반적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 제 1 에칭 화학 물질을 사용하여 에칭을 수행하기 위해 상대적으로 높다. 즉, 고 주파수 RF 신호에 대한 전력 레벨은 피크 플라즈마 밀도를 제공하기 위해 상대적으로 높고, 그리고 저 주파수 RF 바이어스 신호에 대한 전력 레벨은 또한 피크 이온 충돌을 제공하기 위해 상대적으로 높다. 예를 들어, 이온들 (615) 은 측벽들 (621) 을 아래로 스윕핑하고 (sweep down) 피처 (610) 의 하부 부분 (625) 에 충돌하는 것으로 도시된다. 측벽들 (621) 상에 약간의 (slight) 패시베이션이 또한 발생할 수도 있다. 이와 같이, RF 전력 상태 S1은 피처 (610) 를 에칭하기 위해 사용된다.
저 전력 RF 전력 상태 S0에 대한 제어 파라미터들은 피처 (610) 의 패시베이션을 제공하도록 에칭 사이클 ME1에서 튜닝된다. 일반적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 제 1 에칭 화학 물질을 사용하여 피처 에칭의 패시베이션을 수행하도록 상대적으로 낮다. 전력 레벨들이 상대적으로 낮기 때문에, 패시베이션은 도시된 바와 같이 대부분 피처 (610) 의 상부 부분 (626) 에서 수행된다.
RF 전력 플럭스 확장 상태 S2A (630) 에 대한 제어 파라미터들은 피처 (610) 의 부가적인 패시베이션을 제공하도록 에칭 사이클 ME1에서 튜닝된다. 즉, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 더 높은 이온 플럭스 (즉, 더 높은 플라즈마 밀도를 제공하기 위한 고 주파수 RF 신호에 대해 더 높은 RF 전력 및 저 주파수 RF 신호에 대해 더 낮은 RF 바이어스 전력) 를 제공하도록 튜닝되고, 이는 피처 (610) 의 측벽들 (621) 의 패시베이션을 발생시킨다. 구체적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호에 대한 전력 레벨들은 피처 (610) 의 상부-중간 부분 (627) 주위와 같이 측벽들 상에서 더 높은 증착 및/또는 패시베이션을 제공하도록 튜닝된다. 이러한 방식으로, 피처 (610) 의 더 많은 에칭이 수행됨에 따라, 피처 (610) 의 상부-중간 부분 및 상부 부분에 적용된 패시베이션 층으로 인해 상부의 개구부가 과도하게 확대되지 않는다.
이에 더하여, 에칭 사이클 ME1에 대한 에칭 화학 물질은 예컨대 RF 전력 플럭스 확장 상태 S2A (630) 를 수행할 때, 피처 (610) 의 부가적인 패시베이션을 제공하도록 튜닝된다. 구체적으로, 에칭 화학 물질은 에칭 사이클 ME2에서 사용되는 에칭 화학 물질과 비교할 때 더 높은 레벨들의 탄소-불소 가스 (즉, 플루오로카본 가스) 및 더 적은 하이드로카본 불소 가스를 가질 수도 있다. 대응하여, 에칭 사이클 ME2에서 사용되는 에칭 화학 물질은 에칭 사이클 ME1에서 사용되는 에칭 화학 물질의 가스 조성과 비교할 때 더 낮은 탄소-불소 가스, 및 더 높은 레벨들의 하이드로카본 불소 가스를 가질 수도 있다.
이와 같이, 스택 (620) 이 에칭될 때, 패시베이션 층은 에칭 사이클 ME1 동안 고 종횡비 피처 (610) 의 측벽들 (621) 상에 형성된다. 예를 들어, 패시베이션 층은 에칭 사이클 ME1에서 사용되는 에칭 화학 물질로부터의 하나 이상의 재료들과 조합되는 스택의 재료들로부터 형성된다. 부가적인 프로세스 없이, 이 패시베이션 층은 불균일한 두께를 가질 수도 있고, 그리고 피처 (610) 의 상부 부분 (626) 에 집중될 수도 있고, 이에 따라 피처 (610) 내로 더 깊게 에칭하고 그리고/또는 피처의 고 종횡비를 목표된 것보다 더 낮게 유지하는 능력을 억제한다. 예를 들어, 패시베이팅 층은 불균일한 두께 및/또는 조성을 가질 수도 있고, 그리고 피처의 상부 근방에 집중될 수도 있다. 본 개시의 실시 예들에서, 제 1 에칭 사이클 ME1은 이하에 기술된 바와 같이, 피처의 더 깊고 향상된 이온 에칭을 제공하도록 제 2 에칭 사이클 ME2로 사이클링되고 그리고/또는 루프된다 (loop).
특히, 다이어그램 (600A) 은 ME2로 라벨링된 제 2 에칭 사이클 (즉, 사이클링 스테이지) 을 포함한다. 제 2 에칭 사이클은 제 2 에칭 화학 물질을 사용하여 인가되는 복수의 RF 전력 상태들 S0 (예를 들어, 저 전력 상태), S1 (피크 전력 상태), 및 바이어스 전압 확장 상태 S2B (635) 를 포함한다. 이전에 도입된 바와 같이, 에칭 프로세스는 기판 (미도시) 위에 형성된 유전체 재료 스택 (620) (예를 들어, 옥사이드-나이트라이드-옥사이드 층들, 옥사이드 층들, 등), 및 스택 (620) 을 오버레이하는 마스크 층 (605) 상에서 수행된다. 제 2 에칭 사이클 ME2에서 사용된 RF 전력 상태들 각각 동안 고 주파수 (예를 들어, 특정한 경우에서 60 ㎒) RF 신호 및 저 주파수 (예를 들어, 특정한 경우에서 400 ㎑) RF 바이어스 신호 각각에 대한 전력 레벨들을 도시하는 전력 다이어그램 (660) 은 또한 다이어그램 (600A) 에 도시되고, 그리고 도 6b에 더 상세히 도시된다.
제 2 에칭 사이클 ME2의 RF 전력 상태 S0, RF 전력 상태 S1, 및 플럭스 확장 상태 S2B (635) 는 제 2 서브-기간 동안 계속해서 로테이팅된다. 제 1 에칭 사이클 ME1에서 RF 전력 상태들의 순서는 선택 가능하고, 일 특정한 경우에, RF 전력 상태들은 RF 전력 상태 S1에 이어서 RF 전력 상태 S0, 그리고 RF 바이어스 전력 확장 상태 S0에 이어서 RF 전력 상태 S2B를 갖는 순서로 펄싱된다.
피크 전력 RF 전력 상태 S1에 대한 제어 파라미터들은 이전에 기술된 바와 같이 피처 (610) 의 이온 충돌을 제공하도록 에칭 사이클 ME1에서 튜닝된다. 일반적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 제 2 에칭 화학 물질을 사용하여 에칭을 수행하기 위해 상대적으로 높다. 즉, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호에 대한 전력 레벨들은 피처 (610) 의 하부 부분 (625) 과 같은 피크 이온 충돌을 제공하기 위해 제 1 에칭 사이클 ME1의 RF 전력 상태 S1에서 사용된 전력 레벨들과 거의 동일하다. 측벽들 (621) 상에 약간의 패시베이션이 또한 발생할 수도 있다.
또한 이전에 기술된 바와 같이, 저 전력 RF 전력 상태 S0에 대한 제어 파라미터들은 피처 (610) 의 패시베이션을 제공하도록 에칭 사이클 ME2에서 튜닝된다. 일반적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 제 1 에칭 화학 물질을 사용하여 피처 에칭의 패시베이션을 수행하도록 상대적으로 낮다. 전력 레벨들이 상대적으로 낮기 때문에, 패시베이션은 도시된 바와 같이 대부분 피처 (610) 의 상부 부분 (626) 에서 수행된다.
RF 전력 바이어스 전압 확장 상태 S2B (635) 에 대한 제어 파라미터들은 피처 (610) 의 이방성 하부 에지 이온 충돌을 제공하도록 에칭 사이클 ME2에서 튜닝된다. 즉, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 더 큰 RF 바이어스 전압 (즉, 고 주파수 RF 신호에 대해 더 낮은 RF 전력 및 저 주파수 RF 신호에 대해 더 높은 RF 바이어스 전력) 을 제공하도록 튜닝되고, 이는 피처 (610) 의 하부 에지 또는 부분 (625) 의 부가적인 이방성 이온 충돌을 발생시킨다.
특히, 에칭 사이클 ME2에 대한 에칭 화학 물질은 예컨대 RF 전력 바이어스 전압 확장 상태 S2B (635) 를 수행할 때 피처 (610) 의 하부 부분의 부가적인 이방성 이온 충돌을 제공하도록 튜닝된다. 구체적으로, 에칭 사이클 ME2에서 사용되는 에칭 화학 물질은 에칭 사이클 ME1에서 사용되는 에칭 화학 물질의 가스 조성과 비교할 때 더 낮은 탄소-불소 가스, 및 더 높은 레벨들의 하이드로카본 불소 가스를 가질 수도 있다.
도 6b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 예시적인 혼합 모드, 멀티-상태 펄싱 프로세스 동안 인가된 RF 전력 레벨들을 예시하는 다이어그램 (600B) 이다. 다이어그램 (600B) 은 도 6a의 에칭 사이클 ME1 및 에칭 사이클 ME2를 수행할 때 인가된 RF 전력 레벨들의 일 예시일 수도 있지만, 또한 에칭을 위해 사용된 임의의 다수의 혼합 모드, 멀티-상태 푸시 기법들을 수행할 때 인가된 RF 전력 레벨들을 예시한다. 더 구체적으로, RF 전력 레벨들은 일반적으로 플라즈마를 제어 및/또는 생성하기 위해 사용되고, 특정한 경우에서 60 ㎒로 생성되는, 고 주파수 RF 신호 (645) (실선으로 도시됨) 를 포함한다. 또한, RF 전력 레벨들은 일반적으로 피처의 이온 충돌을 제어하기 위해 사용되고, 특정한 경우에서 400 ㎑로 생성되는, 저 주파수 RF 바이어스 신호 (640) (점선으로 도시됨) 를 포함한다.
다양한 구현 예들에서, 플라즈마를 생성하기 위해 다음의 조건들이 적용될 수도 있다. 플라즈마는 약 0 W (watts) 내지 15 ㎾ (kilowatts), 또는 약 0 W 내지 10 ㎾, 또는 약 250 W 내지 10 ㎾, 또는 약 500 W 내지 10 ㎾의 전력 레벨의, 그리고 약 13 내지 169 ㎒, 예를 들어 약 20 내지 100 ㎒ (예를 들어, 특정한 경우에서 60 ㎒) 의 주파수의 RF 전력 소스를 사용하여 생성되는 고 주파수 RF 신호 (645) 를 사용하여 생성될 수도 있다. 일 실시 예에서, 플라즈마는 약 500 W 내지 10 ㎾의 전력 레벨의, 60 ㎒의 주파수의 고 주파수 RF 신호 (645) 를 사용하여 생성된다.
이에 더하여, 바이어스 (예를 들어, RF 바이어스 전력) 는 예를 들어 고 수직 에칭 레이트를 촉진하기 위해 저 주파수 RF 바이어스 신호 (640) 를 사용하여 기판에 인가될 수도 있다. RF 바이어스 전력은 약 0 W 내지 50 ㎾, 약 250 W 내지 45 ㎾, 약 500 W 내지 35 ㎾의 전력 레벨로, 그리고 약 20 ㎑ 내지 1.5 ㎒, 또는 약 200 ㎑ 내지 1.5 ㎒, 또는 약 300 ㎑ 내지 600 ㎑ (예를 들어, 특정한 경우에서 약 400 ㎑) 의 주파수로 기판에 인가될 수도 있다. 다양한 경우들에서, 플라즈마를 생성하도록 사용된 RF 바이어스 전력의 전력 레벨은 예를 들어 약 5 ㎾ 이상, 또는 6 ㎾ 이상, 또는 10 ㎾ 이상, 또는 20 ㎾ 이상, 또는 30 ㎾ 이상으로 특히 높을 수도 있다. 일 실시 예에서, 기판은 약 500 W 내지 35 ㎾의 전력 레벨로 400 ㎑로 바이어스된다.
도시된 바와 같이, 전력 다이어그램 (650) 은 제 1 에칭 사이클 ME1에 대한 RF 전력 레벨들을 예시하고, 여기서 x-축은 시간이고, y-축은 전력 레벨 (예를 들어, 와트로 표현됨) 이다. 이전에 기술된 바와 같이, 에칭 사이클 ME1의 RF 전력 상태들 (예를 들어, S0, S1, 및 플럭스 확장 상태 S2A) 은 제 1 서브-시간 기간 동안 계속해서 로테이팅된다. RF 전력 상태 각각은 수십 내지 수백 밀리 초 지속되거나 펄싱될 수도 있다. 예를 들어, RF 전력 상태 S1에 대한 펄싱 기간은 0 내지 300 ㎲ (microseconds) 일 수도 있고, RF 전력 상태 S0에 대한 펄싱 기간은 0 내지 400 ㎲일 수도 있고, 그리고 RF 전력 상태 S2A에 대한 기간은 0 내지 300 ㎲일 수도 있다. 제 1 서브-시간 기간은 400 내지 800 ㎲ 지속될 수도 있다.
또한, 전력 다이어그램 (660) 은 제 2 에칭 사이클 ME2에 대한 RF 전력 레벨들을 예시하고, 여기서 x-축은 시간이고, y-축은 전력 레벨 (예를 들어, 와트로 표현됨) 이다. 이전에 기술된 바와 같이, 에칭 사이클 ME2의 RF 전력 상태들 (예를 들어, S0, S1, 및 바이어스 전압 확장 상태 S2B) 은 제 2 서브-시간 기간 동안 계속해서 로테이팅된다. RF 전력 상태 각각은 수십 내지 수백 밀리 초 동안 지속될 수도 있다. 도시된 바와 같이, RF 전력 상태 S1에 대한 펄싱 기간은 0 내지 300 ㎲일 수도 있고, RF 전력 상태 S0에 대한 펄싱 기간은 0 내지 400 ㎲일 수도 있고, 그리고 RF 전력 상태 S2A에 대한 기간은 0 내지 300 ㎲일 수도 있다. 제 2 서브-시간 기간은 400 내지 800 ㎲ 지속될 수도 있다.
전력 다이어그램들 (650 및 660) 에 도시된 바와 같이, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 RF 전력 상태 S0, RF 전력 상태 S1, 및 ME1에 대한 플럭스 확장 상태 S2A 및 ME2에 대한 바이어스 전압 확장 상태 S2B 각각에 대해 도시된다. 일반적으로, 에칭 사이클 ME1 및 에칭 사이클 ME2의 RF 피크 전력 상태들 S1 각각에서 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 거의 동일하고, 그리고 대응하는 피처에 이온 충돌을 제공하도록 구성된다. 예를 들어, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 각각은 에칭 사이클 ME1 또는 에칭 사이클 ME2의 모든 상태들에 대해 피크 전력 레벨들에서 생성된다.
또한, 에칭 사이클 ME1 및 에칭 사이클 ME2의 RF 저 전력 상태들 S0 각각에서 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 거의 동일하고, 그리고 대응하는 피처에 패시베이션을 제공하도록 구성된다. 예를 들어, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 각각은 에칭 사이클 ME1 또는 에칭 사이클 ME2의 모든 상태들에 대해 최저 전력 레벨들에서 생성된다.
중요하게, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 각각에 대한 전력 레벨들은 목표된 결과들을 달성하도록 에칭 사이클 ME1의 확장 상태 S2A 및 에칭 사이클 ME2의 확장 상태 S2B 각각에서 튜닝된다. 특히, 에칭 사이클 ME1에 대해, 이전에 기술된 바와 같이, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 확장 상태 S2A에서 더 큰 플라즈마 밀도 및/또는 더 높은 이온 플럭스를 달성하도록 튜닝된다. 예를 들어, 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨은 예컨대 에칭 사이클 ME2의 바이어스 전압 확장 상태 S2B에 인가된 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨과 비교할 때 플럭스 확장 상태 S2A에서 상대적으로 높을 수도 있다. 또한, 저 주파수 RF 전력 신호 (640) 에 대한 전력 레벨은 예컨대 에칭 사이클 ME2의 바이어스 전압 확장 상태 S2B에 인가된 저 주파수 RF 전력 신호 (640) 에 대한 전력 레벨과 비교할 때, 플럭스 확장 상태 S2A에서 상대적으로 낮을 수도 있다.
대응하여, 에칭 사이클 ME2에 대해, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 확장 상태 S2B (635) 에서 특히 대응하는 피처의 하부 에지 및/또는 부분으로 지향된 이방성 이온 충돌을 제공하도록 튜닝된다. 예를 들어, 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨은 예컨대 에칭 사이클 ME1의 플럭스 확장 상태 S2A에 인가된 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨과 비교할 때, 에칭 사이클 ME2의 바이어스 전압 확장 상태 S2B에서 상대적으로 낮을 수도 있다. 또한, 저 주파수 RF 전력 신호 (640) 에 대한 전력 레벨은 예컨대 에칭 사이클 ME1의 플럭스 확장 상태 S2A에 인가된 저 주파수 RF 전력 신호 (640) 에 대한 전력 레벨과 비교할 때 에칭 사이클 ME2의 바이어스 전압 확장 상태 S2B에서 상대적으로 높을 수도 있다.
도시되고 이전에 기술된 바와 같이, 에칭 사이클 ME1 및 에칭 사이클 ME2에서 확장 상태 S2A 및 확장 상태 S2B 동안 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 할당된 전력 레벨들은 상이할 수도 있다. 대응하는 에칭 사이클에 대한 RF 전력 레벨들은 저 주파수 RF 전력 신호 (640) 의 RF 전력과 고 주파수 RF 전력 신호 (645) 의 RF 전력 사이의 관계를 규정하는 전력 비 (예를 들어, [저 주파수 RF 전력 신호 (640) 의 RF 전력]/[고 주파수 RF 전력 신호 (645) 의 RF 전력]) 에 의해 예시될 수도 있다. 일 실시 예에서, 에칭 사이클 ME2에 대한 전력 비는 대응하는 확장 상태들에서 에칭 사이클 ME1에 대한 전력 비보다 더 높다. 즉, 에칭 사이클 ME2에 대한 확장 상태 S2B (635) 의 저 주파수 RF 전력 신호 (640) 의 RF 전력과 고 주파수 RF 전력 신호 (645) 의 RF 전력 사이의 전력 비는 에칭 사이클 ME1에 대한 플럭스 확장 상태 S2A (630) 의 저 주파수 RF 전력 신호 (640) 의 RF 전력과 고 주파수 RF 전력 신호 (645) 의 RF 전력 사이의 전력 비보다 더 크다. 즉, 전력 비는 제 1 에칭 사이클 (ME1) 과 제 2 에칭 사이클 (ME2) 사이에서 상승되고, 제 1 에칭 사이클 (ME1) 의 전력 비는 제 2 에칭 사이클 (ME2) 의 전력 비보다 더 작다.
이에 더하여, 에칭 사이클 ME1 및 에칭 사이클 ME2의 확장 사이클들 동안 저 주파수 RF 전력 신호 (640) 의 RF 전력과 고 주파수 RF 전력 신호 (645) 의 RF 전력 사이의 전력 비들이 상이하더라도, 일 실시 예에서, 에칭 사이클 ME1 및 에칭 사이클 ME2의 확장 상태들에 인가된 총 전력 레벨들은 거의 동일하다. 즉, 에칭 사이클 ME1의 플럭스 확장 상태 S2A (630) 에 인가될 때 저 주파수 RF 전력 신호 (640) 의 RF 전력 및 고 주파수 RF 전력 신호 (645) 의 RF 전력에 대한 총 전력 레벨들 (예를 들어, 합산된 전력 레벨들) 은 에칭 사이클 ME2의 바이어스 전압 확장 상태 S2B (635) 에 인가될 때 저 주파수 RF 전력 신호 (640) 의 RF 전력 및 고 주파수 RF 전력 신호 (645) 의 RF 전력에 대한 총 전력 레벨들 (예를 들어, 합산된 전력 레벨들) 과 거의 동일하다.
도 6c는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한, 예를 들어 도 6a의 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용된 바와 같이 상이한 사이클링 레시피들을 갖는 2 개의 에칭 사이클들 (예를 들어, 사이클링 스테이지들) 동안 RF 상태들의 펄싱을 예시하는 다이어그램 (600C) 이다. 도시된 바와 같이, 에칭 사이클 ME1은 RF 저 전력 상태 S0, RF 피크 전력 상태 S1, 및 플럭스 확장 상태 S2A를 포함한다. 또한, 에칭 사이클 ME2는 RF 저 전력 상태 S0, RF 피크 전력 상태 S1, 및 바이어스 전압 확장 상태 S2B를 포함한다. RF 전력 상태들은 특정한 순서 (예를 들어, ME1에서 S0 이어서 S2A 이어서 S1 그리고 이어서 다시 S0으로 등; 및 ME2에서 S0 이어서 S2B 이어서 S21 그리고 이어서 다시 S0로 등) 로 수행되는 것으로 도시되지만, RF 전력 상태들은 다른 순서들 (예를 들어, ME1에서 S0 이어서 S1 이어서 S2A 그리고 이어서 다시 S0으로 등; 및 ME2에서 S0 이어서 S1 이어서 S2B 이어서 다시 S0으로 등) 또는 패턴들로 사이클링될 수도 있다.
이전에 기술된 바와 같이, 에칭 사이클 ME1의 RF 전력 상태들 (예를 들어, S0, S1, 및 플럭스 확장 상태 S2A) 은 제 1 서브-시간 기간 (670) 동안 계속해서 로테이팅되고 그리고/또는 펄싱된다. 또한, RF 전력 상태들 (예를 들어, S0, S1, 및 바이어스 전압 확장 상태 S2B) 은 제 2 서브-시간 기간 (675) 동안 계속해서 로테이팅되고 그리고/또는 펄싱된다. 결합된 시간 기간 (685) 은 에칭 사이클 ME1 및 에칭 사이클 ME2에 대한 서브-시간 기간들 (670 및 675) 모두를 포함한다.
도시된 바와 같이, 에칭 사이클 ME1 및 에칭 사이클 ME2는 총 시간 기간 (680) 동안 계속해서 루프된다. 사실상, 나머지 RF 전력 상태들 (예를 들어, S0 및 S1) 이 에칭 사이클 ME1 및 에칭 사이클 ME2 각각 내에서 유사하게 수행된다는 점을 감안하면, 확장 상태 S2A 및 확장 상태 S2B가 에칭 사이클 ME1과 에칭 사이클 ME2 사이에서 사이클링된다.
도 7a는 본 개시의 일 실시 예에 따른, 사이클링 스테이지들 각각에서 2 개의 확장 상태들을 포함하는, 그리고 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용되는 4 개의 펄싱된 RF 전력 상태들을 각각 갖는 상이한 사이클링 레시피들을 갖는 2 개의 에칭 사이클들 (즉, 사이클링 스테이지들) 을 예시하는 다이어그램이다.
도 7a에 도시된 동작들은 본 개시의 일 실시 예에 따른, 마스킹된 기판 위에 형성된 유전체 재료의 스택 내에 피처의 에칭을 수행할 때 복수의 사이클링 레시피들을 사용하는 혼합-모드, 멀티-상태 RF 펄싱의 일 구현 예를 형성한다. 예를 들어, 도 7a에 도시된 동작들은 일 실시 예에서, 수정들을 갖는, 흐름도 (500) 의 방법의 일 구현 예일 수도 있다. 특히, 2 개 이상의 확장 RF 전력 상태들은 대응하는 피처를 에칭할 때 유전체의 스택에 인가될 수도 있다. 도 9와 관련하여 더 기술될 바와 같이, 상이한 패턴들 및/또는 구성들의 RF 전력 상태들이 에칭을 수행할 때 구현될 수도 있다.
도시된 바와 같이, 다이어그램 (700A) 은 ME1-A로 라벨링된 제 1 에칭 사이클 (즉, 사이클링 스테이지) 을 포함한다. 제 1 에칭 사이클은 피처 (610) 의 에칭을 수행하기 위해 제 1 에칭 화학 물질을 사용하여 인가되는 복수의 RF 전력 상태들 S0 (예를 들어, 저 전력 상태), S1 (피크 전력 상태), 및 적어도 2 개의 확장 상태들, 예컨대 플럭스 확장 상태 S2A' (630-A) 및 바이어스 전압 확장 상태 S2B' (635-A) 를 포함한다. 일반적으로, 에칭 프로세스는 기판 (미도시) 위에 형성된 유전체 재료의 스택 (620) (예를 들어, 옥사이드-나이트라이드-옥사이드 층들, 옥사이드 층들, 등), 및 스택 (620) 을 오버레이하는 마스크 층 (605) 상에서 수행된다. 제 1 에칭 사이클 ME1-A에서 사용된 RF 전력 상태들 각각 동안 고 주파수 (예를 들어, 특정한 경우에서 60 ㎒) RF 신호 및 저 주파수 (예를 들어, 특정한 경우에서 400 ㎑) RF 바이어스 신호 각각에 대한 전력 레벨들을 도시하는 전력 다이어그램 (750) 은 또한 다이어그램 (700A) 에 도시되고, 그리고 도 7b에 더 상세히 도시된다.
제 1 에칭 사이클 ME1-A의 RF 전력 상태 S0, RF 전력 상태 S1, 플럭스 확장 상태 S2A' (630-A), 및 RF 바이어스 전력 확장 상태 S2B' (635-A) 는 제 1 서브-시간 기간 동안 계속해서 로테이팅된다. 제 1 에칭 사이클 ME1-A에서 RF 전력 상태들의 순서는 선택 가능하고, 일 특정한 경우에, RF 전력 상태들은 RF 전력 상태 S1에 이어서 RF 전력 상태 S0, RF 전력 상태 S0에 이어서 RF 전력 플럭스 확장 상태 S2A' (630-A), 그리고 RF 전력 플럭스 확장 상태 S2A' (630-A) 에 이어서 RF 바이어스 전력 확장 상태 S2B' (635-A) 를 갖는 순서로 펄싱된다.
피크 전력 RF 전력 상태 S1에 대한 제어 파라미터들은 도 6a와 관련하여 이전에 기술된 바와 같이, 피처 (610) 의 이온 충돌을 제공하도록 에칭 사이클 ME1에서 튜닝된다. 특히, 고 주파수 RF 신호 및 저 주파수 RF 신호에 대한 전력 레벨들은 각각 피크 플라즈마 밀도 및 피크 이온 충돌을 제공하도록 상대적으로 높다. 예를 들어, 이온들 (615) 은 피처의 에칭을 수행하기 위해 측벽들 (621) 을 아래로 스윕핑하고 피처 (610) 의 하부 부분 (625) 에 충돌하는 것으로 도시된다. 측벽들 (621) 상에 약간의 패시베이션이 또한 발생할 수도 있다.
저 전력 RF 전력 상태 S0에 대한 제어 파라미터들은 이전에 기술된 바와 같이 피처 (610) 의 패시베이션을 제공하도록 에칭 사이클 ME1에서 튜닝된다. 일반적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 제 1 에칭 화학 물질을 사용하여 피처 에칭의 패시베이션을 수행하도록 상대적으로 낮다. 전력 레벨들이 상대적으로 낮기 때문에, 패시베이션은 도시된 바와 같이 대부분 피처 (610) 의 상부 부분 (626) 에서 수행된다.
또한, RF 전력 플럭스 확장 상태 S2A' (630-A) 에 대한 제어 파라미터들은 피처 (610) 의 부가적인 패시베이션을 제공하도록 에칭 사이클 ME1-A에서 튜닝된다. 일반적으로, RF 전력 플럭스 확장 상태 S2A' (630-A) 는 도 6a 및 도 6b의 RF 전력 플럭스 확장 상태 S2A (630) 와 유사하게 수행된다. 예를 들어, 고 주파수 RF 신호에 대한 전력 레벨은 더 높은 이온 플럭스를 위해 튜닝되고, 그리고 저 주파수 RF 바이어스 신호는 더 높은 플라즈마 밀도를 제공하도록 튜닝되고, 이는 (예를 들어, 피처 (610) 의 상부-중간 부분 (627) 으로 지향된) 피처의 측벽들의 패시베이션을 발생시킨다. 이러한 방식으로, 피처 (610) 의 더 많은 에칭이 수행됨에 따라, 피처 (610) 의 상부-중간 부분 및 상부 부분에 적용된 패시베이션 층 오버-에칭으로부터 이 영역을 보호하기 때문에 상부의 개구부가 과도하게 확대되지 않는다.
또한, RF 전력 바이어스 전압 확장 상태 S2B' (635-A) 에 대한 제어 파라미터들은 제 1 에칭 화학 물질을 사용하여 피처 (610) 의 이방성 하부 에지 이온 충돌을 제공하도록 에칭 사이클 ME1-A에서 튜닝된다. 일반적으로, RF 바이어스 전력 확장 상태 S2B' (635-A) 는 도 6a 및 도 6b의 RF 바이어스 전력 확장 상태 S2B (635) 와 유사하게 수행된다. 즉, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 더 큰 RF 바이어스 전압 (즉, 고 주파수 RF 신호에 대해 더 낮은 RF 전력 및 저 주파수 RF 신호에 대해 더 높은 RF 바이어스 전력) 을 제공하도록 튜닝되고, 이는 피처 (610) 의 하부 에지 또는 부분 (625) 의 부가적인 이방성 이온 충돌 (예를 들어, 펀치 쓰루 에칭) 을 발생시킨다.
제 1 에칭 사이클 ME1-A에 도시된 바와 같이, 2 개의 확장 상태들 (예를 들어, S2A'[630-A] 및 S2B'[635-A]) 모두는 에칭 사이클 ME1-A에 대한 에칭 화학 물질을 사용하는 동안 피처 (610) 의 중간 부분에서 상부 부분 (627) 에 부가적인 패시베이션뿐만 아니라 피처 (610) 의 하부 에지 또는 하부 부분 (625) 의 이방성 이온 충돌을 제공하기 위해 수행된다. 일 실시 예에서, 에칭 화학 물질은 에칭 사이클 ME2-A에서 사용되는 에칭 화학 물질과 비교할 때 더 높은 레벨들의 탄소-불소 가스 (즉, 플루오로카본 가스) 및 더 적은 하이드로카본 불소 가스를 가질 수도 있다. 대응하여, 에칭 사이클 ME2-A에서 사용되는 에칭 화학 물질은 에칭 사이클 ME1-A에서 사용되는 에칭 화학 물질의 가스 조성과 비교할 때 더 낮은 탄소-불소 가스, 및 더 높은 레벨들의 하이드로카본 불소 가스를 가질 수도 있다.
또한, 다이어그램 (700A) 은 ME2-A로 라벨링된 제 2 에칭 사이클 (즉, 사이클링 스테이지) 을 포함한다. 제 2 에칭 사이클은 기판 (미도시) 위에 형성된 유전체 재료의 스택 (620) (예를 들어, 옥사이드-나이트라이드-옥사이드 층들, 옥사이드 층들, 등), 및 스택 (620) 을 오버레이하는 마스크 층 (605) 에서 피처 (610) 의 에칭을 수행하도록 제 2 에칭 화학 물질을 사용하여 인가된 복수의 RF 전력 상태들 S0 (예를 들어, 저 전력 상태), S1 (피크 전력 상태), 및 적어도 2 개의 확장 상태들, 예컨대 플럭스 확장 상태 S2A' (630-A) 및 바이어스 전압 확장 상태 S2B' (635-A) 를 포함한다. 제 2 에칭 사이클 ME2-A에서 사용된 RF 전력 상태들 각각 동안 고 주파수 (예를 들어, 특정한 경우에서 60 ㎒) RF 신호 및 저 주파수 (예를 들어, 특정한 경우에서 400 ㎑) RF 바이어스 신호 각각에 대한 전력 레벨들을 도시하는 전력 다이어그램 (760) 은 또한 다이어그램 (700A) 에 도시되고, 그리고 도 7b에 더 상세히 도시된다.
제 2 에칭 사이클 ME2-A의 RF 전력 상태 S0, RF 전력 상태 S1, 플럭스 확장 상태 S2A' (630-A), 및 RF 바이어스 전력 확장 상태 S2B' (635-A) 는 제 2 에칭 화학 물질을 사용하는 제 2 서브-시간 기간 동안 계속해서 로테이팅된다. 제 2 에칭 사이클 ME2-A에서 RF 전력 상태들의 순서는 선택 가능하고, 일 특정한 경우에, RF 전력 상태들은 RF 전력 상태 S1에 이어서 RF 전력 상태 S0, RF 전력 상태 S0에 이어서 RF 바이어스 전력 확장 상태 S2B' (635-A), 그리고 RF 바이어스 전력 확장 상태 S2B' (635-A) 에 이어서 RF 전력 플럭스 확장 상태 S2A' (630-A) 를 갖는 순서로 펄싱된다. 더 구체적으로, 에칭 사이클 ME1-A에서 확장 상태 S2A' (630-A) 및 확장 상태 S2B' (635-A) 의 구성은 에칭 사이클 ME2-A에서 확장 상태 S2A' (630-A) 및 확장 상태 S2B' (630-A) 의 구성과 상이하다. 특히, 제 2 에칭 사이클 ME2-A의 RF 전력 확장 상태들의 순서 (S2A' 이어서 S2B') 는 제 1 에칭 사이클 ME1-A의 RF 전력 확장 상태들의 순서 (S2B' 이어서 S2A') 와 반대로 수행된다.
피크 전력 RF 전력 상태 S1 및 저 전력 RF 전력 상태 S0에 대한 제어 파라미터들은 에칭 사이클 ME1-A에서 튜닝될 때와 유사하게 에칭 사이클 ME2-A에서 튜닝된다. 예를 들어, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 피크 전력 RF 전력 상태 S1에서 제 2 에칭 화학 물질을 사용하여 에칭을 수행하도록 상대적으로 높다. 또한, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 제 2 에칭 화학 물질을 사용하여 피처 에칭의 패시베이션을 수행하도록 상대적으로 낮다.
도 7b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 7a의 혼합 모드, 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램이다. 다이어그램 (700B) 은 도 7a의 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A를 수행할 때 인가된 RF 전력 레벨들의 일 예시일 수도 있지만, 또한 에칭을 위해 사용된 임의의 다수의 혼합 모드, 멀티-상태 푸시 기법들을 수행할 때 인가된 RF 전력 레벨들을 예시한다. 더 구체적으로, RF 전력 레벨들은 일반적으로 플라즈마를 제어 및/또는 생성하기 위해 사용되고, 특정한 경우에서 60 ㎒로 생성되는, 고 주파수 RF 신호 (645) (실선으로 도시됨) 를 포함한다. 또한, RF 전력 레벨들은 일반적으로 피처의 이온 충돌을 제어하기 위해 사용되고, 특정한 경우에서 400 ㎑로 생성되는, 저 주파수 RF 바이어스 신호 (640) (점선으로 도시됨) 를 포함한다.
이전에 기술된 바와 같이, 약 0 W 내지 15 ㎾, 또는 약 0 W 내지 10 ㎾, 또는 약 250 W 내지 10 ㎾, 또는 약 500 W 내지 10 ㎾의 전력 레벨의, 그리고 약 13 내지 169 ㎒, 예를 들어 약 20 내지 100 ㎒ (예를 들어, 특정한 경우에서 60 ㎒) 의 주파수의 고 주파수 RF 신호 (645) 를 사용하는 것을 포함하여, 다양한 조건들이 플라즈마를 생성하도록 적용될 수도 있다. 또한, 바이어스 (예를 들어, RF 바이어스 전력) 는 예를 들어 고 수직 에칭 레이트를 촉진하기 위해 저 주파수 RF 바이어스 신호 (640) 를 사용하여 기판에 인가될 수도 있다. RF 바이어스 전력은 약 0 W 내지 50 ㎾, 약 250 W 내지 45 ㎾, 약 500 W 내지 35 ㎾의 전력 레벨로, 그리고 약 20 ㎑ 내지 1.5 ㎒, 또는 약 200 ㎑ 내지 1.5 ㎒, 또는 약 300 ㎑ 내지 600 ㎑ (예를 들어, 특정한 경우에서 약 400 ㎑) 의 주파수로 기판에 인가될 수도 있다.
도시된 바와 같이, 전력 다이어그램 (750) 은 제 1 에칭 사이클 ME1-A에 대한 RF 전력 레벨들을 예시하고, 여기서 x-축은 시간이고, y-축은 전력 레벨 (예를 들어, 와트로 표현됨) 이다. 이전에 기술된 바와 같이, 에칭 사이클 ME1-A의 RF 전력 상태들 (예를 들어, S0, S1, 플럭스 확장 상태 S2A', 및 바이어스 전압 확장 상태 S2B') 은 제 1 서브-시간 기간 동안 계속해서 로테이팅된다. RF 전력 상태 각각은 수십 내지 수백 밀리 초 동안 지속될 수도 있다. 예를 들어, RF 전력 상태 S1에 대한 펄싱 기간은 0 내지 300 ㎲일 수도 있고, RF 전력 상태 S0에 대한 펄싱 기간은 0 내지 500 ㎲일 수도 있고, RF 전력 상태 S2A' (630-A) 에 대한 기간은 0 내지 300 ㎲일 수도 있고, 그리고 RF 전력 상태 S2B' (635-A) 에 대한 기간은 0 내지 300 ㎲일 수도 있다. 제 1 서브-시간 기간은 500 내지 1500 ㎲ 이상 지속될 수도 있다.
또한, 전력 다이어그램 (760) 은 제 2 에칭 사이클 ME2-A에 대한 RF 전력 레벨들을 예시하고, 여기서 x-축은 시간이고, y-축은 전력 레벨 (예를 들어, 와트로 표현됨) 이다. 이전에 기술된 바와 같이, 에칭 사이클 ME2-A의 RF 전력 상태들 (예를 들어, S0, S1, 플럭스 확장 상태 S2A', 및 바이어스 전압 확장 상태 S2B') 은 제 2 서브-시간 기간 동안 계속해서 로테이팅된다. 제 2 에칭 사이클 ME2-A의 RF 전력 상태들에 대한 펄싱 기간들은 상기 참조된 바와 같이, 제 1 에칭 사이클 ME1-A의 RF 전력 상태들에 대한 펄싱 기간들과 유사하다.
전력 다이어그램들 (750 및 760) 에 도시된 바와 같이, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A에 대한 RF 전력 상태 S0, RF 전력 상태 S1, 플럭스 확장 상태 S2A' (630-A), 및 RF 바이어스 전력 확장 상태 S2B' (635-B) 각각에 대해 도시된다. 일반적으로, 이전에 기술된 바와 같이, 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A의 RF 피크 전력 상태들 S1 각각에서 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 거의 동일하고, 그리고 대응하는 피처에 이온 충돌을 제공하도록 구성된다. 또한, 이전에 기술된 바와 같이, 에칭 사이클 ME1 및 에칭 사이클 ME2의 RF 저 전력 상태들 S0 각각에서 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 거의 동일하고, 그리고 대응하는 피처에 패시베이션을 제공하도록 구성된다.
또한, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 각각에 대한 전력 레벨들은 목표된 결과들을 달성하도록 에칭 사이클 ME1-A의 확장 상태 S2A' (630-A) 및 에칭 사이클 ME2-A의 확장 상태 S2B' (635-A) 각각에서 튜닝된다. 특히, 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A 각각 내에서, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 플럭스 확장 상태 S2A' (630-A) 에서 더 큰 플라즈마 밀도 및/또는 더 높은 이온 플럭스를 달성하도록 유사하게 튜닝된다. 또한 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A 각각 내에서, 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 대한 전력 레벨들은 특히 바이어스 전압 확장 상태 S2B' (635-A) 의 대응하는 피처의 하부 에지 및/또는 부분으로 지향된, 이방성 이온 충돌을 제공하도록 유사하게 튜닝된다.
에칭 사이클 ME1-A 및 에칭 사이클 ME2-A에서 플럭스 확장 상태 S2A' (630-A) 동안 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 할당된 전력 레벨들은 유사할 수도 있다. 또한, 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A에서 RF 바이어스 전압 확장 상태 S2B' (635-A) 동안 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 할당된 전력 레벨들은 유사할 수도 있다. 그러나, 에칭 사이클 ME1-A 또는 에칭 사이클 ME2-A에서 확장 상태 S2A' (630-A) 및 확장 상태 S2B' (635-A) 동안 저 주파수 RF 전력 신호 (640) 및 고 주파수 RF 전력 신호 (645) 에 할당된 전력 레벨들은 상이할 수도 있다. 이전에 기술된 바와 같이, 바이어스 전압 확장 상태 S2B' (635-A) 동안 저 주파수 RF 전력 신호 (640) 의 RF 전력과 고 주파수 RF 전력 신호 (645) 의 RF 전력 사이의 전력 비는 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A 각각의 플럭스 확장 상태 S2A' (630-A) 동안 저 주파수 RF 전력 신호 (640) 의 RF 전력과 고 주파수 RF 전력 신호 (645) 의 RF 전력 사이의 전력 비보다 더 크다.
또한, 일 실시 예에서, 플럭스 확장 상태 S2A' (630-A) 에 인가될 때 저 주파수 RF 전력 신호 (640) 의 RF 전력 및 고 주파수 RF 전력 신호 (645) 의 RF 전력에 대한 총 전력 레벨들은 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A 각각의 바이어스 전압 확장 상태 S2B' (635-A) 에 인가될 때 저 주파수 RF 전력 신호 (640) 의 RF 전력 및 고 주파수 RF 전력 신호 (645) 의 RF 전력에 대한 총 전력 레벨들과 거의 동일하다.
도 8a 및 도 8b는 본 개시의 일 실시 예에 따른, 마스킹된 기판 위에 형성된 유전체 재료의 스택 내에 피처의 에칭을 수행할 때 복수의 사이클링 레시피들을 사용하는 혼합-모드, 멀티-상태 RF 펄싱의 또 다른 구현 예를 형성한다. 예를 들어, 도 8a에 도시된 동작들은 일 실시 예에서, 수정들을 갖는, 흐름도 (500) 의 방법의 일 구현 예일 수도 있다. 특히, 2 개 이상의 확장 RF 전력 상태들은 대응하는 피처를 에칭할 때 유전체의 스택에 인가될 수도 있다. 도 9와 관련하여 더 기술될 바와 같이, 상이한 패턴들 및/또는 구성들의 RF 전력 상태들이 에칭을 수행할 때 구현될 수도 있다.
특히, 도 8a는 본 개시의 일 실시 예에 따른, 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용되는 4 개의 펄싱된 RF 전력 상태들을 각각 갖는 상이한 사이클링 레시피들을 갖는 2 개의 에칭 사이클들 (즉, 사이클링 스테이지들) 을 예시하는 또 다른 다이어그램 (800A) 이고, 에칭 사이클 각각은 저 전력 RF 전력 상태 (즉, RF 전력 상태 (S0)) 에 걸쳐 있는 (straddle) 2 개의 확장 상태들을 포함한다.
도시된 바와 같이, 다이어그램 (800A) 은 ME1-B로 라벨링된 제 1 에칭 사이클 및 ME2-B로 라벨링된 제 2 에칭 사이클을 포함한다. 다이어그램 (700A) 의 RF 전력 상태들과 유사하게, 제 1 에칭 사이클 ME1-B 및 제 2 에칭 사이클 ME2-B는 복수의 RF 전력 상태들 S0 (예를 들어, 저 전력 상태), S1 (피크 전력 상태), 및 적어도 2 개의 확장 상태들, 예컨대 플럭스 확장 상태 S2A' (630-A) 및 바이어스 전압 확장 상태 S2B' (635-A) 를 포함한다. RF 전력 상태들은 에칭 사이클 ME1-B에서 제 1 에칭 화학 물질을 사용하여 인가되고, 그리고 에칭 사이클 ME2-B에서 제 2 에칭 화학 물질을 사용하여 인가된다. 또한, 제 1 에칭 사이클 ME1-B의 RF 전력 상태 S0, RF 전력 상태 S1, 플럭스 확장 상태 S2A' (630-A), 및 RF 바이어스 전력 확장 상태 S2B' (635-A) 는 제 1 서브-기간 동안 계속해서 로테이팅된다. 이에 더하여, 제 2 에칭 사이클 ME2-B의 RF 전력 상태 S0, RF 전력 상태 S1, 플럭스 확장 상태 S2A' (630-A), 및 RF 바이어스 전력 확장 상태 S2B' (635-A) 는 제 2 서브-기간 동안 계속해서 로테이팅된다.
RF 전력 상태들 S0 (예를 들어, 저 전력 상태), S1 (피크 전력 상태), 및 플럭스 확장 상태 S2A' (630-A) 및 바이어스 전압 확장 상태 S2B' (635-A) 와 같은 적어도 2 개의 확장 상태들 각각에 대한 제어 파라미터들은 고 주파수 RF 신호 및 저 주파수 RF 신호에 대해 유사하게 구성된 전력 레벨들을 포함하여, 도 7a의 대응하는 RF 전력 상태들과 유사하게 구성된다. 또한, 확장 상태들 (플럭스 확장 상태 S2A' [630-A] 및 바이어스 전압 확장 상태 S2B'[635-A]) 각각에 대한 저 주파수 RF 전력 신호의 전력 레벨과 고 주파수 RF 전력 신호의 전력 레벨 사이에 규정된 전력 비들이 유사하게 구성된다.
그러나, 에칭 사이클 ME1-B 및 에칭 사이클 ME2-B 각각에 대해 다이어그램 (800A) 에서 RF 전력 상태들을 수행하는 순서는 이전에 도입된 바와 같이 다이어그램 (700A) 에서 RF 전력 스테이지들을 수행하는 순서와 상이하다. 예를 들어, 에칭 사이클 ME1-B 및 에칭 사이클 ME2-B 각각은 저 전력 RF 전력 상태 (즉, RF 전력 상태 S0) 에 걸쳐 있는 2 개의 확장 상태들을 포함한다. 특히, 제 1 에칭 사이클 ME1-B에서 RF 전력 상태들의 순서는 선택 가능하고, 일 특정한 경우에, RF 전력 상태들은 RF 전력 상태 S1에 이어서 RF 전력 플럭스 확장 상태 S2A' (630-A), 그리고 RF 전력 플럭스 확장 상태 S2A' (630-A) 에 이어서 RF 전력 상태 S0, RF 전력 상태 S0에 이어서 RF 바이어스 전력 확장 상태 S2B' (635-A) 를 갖는 순서로 펄싱된다.
에칭 사이클 ME1-B에서 확장 상태 S2A' (630-A) 및 확장 상태 S2B' (635-A) 의 구성은 에칭 사이클 ME2-B에서 확장 상태 S2A' (630-A) 및 확장 상태 S2B' (635-A) 의 구성과 상이하다. 특히, RF 전력 상태 S0에 걸쳐 있을 때 제 2 에칭 사이클 ME2-B의 RF 전력 확장 상태들의 순서는 제 1 에칭 사이클 ME1-B의 RF 전력 확장 상태들의 순서와 반대로 수행된다. 특히, 제 2 에칭 사이클 ME2-B에서 RF 전력 상태들의 순서는 선택 가능하고, 일 특정한 경우에, RF 전력 상태들은 RF 전력 상태 S1에 이어서 RF 바이어스 전력 확장 상태 S2B' (635-A), RF 바이어스 전력 확장 상태 S2B' (635-A) 에 이어서 RF 전력 상태 S0, 그리고 RF 전력 상태 S0에 이어서 RF 전력 플럭스 확장 상태 S2A' (630-A) 를 갖는 순서로 펄싱된다.
도 8b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 8a의 혼합 모드, 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램 (800B) 이다. 더 구체적으로, RF 전력 레벨들은 일반적으로 플라즈마를 제어 및/또는 생성하기 위해 사용되고, 특정한 경우에서 60 ㎒로 생성되는, 고 주파수 RF 신호 (645) (실선으로 도시됨) 를 포함한다. 또한, RF 전력 레벨들은 일반적으로 피처의 이온 충돌을 제어하기 위해 사용되고, 특정한 경우에서 400 ㎑로 생성되는, 저 주파수 RF 바이어스 신호 (640) (점선으로 도시됨) 를 포함한다. 다이어그램 (800B) 은 도 8a의 에칭 사이클 ME1-B 및 에칭 사이클 ME2-B를 수행할 때 인가된 RF 전력 레벨들의 일 예시일 수도 있지만, 또한 에칭을 위해 사용된 임의의 다수의 혼합 모드, 멀티-상태 푸시 기법들을 수행할 때 인가된 RF 전력 레벨들을 예시한다.
특히, 도 7b의 에칭 사이클 ME1-A 및 에칭 사이클 ME2-A 각각에서 RF 전력 상태들을 수행하는 순서 및 도 8b의 에칭 사이클 ME1-B 및 에칭 사이클 ME2-B 각각에서 RF 전력 상태들을 수행하는 순서를 제외하고, 도 8b에 도시된 RF 전력 레벨 조건들은 도 7b에 도시된 RF 전력 레벨 조건들과 유사하다. 이와 같이, 도 7b에 기술된 RF 전력 레벨 조건들의 논의는 도 8b에 도시된 RF 전력 레벨 조건들에 유사하게 적용되고, 참조를 위해 사용될 수도 있다.
도 9는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 혼합 모드, 멀티-상태 펄싱 프로세스에서 사용되는 4 개의 펄싱된 RF 전력 상태들을 각각 갖는 상이한 사이클링 레시피들을 갖는 2 개의 에칭 사이클들에서 사용하는 사이클링 레시피들의 다양한 조합들을 예시하는 표 (900) 이다. 예를 들어, 도 7a, 도 7b, 도 8a 및 도 8b에 도시된 RF 전력 상태들의 조합들 및 구성들은 표 (900) 에 포함된다.
제 1 에칭 사이클 ME1' 및 제 2 에칭 사이클 ME2' 각각은 4 개의 RF 전력 상태들 S0 (예를 들어, 저 전력 상태), S1 (피크 전력 상태), 및 적어도 2 개의 확장 상태들, 예컨대 플럭스 확장 상태 S2A' (630-A) 및 바이어스 전압 확장 상태 S2B' (635-A) 를 포함한다. 확장 상태 S2A' (630-A) 및 확장 상태 S2B' (635-A) 각각은 이전에 도입되었다. 에칭 사이클 ME1' 및 에칭 사이클 ME2' 각각에서 4 개의 RF 전력 상태들을 수행하는 다양한 순서들이 시퀀스 1 내지 시퀀스 10으로 도시된다. 표 (900) 에 제공된 리스트는 총망라한 (exhaustive) 것으로 의도되지 않고, 에칭 사이클 ME1' 및 에칭 사이클 ME2' 각각에서 도시되지 않은 RF 전력 상태들의 다른 조합들이 있을 수도 있다.
1 개 또는 2 개의 플라즈마 오프 상태들을 갖는 멀티-상태 RF 펄싱
도 10, 도 11a, 도 11b, 도 12a 및 도 12b는 반도체 디바이스를 제조할 때 유전체 함유 재료 내로 고 종횡비 피처들을 에칭하기 위한 방법들을 기술하고, 에칭 프로세스는 피처-내 대전 유도된 결함들, 예컨대 3D NAND 메모리 슬릿 에칭의 에칭을 수행할 때 형성된 결함들을 감소시키기 위한 피처-내 제 2 전자 생성 및/또는 전하 중성화를 위해 1 개 또는 2 개의 플라즈마 오프-상태들을 갖는 멀티-상태 RF 펄싱 기법들을 사용하여 수행된다.
특히, 도 10은 본 개시의 일 실시 예에 따른, 1 개 또는 2 개의 플라즈마 오프 상태들을 갖는 멀티 상태 펄싱을 포함하는, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 방법을 예시하는 흐름도 (1000) 이다. 흐름도 (1000) 의 방법은 흐름도 (1000) 의 동작들을 수행하기 위해 도 1의 제어 모듈 (110) 및/또는 도 13의 제어 모듈 (1300) 에 의해 액세스 가능한 메모리에 컴퓨터 판독 가능 형태로 저장될 수도 있다.
일반적으로, 흐름도 (1000) 의 방법은 플라즈마 챔버에 제공될 수도 있는 기판 상에서 수행된다. 유전체 재료의 스택이 기판 위에 형성되고 그리고 패터닝된 마스크 층은 유전체 재료의 스택을 오버레이한다. 마스크 층이 패터닝되고, 그리고 고 종횡비 피처들을 형성하기 위해 스택의 에칭이 발생하는 개구부들을 포함한다. 플라즈마는 기판 위에 형성되고 마스크 층으로 오버레이된 유전체 재료의 스택 내에 피처의 에칭을 수행할 목적들로 플라즈마 챔버 내에 담긴 플라즈마 생성 가스로부터 생성된다. 일정 시간 후, 피처는 스택 내에 형성되기 시작한다. 피처가 최종 에칭 깊이에 도달한 후, 기판은 플라즈마 챔버로부터 제거될 수도 있다. 에칭 동안 수행된 동작들은 이하에 더 완전히 기술된다.
특히, (1010) 에서 방법은 플라즈마 챔버에 에칭 화학 물질을 제공하는 단계를 포함한다. 플라즈마 생성 가스는 또한 대응하는 에칭 사이클에서 스택의 재료들을 에칭하기 위해 적합하도록 선택적으로 튜닝될 수도 있는 에칭 화학 물질을 포함한다. 단지 예시 목적들을 위해, 에칭 화학 물질은 부분적으로, 하나 이상의 탄소-함유 종, 하나 이상의 불소-함유 종, 등을 포함할 수도 있다. 예를 들어, 일반적으로 에칭 화학 물질에 사용되는 재료들은, 이로 제한되는 것은 아니지만, C3F8, C4F8, C4F6, CH2F2, CH3F, CHF3, C5F8, C6F6, 등과 같은 플루오로카본들 및 하이드로플루오로카본들을 포함한다.
(1020) 에서, 방법은 에칭 화학 물질 하에서 복수의 RF 전력 상태들을 계속해서 로테이팅시키는 단계를 포함한다. 특히, 피처의 전하 중성화를 위한 음이온 이송을 위해 구성된 제 1 RF 전력 상태 (S0), 제 2 RF 전력 상태 (S2) 와 복수의 유전체 층들의 스택 및 스택의 상단 상에 패터닝된 마스크 층을 포함하는 마스킹된 기판의 피처를 에칭하도록 구성된 제 3 RF 전력 상태 (S1) 사이에서 로테이션이 수행된다. RF 전력 상태들 각각에 대한 제어 파라미터들은 고 주파수 RF 전력 생성기 (예를 들어, 소스 RF 전력 공급부) 에 의해 생성된 고 주파수 RF 신호 및 저 주파수 RF 전력 생성기 (예를 들어, RF 바이어스 전력 공급부) 에 의해 생성된 저 주파수 RF 신호 각각에 RF 전력 레벨들을 튜닝하는 것을 포함한다.
(1020a) 에서, 제 2 RF 전력 상태 (S2) 동안, 에칭 화학 물질, 고 주파수 RF (radio frequency) 전력, 및 저 주파수 RF 바이어스 전력은 마스킹된 기판의 피처에 패시베이션을 제공하도록 튜닝된다. 특히, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호의 전력 레벨들은 피처에 패시베이션을 제공하도록 튜닝된다. 실시 예들에서, 제 2 RF 전력 상태 (S2) 는 피처의 1 차 패시베이션을 수행한다. 일 실시 예에서, 제 2 RF 전력 상태 (S2) 는 피처의 유일한 패시베이션을 수행한다. 이는, 오프 상태 (S0) 에서, 플라즈마 시스가 붕괴되고 (collapse) (즉, RF 전력 생성기들이 오프 상태들로 설정됨) 이에 따라 2 차 전자들로 하여금 피처 내로 흐르게 하고 축적된 전하를 중성화하게 (즉, 전기적 방전 제공) 하기 때문이다. 부가적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호의 튜닝된 전력 레벨들에 기초하여 제 2 RF 전력 상태 (S2) 동안 약간의 에칭이 수행될 수도 있다.
(1020b) 에서, 제 3 상태 (S1) 동안, 에칭 조건들의 에칭 화학 물질, 고 주파수 RF 전력, 및 저 주파수 RF 전력은 마스킹된 기판의 피처를 에칭하도록 튜닝된다. 특히, (고 주파수 RF 신호를 생성하는) 고 주파수 RF 전력 및 (저 주파수 RF 바이어스 신호를 생성하는) 저 주파수 RF 전력 각각에 대한 전력 레벨들은 에칭 화학 물질을 사용하여 피처의 에칭을 수행하도록 상대적으로 (즉, 다른 RF 전력 상태들 각각에서 전력 레벨들과 비교할 때) 높게 설정된다.
(1020c) 에서, 제 1 상태 (S0) 동안, 저 주파수 RF 전력 생성기는 마스킹된 기판으로의 저 주파수 RF 전력의 전달을 방지하도록 제 1 오프-상태로 설정된다. 또한, (1020d) 에서, 제 1 상태 (S0) 동안, 고 주파수 RF 전력 생성기는 마스킹된 기판으로의 고 주파수 RF 전력의 전달을 방지하도록 제 2 오프-상태로 설정된다. 이와 같이, 저 주파수 RF 전력 및 고 주파수 RF 전력이 플라즈마 챔버로 전달되지 않기 때문에, 플라즈마 시스는 효과적으로 (예를 들어, 완전히 또는 부분적으로) 붕괴된다. 또한, RF 전력 상태들 각각의 펄싱 기간들은 플라즈마 시스가 재스트라이킹되고 (restrike) 그리고/또는 재점화될 (reignite) 필요가 없도록 구성된다 (예를 들어, 타이밍들은 플라즈마 시스의 전체 붕괴가 있더라도 그리고/또는 플라즈마 시스의 전체 붕괴를 방지하기 위해 플라즈마 시스의 어떠한 재점화도 배제하도록 충분히 짧다).
흐름도 (1000) 에 대한 상기 동작들은 (예를 들어, 제 1 상태 [S0] 동안 생성된 플라즈마 오프 상태에 더하여) 부가적인 플라즈마 오프 상태를 제공하도록 수정될 수도 있다. 특히, (1060) 에서, 방법은 피처의 전하 중성화를 위해 활용되는 부가적인 음이온 이송을 위해 구성된 제 4 상태 (S0') 를 부가하는 단계를 포함한다. 또한, (1070) 에서, 방법은 제 1 상태 (S0), 제 2 상태 (S2), 제 3 상태 (S1), 및 제 4 상태 (S0') 사이에서 계속해서 로테이팅하는 단계를 포함한다. 복수의 오프 상태들을 사용하는 것에 대한 더 상세한 기술은 도 12a 및 도 12b와 관련하여 이하에 제공된다.
도 11a는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 일 플라즈마 OFF 상태를 포함하는 3 개의 펄싱된 RF 전력 상태들을 갖는 멀티-상태 펄싱 프로세스에서 사이클링 레시피를 예시하는 다이어그램이다. 도 11a에 도시된 동작들은 본 개시의 일 실시 예에 따른, 마스킹된 기판 위에 형성된 유전체 재료의 스택 내에 피처의 에칭을 수행할 때 일 플라즈마 오프 상태를 갖는 혼합-모드, 멀티-상태 RF 펄싱의 일 구현 예를 형성한다. 예를 들어, 도 11a에 도시된 동작들은 흐름도 (1000) 의 방법의 일 구현 예일 수도 있다.
도시된 바와 같이, 다이어그램 (1100A) 은 피처 (1110) 의 에칭을 수행하기 위해 에칭 화학 물질을 사용하여 인가되는 복수의 RF 전력 상태들 S1 (피크 전력 상태), S0 (플라즈마 오프 상태), 및 S2 (패시베이션 상태) 를 포함한다. 일반적으로, 에칭 프로세스는 기판 (미도시) 위에 형성된 유전체 재료의 스택 (1120) (예를 들어, 옥사이드-나이트라이드-옥사이드 층들, 옥사이드 층들, 등), 및 스택 (1120) 을 오버레이하는 마스크 층 (1105) 상에서 수행된다. 에칭을 수행하기 위한 1 개의 플라즈마 OFF 상태를 포함하는 3 개의 펄싱된 RF 전력 상태들을 갖는 멀티-상태 펄싱 프로세스에서 수행된 RF 전력 상태들에 대해 사용된 RF 전력 상태들 각각 동안 고 주파수 (예를 들어, 특정한 경우에서 60 ㎒) RF 신호 및 저 주파수 (예를 들어, 특정한 경우에서 400 ㎑) RF 바이어스 신호 각각에 대한 전력 레벨들을 도시하는 전력 다이어그램 (1150) 이 도시되고, 그리고 도 11b에 더 상세히 도시된다.
RF 전력 상태 S0, RF 전력 상태 S1, 및 RF 전력 상태 S2는 시간 기간 동안 계속해서 로테이팅된다. RF 전력 상태들의 순서는 선택 가능하고, 일 특정한 경우에, RF 전력 상태들은 RF 전력 상태 S1에 이어서 RF 전력 상태 S0, 그리고 RF 전력 상태 S0에 이어서 RF 전력 상태 S2를 갖는 순서로 펄싱된다.
피크 전력 RF 전력 상태 S1에 대한 제어 파라미터들은 피처 (1110) 의 이온 충돌을 제공하도록 튜닝된다. 일반적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 에칭 화학 물질을 사용하여 에칭을 수행하도록 상대적으로 (즉, 다른 RF 전력 상태들에 인가된 전력 레벨들과 비교할 때) 높다. 즉, 고 주파수 RF 신호에 대한 전력 레벨은 피크 플라즈마 밀도를 제공하기 위해 상대적으로 높고, 그리고 저 주파수 RF 바이어스 신호에 대한 전력 레벨은 또한 피크 이온 충돌을 제공하기 위해 상대적으로 높다. 예를 들어, 이온들 (1115) 은 측벽들 (1121) 을 아래로 스윕핑하고 피처 (1110) 의 하부 부분 (1125) 에 충돌하는 것으로 도시된다.
RF 전력 상태 S2에 대한 제어 파라미터들은 피처 (1110) 의 패시베이션을 제공하도록 튜닝된다. 즉, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 더 높은 이온 플럭스 (즉, 더 높은 플라즈마 밀도를 제공하기 위한 고 주파수 RF 신호에 대해 더 높은 RF 전력 및 저 주파수 RF 신호에 대해 더 낮은 RF 바이어스 전력) 를 제공하도록 튜닝되고, 이는 피처 (1110) 의 측벽들 (1121) 의 패시베이션을 발생시킨다. 이에 더하여, 제 2 이온 생성은 RF 전력 상태 S2의 동작 동안 피처 (1110) 내에서 발생할 수도 있다. 구체적으로, 측벽들 (1121) 에 스트라이킹하는 이온들 및/또는 전자들은 2 차 방출을 통해 부가적인 전자들 및/또는 2 차 전자들 (1130) 을 생성할 수도 있다. 이들 2 차 전자들은 피처 (1110) 내에 남아 있을 수도 있거나 플라즈마를 향해 다시 가속될 수도 있다.
RF 전력 상태 S0에 대한 제어 파라미터들은 플라즈마로부터 피처 내로, 예컨대 피처 (1110) 의 하부 부분 (1125) 을 향해 전자 이송 궤적 및/또는 음이온 이송을 제공하도록 튜닝된다. 특히, 전자 이송 궤적 및/또는 음이온 이송은 이전에 기술된 바와 같이 플라즈마의 붕괴로 인해 발생한다. 전자 이송 궤적 및/또는 음이온 이송의 존재는 RF 전력 상태 S0 내에 점선 (1135) 에 의해 도시되고 그리고 플라즈마 및/또는 2 차 전자들로부터 (즉, 2 차 방출을 통해 생성된) 전자들 및/또는 음이온들이 어떻게 피처 (1110) 를 통해 상부 부분 (1126) 으로부터 하부 부분 (1125) 으로 아래로 흐르는지 예시한다. 이에 더하여, 피처 내에 존재할 수도 있는 RF 전력 상태 S2 동안 생성된 2 차 전자들은 또한 피처 (1110) 의 하부 부분 (1125) 을 향해 이송된다.
전자들이 피처 (1110) 를 통해 이송될 때, 플라즈마 및/또는 2 차 전자들로부터 (예를 들어, 2 차 방출을 통해 생성된) 전자들 및/또는 음이온들은 피처 내에 축적된 모든 전하 (예를 들어, 양전하) 를 중성화할 수도 있다. 예를 들어, 피처 (1110) 의 에칭 동안 피처의 상부 부분 (1126) 근방에 축적될 수도 있는 전하, 및/또는 피처 내에 축적된 임의의 다른 전하는 중성화되고, 이에 따라 피처 내 결함들 (예를 들어, 마우스 바이트들, 라인 구불거림, 등) 을 감소시킨다. 종래의 프로세스들 동안 일반적으로 발생하는 바와 같이, RF 전력 상태 S0 동안 패시베이션이 거의 발생하지 않거나 전혀 발생하지 않고, 그리고 이전에 기술된 바와 같이 패시베이션은 RF 전력 상태 S2 동안 발생한다.
도 11b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 11a의 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램 (1150) 이다. 전력 레벨 다이어그램 (1150) 은 도 11a의 에칭 사이클을 수행할 때 인가된 RF 전력 레벨들의 일 예시일 수도 있지만, 또한 에칭을 위해 사용된 하나 이상의 플라즈마 오프 상태들을 갖는 임의의 다수의 멀티-상태 푸시 기법들을 수행할 때 인가된 RF 전력 레벨들을 예시한다. 더 구체적으로, RF 전력 레벨들은 일반적으로 플라즈마를 제어 및/또는 생성하기 위해 사용되고, 특정한 경우에서 60 ㎒로 생성되는, 고 주파수 RF 신호 (645) (실선으로 도시됨) 를 포함한다. 또한, RF 전력 레벨들은 일반적으로 피처의 이온 충돌을 제어하기 위해 사용되고, 특정한 경우에서 400 ㎑로 생성되는, 저 주파수 RF 바이어스 신호 (640) (점선으로 도시됨) 를 포함한다.
다양한 구현 예들에서, 플라즈마를 생성하기 위해 다음의 조건들이 적용될 수도 있다. 플라즈마는 약 0 W 내지 15 ㎾, 또는 약 0 W 내지 10 ㎾, 또는 약 250 W 내지 10 ㎾, 또는 약 500 W 내지 10 ㎾의 전력 레벨의, 그리고 약 13 내지 169 ㎒, 예를 들어 약 20 내지 100 ㎒ (예를 들어, 특정한 경우에서 60 ㎒) 의 주파수의 RF 전력 소스를 사용하여 생성되는 고 주파수 RF 신호 (645) 를 사용하여 생성될 수도 있다. 일 실시 예에서, 플라즈마는 약 500 W 내지 10 ㎾의 전력 레벨의, 60 ㎒의 주파수의 고 주파수 RF 신호 (645) 를 사용하여 생성된다.
이에 더하여, 바이어스 (예를 들어, RF 바이어스 전력) 는 예를 들어 고 수직 에칭 레이트를 촉진하기 위해 저 주파수 RF 바이어스 신호 (640) 를 사용하여 기판에 인가될 수도 있다. RF 바이어스 전력은 약 0 W 내지 50 ㎾, 약 250 W 내지 45 ㎾, 약 500 W 내지 35 ㎾의 전력 레벨로, 그리고 약 20 ㎑ 내지 1.5 ㎒, 또는 약 200 ㎑ 내지 1.5 ㎒, 또는 약 300 ㎑ 내지 600 ㎑ (예를 들어, 특정한 경우에서 약 400 ㎑) 의 주파수로 기판에 인가될 수도 있다. 다양한 경우들에서, 플라즈마를 생성하도록 사용된 RF 바이어스 전력의 전력 레벨은 예를 들어 약 5 ㎾ 이상, 또는 6 ㎾ 이상, 또는 10 ㎾ 이상, 또는 20 ㎾ 이상, 또는 30 ㎾ 이상으로 특히 높을 수도 있다. 일 실시 예에서, 기판은 약 500 W 내지 40 ㎾의 전력 레벨로 400 ㎑로 바이어스된다.
전력 다이어그램 (1150) 은 대응하는 에칭 사이클에 대한 RF 전력 레벨들을 예시하고, 여기서 x-축은 시간이고, y-축은 전력 레벨 (예를 들어, 와트로 표현됨) 이다. 이전에 기술된 바와 같이, 에칭 사이클의 RF 전력 상태들 (예를 들어, S0, S1, 및 S2) 은 시간 기간 동안 계속해서 로테이팅된다. RF 전력 상태 각각은 수십 내지 수백 밀리 초 지속되거나 펄싱될 수도 있다. 예를 들어, RF 전력 상태 S1에 대한 펄싱 기간은 0 내지 300 ㎲일 수도 있고, RF 전력 상태 S0에 대한 펄싱 기간은 0 내지 400 ㎲일 수도 있고, 그리고 RF 전력 상태 S2에 대한 기간은 0 내지 300 ㎲일 수도 있다. 에칭을 위한 시간 기간은 400 내지 800 ㎲ 지속될 수도 있다. 일 실시 예에서, RF 전력 상태 S0, RF 전력 상태 S1, 및 RF 전력 상태 S2 사이에서의 연속적인 사이클링 및/또는 로테이팅과 연관된 듀티 사이클은 5 내지 80의 범위이다.
도 12a는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 2 개의 플라즈마 OFF 상태들을 포함하는 4 개의 펄싱된 RF 전력 상태들을 갖는 멀티-상태 펄싱 프로세스에서 사이클링 레시피를 예시하는 다이어그램이다. 이전에 기술된 바와 같이, 흐름도 (1000) 의 동작들은 (예를 들어, 도 11a의 제 1 상태 [S0] 동안 생성된 플라즈마 오프 상태에 더하여) 부가적인 플라즈마 OFF 상태를 제공하도록 수정될 수도 있다. 도 12a에 도시된 동작들은 본 개시의 일 실시 예에 따른, 마스킹된 기판 위에 형성된 유전체 재료의 스택 내에 피처의 에칭을 수행할 때 2 개의 플라즈마 오프 상태들을 갖는 혼합-모드, 멀티-상태 RF 펄싱의 일 구현 예를 형성한다. 예를 들어, 도 12a에 도시된 동작들은 흐름도 (1000) 의 방법의 일 구현 예일 수도 있다.
도시된 바와 같이, 다이어그램 (1200A) 은 피처 (1210) 의 에칭을 수행하기 위해 에칭 화학 물질을 사용하여 인가되는 복수의 RF 전력 상태들 S1 (피크 전력 상태), S0-A 및 S0-B (플라즈마 오프 상태들), 및 S2 (패시베이션 상태) 를 포함한다. 일반적으로, 에칭 프로세스는 기판 (미도시) 위에 형성된 유전체 재료의 스택 (1220) (예를 들어, 옥사이드-나이트라이드-옥사이드 층들, 옥사이드 층들, 등), 및 스택 (1220) 을 오버레이하는 마스크 층 (1205) 상에서 수행된다. 에칭을 수행하기 위한 2 개의 플라즈마 오프 상태들을 포함하는 3 개의 펄싱된 RF 전력 상태들을 갖는 멀티-상태 펄싱 프로세스에서 수행된 RF 전력 상태들에 대해 사용된 RF 전력 상태들 각각 동안 고 주파수 (예를 들어, 특정한 경우에서 60 ㎒) RF 신호 및 저 주파수 (예를 들어, 특정한 경우에서 400 ㎑) RF 바이어스 신호 각각에 대한 전력 레벨들을 도시하는 전력 다이어그램 (1250) 이 도시되고, 그리고 도 12b에 더 상세히 도시된다.
RF 전력 상태 S0-A, RF 전력 상태 S0-B, RF 전력 상태 S1, 및 RF 전력 상태 S2는 시간 기간 동안 계속해서 로테이팅된다. RF 전력 상태들의 순서는 선택 가능하고, 일 특정한 경우에, RF 전력 상태들은 RF 전력 상태 S1에 이어서 RF 전력 상태 S0-A, 그리고 RF 전력 상태 S0-A에 이어서 RF 전력 상태 S2, 그리고 RF 전력 상태 S2에 이어서 RF 전력 상태 S0-B를 갖는 순서로 펄싱된다.
이전에 기술된 바와 같이, 피크 전력 RF 전력 상태 S1에 대한 제어 파라미터들은 피처 (1110) 의 이온 충돌을 제공하도록 튜닝된다. 일반적으로, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 에칭 화학 물질을 사용하여 에칭을 수행하도록 상대적으로 (즉, 다른 RF 전력 상태들에 인가된 전력 레벨들과 비교할 때) 높다. 즉, 고 주파수 RF 신호에 대한 전력 레벨은 피크 플라즈마 밀도를 제공하기 위해 상대적으로 높고, 그리고 저 주파수 RF 바이어스 신호에 대한 전력 레벨은 또한 피크 이온 충돌을 제공하기 위해 상대적으로 높다. 예를 들어, 이온들 (1215) 은 측벽들 (1221) 을 아래로 스윕핑하고 피처 (1210) 의 하부 부분 (1225) 에 충돌하는 것으로 도시된다.
RF 전력 상태 S2에 대한 제어 파라미터들은 피처 (1210) 의 패시베이션을 제공하도록 튜닝된다. 즉, 고 주파수 RF 신호 및 저 주파수 RF 바이어스 신호 각각에 대한 전력 레벨들은 더 높은 이온 플럭스 (즉, 더 높은 플라즈마 밀도를 제공하기 위한 고 주파수 RF 신호에 대해 더 높은 RF 전력 및 저 주파수 RF 신호에 대해 더 낮은 RF 바이어스 전력) 를 제공하도록 튜닝되고, 이는 피처 (1210) 의 측벽들 (1221) 의 패시베이션을 발생시킨다. 이에 더하여, 제 2 이온 생성은 RF 전력 상태 S2의 동작 동안 피처 (1210) 내에서 발생할 수도 있다. 구체적으로, 측벽들 (1221) 에 스트라이킹하는 이온들 및/또는 전자들은 2 차 방출을 통해 부가적인 전자들 및/또는 2 차 전자들 (1230) 을 생성할 수도 있다. 이들 2 차 전자들은 피처 (1210) 내에 남아 있을 수도 있거나 플라즈마를 향해 다시 가속될 수도 있다.
RF 전력 상태 S0-A 및 RF 전력 상태 S0-B 각각에 대한 제어 파라미터들은 플라즈마로부터 피처 내로, 예컨대 피처 (1210) 의 하부 부분 (1225) 을 향해 전자 이송 궤적 및/또는 음이온 이송을 제공하도록 유사하게 튜닝된다. 특히, 전자 이송 궤적 및/또는 음이온 이송은 이전에 기술된 바와 같이 플라즈마의 붕괴로 인해 발생한다. 전자 이송 궤적 및/또는 음이온 이송의 존재는 RF 전력 상태 S0-A 및 RF 전력 상태 S0-B 내에 점선 (1235) 에 의해 도시되고 그리고 플라즈마 및/또는 2 차 전자들로부터 (즉, 2 차 방출을 통해 생성된) 전자들 및/또는 음이온들이 어떻게 피처 (1210) 를 통해 상부 부분 (1226) 으로부터 하부 부분 (1225) 으로 아래로 흐르는지 예시한다. 이에 더하여, 피처 내에 존재할 수도 있는 RF 전력 상태 S2 동안 생성된 2 차 전자들은 또한 피처 (1210) 의 하부 부분 (1225) 을 향해 이송된다.
특히, 제 4 상태 (S0-B) 또는 제 2 오프 상태는 부가적인 음이온 이송을 위해 구성된다. 일 실시 예에서, 도 11a의 RF 오프 상태 S0는 RF 전력 오프 상태 S0-A 및 RF 전력 오프 상태 S0-B를 생성하도록 반으로 분할될 수도 있다. 더 구체적으로, 전자 이송 궤적 및/또는 음이온 이송은 피처의 부가적인 전하 중성화를 위해 활용된다. 이러한 방식으로, 에칭 프로세스 동안 (즉, 도 11a에 도시된 바와 같이) 피처를 1 회 방전하는 대신, RF 전력 오프 상태 S0-A 및 RF 전력 오프 상태 S0-B를 수행할 때 피처가 2 회 방전된다. 하나 이상의 부가적인 오프 상태들을 부가하는 것은 에칭 사이클 동안 피처의 더 많은 방전을 제공한다. 이는 RF 전력 오프 상태 S0 서브-기간이 상대적으로 길 수도 있더라도, 방전이 서브-기간의 작은 부분 내에서 (예를 들어, 방전은 서브-기간의 처음 수십 밀리 초에 발생함) 발생하기 때문이다. 또 다른 RF 전력 오프 상태 S0-B를 부가함으로써, 이는 에칭 사이클 (S0-A, S0-B, S1, 및 S2) 동안 피처의 더 많은 방전을 허용한다.
이전에 기술된 바와 같이, 플라즈마 오프 상태 S0-A 및 플라즈마 오프 상태 S0-B에서, 전자들이 피처 (1210) 를 통해 이송될 때, 플라즈마 및/또는 2 차 전자들로부터 (예를 들어, 2 차 방출을 통해 생성된) 전자들 및/또는 음이온들은 피처 내에 축적된 모든 전하 (예를 들어, 양전하) 를 중성화할 수도 있다. 종래의 프로세스들 동안 일반적으로 발생하는 바와 같이, RF 전력 상태 S0-A 및/또는 RF 전력 상태 S0-B 동안 패시베이션이 거의 발생하지 않거나 전혀 발생하지 않고, 그리고 이전에 기술된 바와 같이 패시베이션은 RF 전력 상태 S2 동안 발생한다.
도 12b는 본 개시의 일 실시 예에 따른, 예컨대 기판 상에 3D NAND 구조체를 제조할 때 유전체 재료를 포함하는 스택 내에 피처를 에칭하기 위한 도 12a의 멀티-상태 펄싱 프로세스 동안 인가된 전력 레벨들을 예시하는 다이어그램 (1250) 이다. 전력 레벨 다이어그램 (1250) 은 에칭을 위해 사용된 하나 이상의 플라즈마 오프 상태들을 갖는 임의의 다수의 멀티-상태 푸시 기법들을 수행할 때 인가된 RF 전력 레벨들을 예시할 수도 있다. 더 구체적으로, RF 전력 레벨들은 일반적으로 플라즈마를 제어 및/또는 생성하기 위해 사용되고, 특정한 경우에서 60 ㎒로 생성되는, 고 주파수 RF 신호 (645) (실선으로 도시됨) 를 포함한다. 또한, RF 전력 레벨들은 일반적으로 피처의 이온 충돌을 제어하기 위해 사용되고, 특정한 경우에서 400 ㎑로 생성되는, 저 주파수 RF 바이어스 신호 (640) (점선으로 도시됨) 를 포함한다.
다양한 구현 예들에서, 플라즈마를 생성하기 위해 다음의 조건들이 적용될 수도 있다. 플라즈마는 약 0 W 내지 15 ㎾, 또는 약 0 W 내지 10 ㎾, 또는 약 250 W 내지 10 ㎾, 또는 약 500 W 내지 10 ㎾의 전력 레벨의, 그리고 약 13 내지 169 ㎒, 예를 들어 약 20 내지 100 ㎒ (예를 들어, 특정한 경우에서 60 ㎒) 의 주파수의 RF 전력 소스를 사용하여 생성되는 고 주파수 RF 신호 (645) 를 사용하여 생성될 수도 있다. 일 실시 예에서, 플라즈마는 약 500 W 내지 10 ㎾의 전력 레벨의, 60 ㎒의 주파수의 고 주파수 RF 신호 (645) 를 사용하여 생성된다.
이에 더하여, 바이어스 (예를 들어, RF 바이어스 전력) 는 예를 들어 고 수직 에칭 레이트를 촉진하기 위해 저 주파수 RF 바이어스 신호 (640) 를 사용하여 기판에 인가될 수도 있다. RF 바이어스 전력은 약 0 W 내지 50 ㎾, 약 250 W 내지 45 ㎾, 약 500 W 내지 35 ㎾의 전력 레벨로, 그리고 약 20 ㎑ 내지 1.5 ㎒, 또는 약 200 ㎑ 내지 1.5 ㎒, 또는 약 300 ㎑ 내지 600 ㎑ (예를 들어, 특정한 경우에서 약 400 ㎑) 의 주파수로 기판에 인가될 수도 있다. 다양한 경우들에서, 플라즈마를 생성하도록 사용된 RF 바이어스 전력의 전력 레벨은 예를 들어 약 5 ㎾ 이상, 또는 6 ㎾ 이상, 또는 10 ㎾ 이상, 또는 20 ㎾ 이상, 또는 30 ㎾ 이상으로 특히 높을 수도 있다. 일 실시 예에서, 기판은 약 500 W 내지 40 ㎾의 전력 레벨로 400 ㎑로 바이어스된다.
전력 다이어그램 (1250) 은 대응하는 에칭 사이클에 대한 RF 전력 레벨들을 예시하고, 여기서 x-축은 시간이고, y-축은 전력 레벨 (예를 들어, 와트로 표현됨) 이다. 이전에 기술된 바와 같이, 에칭 사이클의 RF 전력 상태들 (예를 들어, S0, S1, 및 S2) 은 시간 기간 동안 계속해서 로테이팅된다. RF 전력 상태 각각은 수십 내지 수백 밀리 초 지속되거나 펄싱될 수도 있다. 예를 들어, RF 전력 상태 S1에 대한 펄싱 기간은 0 내지 300 ㎲일 수도 있고, RF 전력 상태 S0-A 및 RF 전력 상태 S0-B 각각에 대한 펄싱 기간은 0 내지 200 ㎲일 수도 있고, 여기서 RF 전력 상태 S0-A 및 RF 전력 상태 S0-B에 대한 결합된 펄싱 기간은 0 내지 400 ㎲일 수도 있고, 그리고 RF 전력 상태 S2에 대한 기간은 0 내지 300 ㎲일 수도 있다. 에칭을 위한 시간 기간은 400 내지 800 ㎲ 지속될 수도 있다. 일 실시 예에서, RF 전력 상태 S0-A, RF 전력 상태 S0-B, RF 전력 상태 S1, 및 RF 전력 상태 S2 사이에서의 연속적인 사이클링 및/또는 로테이팅과 연관된 듀티 사이클은 5 내지 80의 범위이다.
도 13은 상기 기술된 시스템들을 제어하기 위한 제어 모듈 (1300) 을 도시한다. 예를 들어, 제어 모듈 (1300) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (1300) 은 부분적으로 센싱된 값들에 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (1300) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (1302), 필터 히터들 (1304), 펌프들 (1306), 및 다른 디바이스들 (1308) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (1300) 은 단지 예를 들면, 압력 마노미터들 (1310), 플로우 미터들 (1312), 온도 센서들 (1314), 및/또는 다른 센서들 (1316) 로부터 센싱된 값들을 수신한다. 제어 모듈 (1300) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (1300) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 일 구현 예에서, 제어 모듈 (1300) 은 도 1의 제어 모듈 (110) 을 포함할 수도 있다.
제어 모듈 (1300) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (1300) 은 프로세스 타이밍, 전달 시스템 온도, 및 필터들에 걸친 압력 차들, 밸브 포지션들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 기판 척 또는 페데스탈 포지션, 퍼지 가스들의 전달, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (1300) 은 또한 압력 차를 모니터링할 수도 있고 그리고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 증기 전구체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈 (1300) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시 예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (1300) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (1318) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (1320) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어, 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 퍼지 가스 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은, 퍼지 가스의 전달을 포함하여, 본 발명의 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 퍼지 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램이 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 (optionally) 챔버 내 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 퍼지 가스 제어 프로그램은 퍼지 가스의 전달을 제어하기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차(들)를 미리 결정된 값(들)과 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 (throttle) 밸브를 조절함으로써 챔버 내 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템, 기판 및/또는 시스템의 다른 부분들 내의 컴포넌트들을 가열하기 위해 가열 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들 (1310) 과 같은 압력 센서들, 및 전달 시스템 내에 포지션된 열전대들 (thermocouple), 페데스탈 또는 척, 및 상태 센서들 (1320) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 본 개시의 실시 예들의 구현 예를 기술한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 전자 장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 퍼지 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), 주문형 반도체들 (application specific integrated circuits; ASICs) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 컴퓨터의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스 시작하도록 시스템에 대한 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 시스템에 프로세스 레시피들을 제공할 수 있다.
원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 이산 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
실시 예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공되었다. 이는 본 개시를 총망라하거나 제한하도록 의도되지 않는다. 특정한 실시 예의 개별적인 엘리먼트들 또는 피처들은 일반적으로 특정한 실시 예로 제한되지 않고, 구체적으로 도시되거나 기술되지 않더라도, 적용 가능한 경우, 상호 교환 가능하고, 선택된 실시 예에서 사용될 수 있다. 동일하게 또한 많은 방식들로 가변될 수도 있다. 이러한 변형들은 본 개시로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들은 본 개시의 범위 내에 포함되도록 의도된다.
전술한 실시 예들이 이해의 명확성의 목적을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 따라서, 본 실시 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 실시 예들은 본 명세서에 제공된 상세들로 제한되지 않고, 이들의 범위 및 청구항들의 등가물 내에서 수정될 수도 있다.

Claims (21)

  1. 플라즈마 챔버 내 플라즈마 프로세싱에서 에칭하기 위한 방법에 있어서,
    마스킹된 기판의 피처를 에칭하기 위한 시간 기간 동안 제 1 에칭 사이클과 제 2 에칭 사이클 사이에서 계속해서 로테이팅하는 (rotate) 단계;
    제 1 서브-기간 동안 제 1 에칭 화학 물질을 사용하여 상기 마스킹된 기판 상에서 상기 제 1 에칭 사이클을 수행하는 단계로서; 상기 제 1 에칭 사이클은 패시베이션을 위해 구성된 제 1 상태, 제 2 상태, 및 상기 마스킹된 기판을 에칭하도록 구성된 제 3 상태 사이에서 계속해서 로테이팅되고; 상기 제 1 에칭 사이클의 상기 제 2 상태 동안, 제 1 튜닝 단계가 상기 마스킹된 기판의 상기 피처에 확장된 패시베이션을 제공하도록 상기 제 1 에칭 화학 물질, 고 주파수 무선 주파수 (radio frequency; RF) 전력 및 저 주파수 RF 전력을 튜닝함으로써 수행되는, 상기 제 1 에칭 사이클을 수행하는 단계;
    제 2 서브-기간 동안 제 2 에칭 화학 물질을 사용하여 상기 마스킹된 기판 상에서 상기 제 2 에칭 사이클을 수행하는 단계로서; 상기 제 2 에칭 사이클은 패시베이션을 위해 구성된 상기 제 1 상태, 제 4 상태, 및 상기 마스킹된 기판의 상기 피처를 에칭하도록 구성된 상기 제 3 상태 사이에서 계속해서 로테이팅되는, 상기 제 2 에칭 사이클을 수행하는 단계를 포함하고;
    상기 제 2 에칭 사이클의 상기 제 4 상태 동안, 제 2 튜닝 단계가 상기 마스킹된 기판의 상기 피처에 펀치-쓰루 (punch-through) 에칭을 제공하도록 상기 제 2 에칭 화학 물질, 상기 고 주파수 RF 전력, 및 상기 저 주파수 RF 전력을 튜닝함으로써 수행되는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 제 1 에칭 사이클의 상기 제 2 상태의 상기 부가적인 패시베이션은 에칭될 피처의 상부 부분 상에서 수행되는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 제 1 에칭 사이클의 상기 제 2 상태와 상기 제 2 에칭 사이클의 상기 제 4 상태 사이의 상기 저 주파수 RF 전력 및 상기 고 주파수 RF 전력의 전력 비를 상승시키는 단계를 더 포함하는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 제 1 에칭 사이클의 상기 제 2 상태에서 인가된 상기 저 주파수 RF 전력 및 상기 고 주파수 RF 전력의 제 1 총 전력은 상기 제 2 에칭 사이클의 상기 제 4 상태에서 인가된 상기 저 주파수 RF 전력 및 상기 고 주파수 RF 전력의 제 2 총 전력과 거의 동일한, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 제 1 화학 물질은 상기 제 2 화학 물질에서보다 더 높은 농도의 플루오로카본 가스를 갖고,
    상기 제 1 화학 물질은 상기 제 2 화학 물질에서보다 더 낮은 농도의 하이드로카본 불소 가스를 갖는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 제 1 에칭 사이클 및 상기 제 2 에칭 사이클의 상기 제 1 상태에서, 상기 마스킹된 기판으로의 상기 저 주파수 RF 전력의 전달을 방지하도록 저 주파수 RF 전력 생성기를 제 1 오프-상태 (off-state) 로 설정하는 단계;
    상기 제 1 에칭 사이클 및 상기 제 2 에칭 사이클의 상기 제 1 상태에서, 상기 마스킹된 기판으로의 상기 고 주파수 RF 전력의 전달을 방지하도록 고 주파수 RF 전력 생성기를 제 2 오프-상태로 설정하는 단계를 더 포함하는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 제 1 에칭 사이클의 상기 제 2 상태에서, 상기 제 1 튜닝 단계를 보완하도록 제 3 튜닝 단계를 부가하는 단계로서, 상기 제 3 튜닝 단계는 상기 마스킹된 기판의 상기 피처에 펀치-쓰루 에칭을 제공하도록 상기 제 2 에칭 화학 물질, 상기 고 주파수 RF 전력, 및 상기 저 주파수 RF 전력을 튜닝하는 것을 포함하는, 상기 제 3 튜닝 단계를 부가하는 단계; 및
    상기 제 2 에칭 사이클의 상기 제 4 상태에서, 상기 제 2 튜닝 단계를 보완하도록 제 4 튜닝 단계를 부가하는 단계로서, 상기 제 4 튜닝 단계는 상기 마스킹된 기판의 상기 피처에 부가적인 패시베이션을 제공하도록 상기 제 2 에칭 화학 물질, 상기 고 주파수 RF 전력, 및 상기 저 주파수 RF 전력을 튜닝하는 것을 포함하는, 상기 제 4 튜닝 단계를 부가하는 단계를 더 포함하는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 제 3 튜닝 단계는 상기 제 1 튜닝 단계 후에 수행되고, 그리고
    상기 제 4 튜닝 단계는 상기 제 2 튜닝 단계 후에 수행되는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  9. 제 7 항에 있어서,
    상기 제 3 튜닝 단계는 상기 제 1 튜닝 단계 전에 수행되고, 그리고
    상기 제 4 튜닝 단계는 상기 제 2 튜닝 단계 전에 수행되는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 제 1 에칭 사이클에서, 상기 제 3 상태 전에 제 3 튜닝 단계를 부가하는 단계로서, 상기 제 3 튜닝 단계는 상기 마스킹된 기판의 상기 피처에 펀치-쓰루 에칭을 제공하도록 상기 고 주파수 RF 전력 및 상기 저 주파수 RF 전력을 튜닝하는 것을 포함하는, 상기 제 3 상태 전 제 3 튜닝 단계를 부가하는 단계; 및
    상기 제 2 에칭 사이클에서, 상기 제 3 상태 전에 제 4 튜닝 단계를 부가하는 단계로서, 상기 제 4 튜닝 단계는 상기 마스킹된 기판의 상기 피처에 패시베이션을 제공하도록 상기 고 주파수 RF 전력 및 상기 저 주파수 RF 전력을 튜닝하는 것을 포함하는, 상기 제 3 상태 전 제 4 튜닝 단계를 부가하는 단계를 더 포함하는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 제 1 에칭 사이클에서, 상기 제 3 상태 후에 제 3 튜닝 단계를 부가하는 단계로서, 상기 제 3 튜닝 단계는 상기 마스킹된 기판의 상기 피처에 펀치-쓰루 에칭을 제공하도록 상기 고 주파수 RF 전력 및 상기 저 주파수 RF 전력을 튜닝하는 것을 포함하는, 상기 제 3 상태 후 제 3 튜닝 단계를 부가하는 단계; 및
    상기 제 2 에칭 사이클에서, 상기 제 3 상태 후에 제 4 튜닝 단계를 부가하는 단계로서, 상기 제 4 튜닝 단계는 상기 마스킹된 기판의 상기 피처에 패시베이션을 제공하도록 상기 고 주파수 RF 전력 및 상기 저 주파수 RF 전력을 튜닝하는 것을 포함하는, 상기 제 3 상태 후 제 4 튜닝 단계를 부가하는 단계를 더 포함하는, 플라즈마 프로세싱에서 에칭하기 위한 방법.
  12. 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법에 있어서,
    플라즈마 챔버에 에칭 화학 물질을 제공하는 단계; 및
    피처의 전하 중성화를 위한 음이온 이송을 위해 구성된 제 1 상태, 제 2 상태, 및 복수의 층들의 스택 및 상기 스택 상단에 패터닝된 마스크 층을 포함하는 마스킹된 기판의 상기 피처를 에칭하도록 구성된 제 3 상태 사이에서 계속해서 로테이팅하는 단계를 포함하고,
    상기 제 2 상태 동안, 상기 에칭 화학 물질, 고 주파수 RF (radio frequency) 전력, 및 저 주파수 RF 바이어스 전력은 상기 마스킹된 기판의 상기 피처에 패시베이션을 제공하도록 튜닝되고,
    상기 제 3 상태 동안, 상기 에칭 화학 물질, 상기 고 주파수 RF 전력, 및 상기 저 주파수 RF 바이어스 전력은 상기 마스킹된 기판의 상기 피처를 에칭하도록 튜닝되고,
    상기 제 1 상태 동안, 저 주파수 RF 전력 생성기는 상기 마스킹된 기판으로의 상기 저 주파수 RF 전력의 전달을 방지하도록 제 1 오프-상태로 설정되고,
    상기 제 1 상태 동안, 고 주파수 RF 전력 생성기는 상기 마스킹된 기판으로의 상기 고 주파수 RF 전력의 전달을 방지하도록 제 2 오프-상태로 설정되는, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 패시베이션은 상기 제 2 상태 동안에만 수행되는, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 에칭 화학 물질, 상기 고 주파수 RF 전력, 및 상기 저 주파수 RF 바이어스 전력은 상기 제 2 상태의 상기 피처에 약간의 (slight) 에칭을 제공하도록 튜닝되는, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  15. 제 12 항에 있어서,
    상기 계속해서 로테이팅하는 단계는,
    피처의 전하 중성화를 위해 부가적인 음이온 이송을 위해 구성된 제 4 상태를 부가하는 단계; 및
    제 1 서브-시간 기간 동안 상기 제 1 상태, 상기 제 2 상태, 상기 제 3 상태, 및 상기 제 4 상태 사이에서 계속해서 로테이팅하는 단계를 포함하는, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 제 1 상태, 상기 제 2 상태, 상기 제 3 상태, 및 상기 제 4 상태 사이에서 상기 계속해서 로테이팅하는 단계와 연관된 듀티 사이클은 5 내지 80의 범위인, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  17. 제 12 항에 있어서,
    상기 제 1 상태, 상기 제 2 상태, 및 상기 제 3 상태 사이에서 계속해서 로테이팅하는 단계와 연관된 듀티 사이클은 5 내지 80의 범위인, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  18. 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법에 있어서,
    플라즈마 챔버에 에칭 화학 물질을 제공하는 단계; 및
    피처의 전하 중성화를 위한 음이온 이송을 위해 구성된 제 1 상태, 제 2 상태, 마스킹된 기판의 상기 피처를 에칭하도록 구성된 제 3 상태, 및 상기 피처의 전하 중성화를 위한 부가적인 음이온 이송을 위해 구성된 제 4 상태 사이에서 계속해서 로테이팅하는 단계를 포함하고,
    상기 제 2 상태 동안, 상기 에칭 화학 물질, 고 주파수 RF (radio frequency) 전력, 및 저 주파수 RF 바이어스 전력은 복수의 층들의 스택 및 상기 스택 상단에 패터닝된 마스크 층을 포함하는 상기 마스킹된 기판의 상기 피처에 패시베이션을 제공하도록 튜닝되고,
    상기 제 3 상태 동안, 상기 에칭 화학 물질, 상기 고 주파수 RF 전력, 및 상기 저 주파수 RF 바이어스 전력은 상기 마스킹된 기판의 상기 피처를 에칭하도록 튜닝되고,
    상기 제 1 상태 (S0) 동안, 저 주파수 RF 전력 생성기는 상기 마스킹된 기판으로의 상기 저 주파수 RF 전력의 전달을 방지하도록 제 1 오프-상태로 설정되고,
    상기 제 1 상태 (S0) 또는 상기 제 4 상태 동안, 고 주파수 RF 전력 생성기는 상기 마스킹된 기판으로의 상기 고 주파수 RF 전력의 전달을 방지하도록 제 2 오프-상태로 설정되는, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  19. 제 18 항에 있어서,
    상기 패시베이션은 상기 제 2 상태 동안에만 수행되는, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  20. 제 19 항에 있어서,
    상기 에칭 화학 물질, 상기 고 주파수 RF 전력, 및 상기 저 주파수 RF 바이어스 전력은 상기 제 2 상태의 상기 피처에 약간의 에칭을 제공하도록 튜닝되는, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
  21. 제 18 항에 있어서,
    상기 제 1 상태, 상기 제 2 상태, 상기 제 3 상태, 및 상기 제 4 상태 사이에서 상기 계속해서 로테이팅하는 단계와 연관된 듀티 사이클은 5 내지 80의 범위인, 플라즈마 프로세싱에서 멀티-상태 RF 펄싱하기 위한 방법.
KR1020247011742A 2021-09-09 2022-08-12 대전 유도된 결함들을 감소시키기 위한 사이클링 레시피들에서 멀티-상태 rf 펄싱 KR20240052992A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163242454P 2021-09-09 2021-09-09
US63/242,454 2021-09-09
PCT/US2022/040266 WO2023038763A1 (en) 2021-09-09 2022-08-12 Multi-state rf pulsing in cycling recipes to reduce charging induced defects

Publications (1)

Publication Number Publication Date
KR20240052992A true KR20240052992A (ko) 2024-04-23

Family

ID=85506751

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247011742A KR20240052992A (ko) 2021-09-09 2022-08-12 대전 유도된 결함들을 감소시키기 위한 사이클링 레시피들에서 멀티-상태 rf 펄싱

Country Status (2)

Country Link
KR (1) KR20240052992A (ko)
WO (1) WO2023038763A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8765574B2 (en) * 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10622217B2 (en) * 2016-02-04 2020-04-14 Samsung Electronics Co., Ltd. Method of plasma etching and method of fabricating semiconductor device using the same
US9872373B1 (en) * 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10529578B2 (en) * 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure

Also Published As

Publication number Publication date
WO2023038763A1 (en) 2023-03-16

Similar Documents

Publication Publication Date Title
US9991128B2 (en) Atomic layer etching in continuous plasma
US10755895B2 (en) Ion energy control by RF pulse shape
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
US10714354B2 (en) Self limiting lateral atomic layer etch
JP5839689B2 (ja) プラズマエッチング方法及び半導体装置の製造方法並びにコンピュータ記憶媒体
KR20210042939A (ko) 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US20220319856A1 (en) Etching isolation features and dense features within a substrate
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
WO2019217185A1 (en) Edge ring focused deposition during a cleaning process of a processing chamber
US10727089B2 (en) Systems and methods for selectively etching film
WO2023080969A1 (en) Plasma chamber with multiphase rotating independent gas cross-flow with reduced volume and dual vhf
US7578945B2 (en) Method and apparatus for tuning a set of plasma processing steps
KR102264005B1 (ko) 플라즈마 처리 장치
US20230127597A1 (en) High aspect ratio dielectric etch with chlorine
KR20240052992A (ko) 대전 유도된 결함들을 감소시키기 위한 사이클링 레시피들에서 멀티-상태 rf 펄싱
KR20160003624A (ko) 에칭 방법
US20220375746A1 (en) Semiconductor substrate bevel cleaning
US20240120205A1 (en) Multiple State Pulsing for High Aspect Ratio Etch
WO2021252839A1 (en) Pulsing remote plasma for ion damage reduction and etch uniformity improvement
JP2023048519A (ja) プラズマ処理方法及びプラズマ処理装置