KR20240014523A - 금속 실리사이드들을 준비하기 위한 방법 - Google Patents

금속 실리사이드들을 준비하기 위한 방법 Download PDF

Info

Publication number
KR20240014523A
KR20240014523A KR1020237045253A KR20237045253A KR20240014523A KR 20240014523 A KR20240014523 A KR 20240014523A KR 1020237045253 A KR1020237045253 A KR 1020237045253A KR 20237045253 A KR20237045253 A KR 20237045253A KR 20240014523 A KR20240014523 A KR 20240014523A
Authority
KR
South Korea
Prior art keywords
substrate
methods
during
forming metal
minutes
Prior art date
Application number
KR1020237045253A
Other languages
English (en)
Inventor
톰 호 윙 위
노부유키 사사키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240014523A publication Critical patent/KR20240014523A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로 기판의 실리콘 표면 상에 금속 실리사이드들을 형성하거나 아니면 생성하기 위한 방법들에 관한 것이다. 예시적인 금속 실리사이드들은 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 몰리브덴 실리사이드, 또는 이들의 합금들이거나 이를 포함할 수 있다. 하나 이상의 실시예들에서, 금속 실리사이드를 형성하는 방법이 제공되며, 세정 프로세스 동안 기판의 실리콘 표면을 드러내기 위해, 기판으로부터 자연 산화물을 제거하는 단계, 증착 프로세스 동안 실리콘 표면 상에 금속성 층을 증착하는 단계, 및 금속성 층 및 실리콘 표면으로부터 기판 상에 금속 실리사이드 층을 생성하기 위해, 실리사이드화 프로세스 동안 수소 가스를 함유하는 프로세스 구역 내에 포함된 기판을 가열하는 단계를 포함한다.

Description

금속 실리사이드들을 준비하기 위한 방법
[0001] 본 개시내용의 실시예들은 일반적으로 마이크로일렉트로닉 제작(microelectronic fabrication)에 관한 것이며, 더 구체적으로는 기판 상에 금속 실리사이드들을 형성하기 위한 방법들에 관한 것이다.
[0002] 티타늄 실리사이드 또는 니켈 실리사이드와 같은 금속 실리사이드 층들 또는 막들은 현재 다양한 전자 디바이스들에서 사용되고 있다. 예컨대, nMOS 및 pMOS 내 RC(resistor-capacitor) 감소를 위해 S/D(source/drain) 접촉 영역들에서 금속 실리사이드 층들 또는 막들이 사용된다. 높은 온도들(예컨대, 700℃ 초과)에 노출될 때 금속 실리사이드 막 상에 또는 막에 응집(agglomeration)이 발생할 수 있다. 폴리실리콘 입자들은 입자 경계들 사이에서 구형화되는 경향이 있고 금속 실리사이드 막에 대한 막 불연속성 및 더 큰 전기 저항을 야기하는 응집이 발생한다.
[0003] 따라서, 전통적인 금속 실리사이드들에 비해 감소된 전기 저항을 갖는 금속 실리사이드들을 준비하는 개선된 방법이 필요하다.
[0004] 본 개시내용의 실시예들은 일반적으로 기판의 실리콘 표면 상에 금속 실리사이드들을 형성하거나 아니면 생성하기 위한 방법들에 관한 것이다. 예시적인 금속 실리사이드들은 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 몰리브덴 실리사이드, 또는 이들의 합금들이거나 이를 포함할 수 있다. 하나 이상의 실시예들에서, 금속 실리사이드를 형성하는 방법이 제공되며, 이 방법은 세정 프로세스 동안 기판의 실리콘 표면을 드러내기 위해, 기판으로부터 자연 산화물을 제거하는 단계, 증착 프로세스 동안 실리콘 표면 상에 금속성 층을 증착하는 단계, 및 금속성 층 및 실리콘 표면으로부터 기판 상에 금속 실리사이드 층을 생성하기 위해, 실리사이드화 프로세스 동안 수소 가스(H2)를 함유하는 프로세스 구역 내에 포함된 기판을 가열하는 단계를 포함한다.
[0005] 일부 실시예들에서, 금속 실리사이드를 형성하는 방법이 제공되며, 세정 프로세스 동안 기판의 실리콘 표면을 드러내기 위해, 기판으로부터 자연 산화물을 제거하는 단계 및 증착 프로세스 동안 실리콘 표면 상에 티타늄을 함유하는 금속성 층을 증착하는 단계를 포함한다. 방법은 또한 금속성 층 및 실리콘 표면으로부터 기판 상에 티타늄을 함유하는 금속 실리사이드 층을 생성하기 위해, 실리사이드화 프로세스 동안 수소 가스를 함유하는 프로세스 구역 내에 포함된 기판을 가열하는 단계를 포함한다. 금속 실리사이드 층은 50Ω/sq 미만의 전기 저항을 갖는다.
[0006] 다른 실시예들에서, 금속 실리사이드를 형성하는 방법이 제공되며, 기판 상에 배치된 자연 산화물을 제거하고 기판의 실리콘 표면을 드러내기 위해, 기판을 플라즈마에 노출시키는 단계 및 PVD 프로세스 동안 실리콘 표면 상에 티타늄을 함유하는 금속성 층을 증착하는 단계를 포함하고, 기판은 PVD 프로세스 동안 약 23℃ 내지 약 450℃의 온도로 유지된다. 방법은 또한 금속성 층 및 실리콘 표면으로부터 기판 상에 티타늄을 함유하는 금속 실리사이드 층을 생성하기 위해 기판을 실리사이드화 프로세스에 노출시키는 단계를 포함한다. 실리사이드화 프로세스는 수소 가스를 함유하는 프로세스 구역 내에서 기판을 약 500℃ 내지 약 1,100℃의 온도로 가열하는 것을 포함하고, 금속 실리사이드 층은 약 4Ω/sq 내지 약 35Ω/sq의 전기 저항을 갖는다.
[0007] 본 개시내용의 위에서 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은 본원에서 설명되고 논의된 하나 이상의 실시예들에 따라 기판을 프로세싱하는 방법을 예시하는 흐름도이다.
[0009] 도 2는 본원에서 설명되고 논의된 하나 이상의 실시예들에 따라 도 1의 흐름도에 의해 예시된 방법을 수행하는 데 사용될 수 있는 프로세싱 시스템의 개략적인 평면도를 묘사한다.
[0010] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 하나 이상의 실시예들의 엘리먼트들 및 특징들이 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
[0011] 본 개시내용의 실시예들은 일반적으로 실리콘 기판들 상에 금속 실리사이드들을 준비하거나 형성하기 위한 방법들에 관한 것이다. 하나 이상의 실시예들에서, 금속 실리사이드를 형성하는 방법은 세정 프로세스, 증착 프로세스, 및 그 후 실리사이드화 프로세스를 포함한다. 세정 프로세스 동안, 자연 산화물 및/또는 다른 오염물을 함유한 기판이 세정되거나 다른 방식으로 제거되어 기판의 실리콘 표면을 드러낸다. 그 후, 금속성 층(예컨대, Ti, Co, Ni, Mo)이 증착 프로세스 동안 실리콘 표면 상에 증착되거나 다른 방식으로 형성된다. 후속적으로, 실리사이드화 프로세스 동안, 금속성 층을 함유하는 기판이 가열되어 금속성 층의 금속과 실리콘 기판의 실리콘 사이의 반응으로부터 금속 실리사이드 층을 생성한다. 기판은 화학적 환원 환경 또는 프로세스 구역 내에서 가열된다. 예컨대, 기판은 실리사이드화 프로세스 동안 수소 가스(H2)를 함유하는 환경 또는 프로세스 구역 내에서 가열된다. 본원에서 설명되고 논의된 실리사이드화 프로세스에 의해 생성된 금속 실리사이드 층들은 다른 프로세스들에 의해 형성된 금속 실리사이드들보다 낮은 전기 저항을 갖는다.
[0012] 도 1은 본원에서 설명되고 논의된 하나 이상의 실시예들에 따라 기판과 같은 워크피스를 프로세싱하기 위한 방법(100)을 예시하는 흐름도이다. 방법(100)은 동작(110)에서 기판을 세정 프로세스에 노출시키는 단계, 동작(120)에서 기판의 세정된 실리콘 표면 상에 금속성 층을 증착하는 단계, 및 동작(130)에서 환원 분위기에서 실리사이드화 프로세스를 실행(conduct)하는 단계를 포함한다. 하나 이상의 실시예들에서, 방법(100)은 클러스터 도구 상에 포함된 3개, 4개, 5개 이상의 프로세싱 챔버들에서 실행되거나 다른 방식으로 수행될 수 있다. 예컨대, 세정 프로세스는 제1 프로세싱 챔버에서 수행되거나 실행될 수 있고, 증착 프로세스는 제2 프로세싱 챔버에서 수행되거나 실행될 수 있으며, 실리사이드화 프로세스는 제3 프로세싱 챔버에서 수행되거나 실행될 수 있다. 제1, 제2 및 제3 프로세싱 챔버들 각각은 클러스터 도구 또는 다른 프로세싱 시스템 내의 이송 챔버에 독립적으로 유체 결합될 수 있다.
[0013] 동작(110)에서, 자연 산화물, 생성된 산화물 및/또는 다른 오염물을 함유하는 기판은 기판의 실리콘 표면을 드러내기 위해, 세정되거나 다른 방식으로 제거된다. 하나 이상의 실시예들에서, 세정 프로세스는 기판 상의 산화물 및/또는 오염물을 세정 가스로부터 형성된 플라즈마에 노출시키는 것을 포함한다. 세정 가스는 질소 트리플루오라이드, 암모니아, 아르곤, 수소(H2), 또는 이들의 임의의 조합일 수 있거나 이를 포함할 수 있다. 다른 실시예들에서, 세정 프로세스는 습식 세정 프로세스 동안 세정 용액에 그리고 그 후 건식 세정 프로세스에 기판을 노출시키는 것을 포함한다. 습식 세정 프로세스는 수소 플루오라이드, 황산, 설폰산 및/또는 다른 산들을 함유하는 산성 용액, 또는 염기성 용액들(예컨대, 암모늄 수산화물 또는 아민 용액들) 및/또는 다른 세정 용액들에 기판을 노출시키는 것을 포함할 수 있다. 건식 세정 프로세스는 세정 가스로부터 형성된 플라즈마와 같은 플라즈마에 기판을 노출시키는 것을 포함할 수 있다.
[0014] 기판은 세정 프로세스 동안 미리 결정된 시간 동안 세정 및/또는 에칭된다. 기판은 세정 프로세스 동안 약 5초, 약 10초, 약 15초, 약 20초, 약 30초, 약 45초, 약 60초, 약 90초 또는 약 2분 내지 약 2.5분, 약 3분, 약 5분, 약 10분, 약 15분, 약 20분, 약 30분, 약 45분, 약 60분, 약 75분, 약 90분, 약 120분, 약 150분 또는 그 초과 동안 플라스마, 세정 가스 및/또는 세정 용액에 노출될 수 있다. 예컨대, 기판은 세정 프로세스 동안, 약 5초 내지 약 150분, 약 5초 내지 약 120분, 약 5초 내지 약 90분, 약 5초 내지 약 75분, 약 5초 내지 약 60분, 약 5초 내지 약 45분, 약 5초 내지 약 30분, 약 5초 내지 약 20분, 약 5초 내지 약 10분, 약 5초 내지 약 5분, 약 5초 내지 약 2분, 약 5초 내지 약 90초, 약 5초 내지 약 60초, 약 5초 내지 약 30초, 약 60초 내지 약 150분, 약 60초 내지 약 120분, 약 60초 내지 약 90분, 약 60초 내지 약 75분, 약 60초 내지 약 60분, 약 60초 내지 약 45분, 약 60초 내지 약 30분, 약 60초 내지 약 20분, 약 60초 내지 약 10분, 약 60초 내지 약 5분, 약 60초 내지 약 2분, 약 60초 내지 약 90초, 약 5분 내지 약 150분, 약 5분 내지 약 120분, 약 5분 내지 약 90분, 약 5분 내지 약 75분, 약 5분 내지 약 60분, 약 5분 내지 약 45분, 약 5분 내지 약 30분, 약 5분 내지 약 20분 또는 약 5분 동안 플라즈마, 세정 가스, 및/또는 세정 용액에 노출될 수 있다.
[0015] 동작(120)에서, 증착 프로세스 동안 기판의 실리콘 표면 상에 금속성 층이 증착되거나 다른 방식으로 형성된다. 금속성 층은 티타늄, 코발트, 니켈, 몰리브덴, 이들의 합금들, 또는 이들의 임의의 조합과 같은 하나 이상의 금속들이거나 이를 포함할 수 있다. 금속성 층에 대한 금속의 유형은 동작(130)에서 형성되기를 원하는 금속 실리사이드의 유형에 기초하여 결정된다.
[0016] 금속성 층은 하나 이상의 기상 증착 프로세스들에 의해 기판의 실리콘 표면 상에 형성되거나 다른 방식으로 생성될 수 있다. 기상 증착 프로세스는 PVD(physical vapor deposition) 프로세스, 스퍼터 프로세스, 열 CVD(chemical vapor deposition) 프로세스, PE-CVD(plasma-enhanced CVD) 프로세스, 펄스-CVD 프로세스, 열 ALD(atomic layer deposition) 프로세스, PE-ALD(plasma-enhanced ALD) 프로세스 또는 이들의 임의의 조합이거나 이들을 포함할 수 있다. 하나 이상의 예들에서, 금속 티타늄을 함유하는 금속성 층은 PVD 프로세스 또는 ALD 프로세스에 의해 증착된다. 다른 예들에서, 금속 코발트를 함유하는 금속성 층은 PVD 프로세스에 의해 증착된다. 다른 예들에서, 금속 니켈을 함유하는 금속성 층은 CVD 프로세스 또는 PVD 프로세스에 의해 증착된다.
[0017] 하나 이상의 실시예들에서, 금속성 층은 증착 프로세스 동안 PVD에 의해 실리콘 표면 상에 증착된다. 기판은 PVD 프로세스 또는 다른 증착 프로세스 동안 약 20℃, 약 23℃(예컨대, 대략 실온), 약 25℃, 약 30℃, 약 50℃, 약 80℃, 또는 약 100℃ 내지 약 120℃, 약 150℃, 약 200℃, 약 250℃, 약 300℃, 약 350℃, 약 400℃, 약 450℃, 또는 약 500℃의 온도로 가열 및/또는 유지된다. 예컨대, 기판은 PVD 프로세스 또는 다른 증착 프로세스 동안 약 23℃ 내지 약 500℃, 약 23℃ 내지 약 450℃, 약 23℃ 내지 약 400℃, 약 23℃ 내지 약 350℃, 약 23℃ 내지 약 300℃, 약 23℃ 내지 약 250℃, 약 23℃ 내지 약 200℃, 약 23℃ 내지 약 150℃, 약 23℃ 내지 약 120℃, 약 23℃ 내지 약 100℃, 약 23℃ 내지 약 80℃, 약 23℃ 내지 약 50℃, 약 50℃ 내지 약 500℃, 약 50℃ 내지 약 450℃, 약 50℃ 내지 약 400℃, 약 50℃ 내지 약 350℃, 약 50℃ 내지 약 300℃, 약 50℃ 내지 약 250℃, 약 50℃ 내지 약 200℃, 약 50℃ 내지 약 150℃, 약 50℃ 내지 약 120℃, 약 50℃ 내지 약 100℃, 약 50℃ 내지 약 80℃, 약 100℃ 내지 약 500℃, 약 100℃ 내지 약 450℃, 약 100℃ 내지 약 400℃, 약 100℃ 내지 약 350℃, 약 100℃ 내지 약 300℃, 약 100℃ 내지 약 250℃, 약 100℃ 내지 약 200℃, 약 100℃ 내지 약 150℃, 또는 약 100℃ 내지 약 120℃의 온도로 가열 및/또는 유지된다.
[0018] 다른 실시예에서, 기판은 CVD 프로세스, ALD 프로세스 또는 다른 증착 프로세스 동안 약 20℃, 약 23℃(예컨대, 대략 실온), 약 25℃, 약 30℃, 약 50℃, 약 80℃ 또는 약 100℃ 내지 약 120℃, 약 150℃, 약 200℃, 약 250℃, 약 300℃, 약 350℃, 약 400℃, 약 450℃, 약 500℃, 약 550℃ 또는 약 600℃의 온도로 가열 및/또는 유지된다. 예컨대, 기판은 CVD 프로세스, ALD 프로세스 또는 다른 증착 프로세스 동안 약 23℃ 내지 약 600℃, 약 23℃ 내지 약 550℃, 약 23℃ 내지 약 500℃, 약 23℃ 내지 약 450℃, 약 23℃ 내지 약 400℃, 약 23℃ 내지 약 350℃, 약 23℃ 내지 약 300℃, 약 23℃ 내지 약 250℃, 약 23℃ 내지 약 200℃, 약 23℃ 내지 약 150℃, 약 23℃ 내지 약 120℃, 약 23℃ 내지 약 100℃, 약 23℃ 내지 약 80℃, 약 23℃ 내지 약 50℃, 약 50℃ 내지 약 600℃, 약 50℃ 내지 약 450℃, 약 50℃ 내지 약 400℃, 약 50℃ 내지 약 350℃, 약 50℃ 내지 약 300℃, 약 50℃ 내지 약 250℃, 약 50℃ 내지 약 200℃, 약 50℃ 내지 약 150℃, 약 50℃ 내지 약 120℃, 약 50℃ 내지 약 100℃, 약 50℃ 내지 약 80℃, 약 100℃ 내지 약 600℃, 약 100℃ 내지 약 450℃, 약 100℃ 내지 약 400℃, 약 100℃ 내지 약 350℃, 약 100℃ 내지 약 300℃, 약 100℃ 내지 약 250℃, 약 100℃ 내지 약 200℃, 약 100℃ 내지 약 150℃, 또는 약 100℃ 내지 약 120℃의 온도로 가열 및/또는 유지된다.
[0019] 금속성 층은 약 10Å, 약 15Å, 약 20Å, 약 25Å, 약 30Å, 약 40Å, 약 50Å, 또는 약 60Å 내지 약 70Å, 약 80Å, 약 100Å, 약 110Å, 약 130Å, 약 150Å, 약 180Å, 약 200Å, 약 250Å, 약 300Å, 약 400Å, 약 500Å, 또는 그 초과의 두께를 가질 수 있다. 예컨대, 금속성 층은 약 10Å 내지 약 500Å, 약 10Å 내지 약 350Å, 약 10Å 내지 약 200Å, 약 10Å 내지 약 150Å, 약 10Å 내지 약 120Å, 약 10Å 내지 약 100Å, 약 10 Å 내지 약 75 Å, 약 10 Å 내지 약 50 Å, 약 10 Å 내지 약 30 Å, 약 10 Å 내지 약 20 Å, 약 25 Å 내지 약 350 Å, 약 25 Å 내지 약 200 Å, 약 25 Å 내지 약 150 Å, 약 25 Å 내지 약 120 Å, 약 25 Å 내지 약 100 Å, 약 25 Å 내지 약 75 Å, 약 25 Å 내지 약 50 Å, 약 25 Å 내지 약 30 Å, 약 50 Å 내지 약 350 Å, 약 50 Å 내지 약 200 Å, 약 50 Å 내지 약 150 Å, 약 50 Å 내지 약 120 Å, 약 50 Å 내지 약 100 Å, 약 50 Å 내지 약 75 Å, 약 100 Å 내지 약 500 Å, 약 100 Å 내지 약 350 Å, 약 100 Å 내지 약 200 Å, 약 100 Å 내지 약 150 Å, 또는 약 100Å 내지 약 120Å. 두께를 가질 수 있다.
[0020] 동작(130)에서, 금속성 층을 함유하는 기판은 실리사이드화 프로세스 동안 가열되어 금속성 층의 금속과 실리콘 기판의 실리콘 사이의 반응으로부터 금속 실리사이드 층을 생성한다. 기판은 화학적 환원 환경 또는 프로세스 구역 내에서 가열된다. 예컨대, 기판은 실리사이드화 프로세스 동안 수소 가스(H2) 및/또는 다른 환원제를 함유하는 환경 또는 프로세스 구역 내에서 가열된다. 수소 가스 및/또는 다른 환원제는 실리사이드화 프로세스 동안 금속 실리사이드의 생성을 보조한다. 본원에서 설명되고 논의된 실리사이드화 프로세스에 의해 생성된 금속 실리사이드 층들은 수소 가스(H2) 및/또는 다른 환원제들을 함유하는 환경 또는 프로세스 구역을 활용하지 않는 다른 프로세스들에 의해 형성된 금속 실리사이드들보다 낮은 전기 저항을 갖는다. 예컨대, 금속 실리사이드들이 질소(N2), 아르곤, 헬륨 또는 이들의 조합들을 함유하는 환경 또는 프로세스 구역에서 기판을 가열하는 다른 프로세스들에 의해 형성될 때, 이러한 금속 실리사이드들의 전기 저항은 본원에서 설명되고 논의된 실리사이드화 프로세스에 의해 생성된 금속 실리사이드 층들보다 훨씬 크다.
[0021] 금속성 층의 조성에 의존하여, 금속 실리사이드 층은 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 몰리브덴 실리사이드, 이들의 합금들, 또는 이들의 임의의 조합이거나 이를 포함할 수 있다. 하나 이상의 예들에서, 금속 실리사이드 층은 화학식 TiSi2를 갖는 티타늄 실리사이드이거나 이를 포함할 수 있다. 다른 예들에서, 금속 실리사이드 층은 화학식 TiSix를 갖는 티타늄 실리사이드이거나 이를 포함할 수 있으며, 여기서 x는 약 1.5, 약 1.55, 약 1.6, 약 1.65, 약 1.7, 또는 약 1.75 내지 약 1.8, 약 1.85, 약 1.9, 약 1.95, 약 1.96, 약 1.97, 약 1.98, 약 1.99 또는 그 초과이다. 일부 예들에서, 금속 실리사이드 층은 화학식 CoSi2를 갖는 코발트 실리사이드이거나 이를 포함할 수 있다. 다른 예들에서, 금속 실리사이드 층은 화학식 CoSix를 갖는 코발트 실리사이드이거나 이를 포함할 수 있으며, 여기서 x는 약 1.5, 약 1.55, 약 1.6, 약 1.65, 약 1.7, 또는 약 1.75 내지 약 1.8, 약 1.85, 약 1.9, 약 1.95, 약 1.96, 약 1.97, 약 1.98, 약 1.99 또는 그 초과이다.
[0022] 실리사이드화 프로세스는 실리콘 표면 상에 금속성 층을 함유하는 기판을 미리 결정된 온도에서 미리 결정된 시간 동안 가열 및/또는 유지하는 것을 포함한다. 기판은 실리사이드화 프로세스 동안 약 500℃, 약 550℃, 약 600℃, 약 650℃, 약 700℃, 또는 약 750℃ 내지 약 800℃, 약 850℃, 약 900℃, 약 950℃, 약 1,000℃, 약 1,050℃, 약 1,100℃, 약 1,150℃, 약 1,200℃, 약 1,300℃, 또는 그 초과의 온도로 가열 및/또는 유지된다. 예컨대, 기판은 실리사이드화 프로세스 동안 약 500℃ 내지 약 1,300℃, 약 500℃ 내지 약 1,200℃, 약 500℃ 내지 약 1,100℃, 약 500℃ 내지 약 1,000℃, 약 500℃ 내지 약 900℃, 약 500℃ 내지 약 850℃, 약 500℃ 내지 약 750℃, 약 500℃ 내지 약 650℃, 약 500℃ 내지 약 600℃, 약 650℃ 내지 약 1,300℃, 약 650℃ 내지 약 1,200℃, 약 650℃ 내지 약 1,100℃, 약 650℃ 내지 약 1,000℃, 약 650℃ 내지 약 900℃, 약 650℃ 내지 약 850℃, 약 650℃ 내지 약 750℃, 약 650℃ 내지 약 700℃, 약 850℃ 내지 약 1,300℃, 약 850℃ 내지 약 1,200℃, 약 850℃ 내지 약 1,100℃, 약 850℃ 내지 약 1,000℃, 또는 약 850℃ 내지 약 900℃의 온도로 가열 및/또는 유지된다.
[0023] 기판은 실리사이드화 프로세스 동안 미리 결정된 시간 동안 가열된다. 가열은 열적 기술에 의존하여 몇 초 내지 몇 분의 기간에 발생할 수 있다. 기판은 실리사이드화 프로세스 동안 약 5초, 약 10초, 약 15초, 약 20초, 약 30초, 약 45초, 약 60초, 약 90초 또는 약 2분 내지 약 2.5분, 약 3분, 약 5분, 약 10분, 약 15분, 약 20분, 약 30분, 약 45분, 약 60분, 약 75분, 약 90분, 약 120분, 약 150분 또는 그 초과 동안 프로세스 온도로 가열 및/또는 유지된다. 예컨대, 기판은 실리사이드화 프로세스 동안, 약 5초 내지 약 150분, 약 5초 내지 약 120분, 약 5초 내지 약 90분, 약 5초 내지 약 75분, 약 5초 내지 약 60분, 약 5초 내지 약 45분, 약 5초 내지 약 30분, 약 5초 내지 약 20분, 약 5초 내지 약 10분, 약 5초 내지 약 5분, 약 5초 내지 약 2분, 약 5초 내지 약 90초, 약 5초 내지 약 60초, 약 5초 내지 약 30초, 약 60초 내지 약 150분, 약 60초 내지 약 120분, 약 60초 내지 약 90분, 약 60초 내지 약 75분, 약 60초 내지 약 60분, 약 60초 내지 약 45분, 약 60초 내지 약 30분, 약 60초 내지 약 20분, 약 60초 내지 약 10분, 약 60초 내지 약 5분, 약 60초 내지 약 2분, 약 60초 내지 약 90초, 약 5분 내지 약 150분, 약 5분 내지 약 120분, 약 5분 내지 약 90분, 약 5분 내지 약 75분, 약 5분 내지 약 60분, 약 5분 내지 약 45분, 약 5분 내지 약 30분, 약 5분 내지 약 20분 또는 약 5분 내지 약 10분 동안 프로세스 온도로 가열 및/또는 유지된다.
[0024] 하나 이상의 예들에서, 실리사이드화 프로세스는 약 500℃ 내지 약 1,200℃의 온도로 약 5초 내지 약 120분 동안 기판을 가열하는 것을 포함한다. 다른 예들에서, 실리사이드화 프로세스는 약 650℃ 내지 약 850℃의 온도로 약 10초 내지 약 5분 동안 기판을 가열하는 것을 포함한다. 일부 실시예들에서, 실리사이드화 프로세스는 기판을 약 680℃ 내지 약 820℃의 온도로 약 20초 내지 약 2분 또는 약 30초 내지 약 90초 동안 가열하는 것을 포함한다.
[0025] 프로세싱 챔버는 실리사이드화 프로세스 동안 프로세스 구역의 화학적 환원 환경에 워크피스 또는 기판을 포함한다. 프로세스 구역은 약 760Torr 이하의 압력으로 유지된다. 프로세스 구역은 실리사이드화 프로세스 동안 약 10mTorr, 약 20mTorr, 약 50mTorr, 약 100mTorr, 약 250mTorr, 약 500mTorr, 약 800mTorr, 또는 약 1Torr 내지 약 5Torr, 약 10Torr, 약 50Torr, 약 100Torr, 약 200Torr, 약 350Torr, 약 500Torr, 약 650Torr, 약 750Torr, 760Torr 미만, 또는 약 760Torr의 압력으로 유지된다. 프로세스 구역은 실리사이드화 프로세스 동안 약 10mTorr 내지 약 760Torr 미만, 약 10mTorr 내지 약 750Torr, 약 10mTorr 내지 약 500Torr, 약 10mTorr 내지 약 300Torr, 약 10mTorr 내지 약 100Torr, 약 10mTorr 내지 약 50Torr, 약 10mTorr 내지 약 10Torr, 약 10mTorr 내지 약 1Torr, 약 10mTorr 내지 약 500mTorr, 약 10mTorr 내지 약 100mTorr, 약 500mTorr 내지 760Torr 미만, 약 500mTorr 내지 약 750Torr, 약 500mTorr 내지 약 500Torr, 약 500mTorr 내지 약 300Torr, 약 500mTorr 내지 약 100Torr, 약 500mTorr 내지 약 50Torr, 약 500mTorr 내지 약 10Torr, 약 500mTorr 내지 약 1Torr, 약 10Torr 내지 760Torr 미만, 약 10Torr 내지 약 750Torr, 약 10Torr 내지 약 500Torr, 약 10Torr 내지 약 300Torr, 약 10Torr 내지 약 100Torr, 또는 약 10Torr 내지 약 50Torr의 압력으로 유지된다.
[0026] 하나 이상의 예들에서, 수소 가스를 함유하는 프로세스 구역은 실리사이드화 프로세스 동안 프로세싱 챔버 내에서 약 10mTorr 내지 약 760Torr의 압력으로 유지된다. 다른 예들에서, 수소 가스를 함유하는 프로세스 구역은 실리사이드화 프로세스 동안 프로세싱 챔버 내에서 약 250mTorr 내지 760Torr 미만의 압력으로 유지된다. 일부 예에서, 수소 가스를 함유하는 프로세스 구역은 실리사이드화 프로세스 동안 프로세싱 챔버 내에서 약 10 Torr 내지 760 Torr 미만의 압력으로 유지된다. 다른 예들에서, 수소 가스를 함유하는 프로세스 구역은 실리사이드화 프로세스 동안 프로세싱 챔버 내에서 약 250mTorr 내지 약 100 Torr 미만의 압력으로 유지된다. 하나 이상의 예들에서, 수소 가스를 함유하는 프로세스 구역은 실리사이드화 프로세스 동안 프로세싱 챔버 내에서 약 10mTorr 내지 약 10Torr의 압력으로 유지된다.
[0027] 금속 실리사이드 층은 실리사이드화 프로세스 동안 금속성 층의 대부분 또는 전부가 소모되는 경우, 금속 실리사이드 층이 형성된 금속성 층보다 더 두꺼운 두께를 갖는다. 금속 실리사이드 층의 두께는 실리사이드화 프로세스에 의해 소모된 금속성 층의 두께보다 약 1.2배, 약 1.5배, 또는 약 1.8배 내지 약 2배, 약 2.2배, 약 2.5배, 약 2.8배, 약 3배, 또는 더 클 수 있다. 금속 실리사이드 층은 약 10 Å, 약 15 Å, 약 20 Å, 약 25 Å, 약 30 Å, 약 40 Å, 약 50 Å, 약 80 Å, 또는 약 100 Å 내지 약 110 Å, 약 130 Å, 약 150 Å, 약 180Å, 약 200Å, 약 250Å, 약 300Å, 약 350Å, 약 400Å, 약 500Å, 약 750Å, 또는 그 초과의 두께를 가질 수 있다. 예컨대, 금속 실리사이드 층은 10 Å 내지 약 750 Å, 약 10 Å 내지 약 500 Å, 약 10 Å 내지 약 350 Å, 약 10 Å 내지 약 200 Å, 약 10 Å 내지 약 150 Å, 약 10 Å 내지 약 120 Å, 약 10 Å 내지 약 100 Å, 약 10 Å 내지 약 75 Å, 약 10 Å 내지 약 50 Å, 약 10 Å 내지 약 30 Å, 약 25 Å 내지 약 500 Å, 약 25 Å 내지 약 350 Å, 약 25 Å 내지 약 200 Å, 약 25 Å 내지 약 150 Å, 약 25 Å 내지 약 120 Å, 약 25 Å 내지 약 100 Å, 약 25 Å 내지 약 75 Å, 약 25 Å 내지 약 50 Å, 약 25 Å 내지 약 30 Å, 약 100 Å 내지 약 750 Å, 약 100 Å 내지 약 500 Å, 약 100 Å 내지 약 350 Å, 약 100 Å 내지 약 200 Å, 약 100 Å 내지 약 150 Å, 또는 약 100 Å 내지 약 120Å의 두께를 가질 수 있다.
[0028] 실리사이드화 프로세스에 의해 준비되거나 다른 방식으로 생성된 금속 실리사이드 층은 다른 방법들에 의해 생성된 금속 실리사이드 층들에 비해 상대적으로 낮은 전기 저항을 갖는다. 실리사이드화 프로세스에 의해 준비되거나 다른 방식으로 생성된 금속 실리사이드 층은 50Ω/sq(square) 미만 이를테면, 약 2Ω/sq, 약 4Ω/sq, 약 5Ω/sq, 약 8Ω/sq, 약 10Ω/sq, 약 12Ω/sq, 약 15Ω/sq, 약 18Ω/sq, 약 20Ω/sq, 또는 약 22Ω/sq 내지 약 25Ω/sq, 약 28Ω/sq, 약 30Ω/sq, 약 32Ω/sq, 약 35Ω/sq, 약 38Ω/sq, 약 40Ω/sq, 약 42Ω/sq, 약 45Ω/sq, 또는 약 48Ω/sq의 전기 저항을 갖는다. 예컨대, 금속 실리사이드 층은 약 2Ω/sq 내지 50Ω/sq 미만, 약 4Ω/sq 내지 50Ω/sq 미만, 약 4Ω/sq 내지 약 48Ω/sq, 약 4Ω/sq 내지 약 40Ω/sq, 약 4Ω/sq 내지 약 35Ω/sq, 약 4Ω/sq 내지 약 30Ω/sq, 약 4Ω/sq 내지 약 28Ω/sq, 약 4Ω/sq 내지 약 25Ω/sq, 약 4Ω/sqΩ/sq 내지 약 22Ω/sq, 약 4Ω/sq 내지 약 20Ω/sq, 약 4Ω/sq 내지 약 15Ω/sq, 약 4Ω/sq 내지 약 12Ω/sq, 약 4Ω/sq 내지 약 10Ω/sq, 약 4Ω/sq 내지 약 8Ω/sq, 약 10Ω/sq 내지 50Ω/sq 미만, 약 10Ω/sq 내지 약 48Ω/sq, 약 10Ω/sq 내지 약 40Ω/sq, 약 10Ω/sq 내지 약 35Ω/sq, 약 10Ω/sq 내지 약 30Ω/sq, 약 10Ω/sq 내지 약 28Ω/sq, 약 10Ω/sq 내지 약 25Ω/sq, 약 10Ω/sq 내지 약 22Ω/sq, 약 10Ω/sq 내지 약 20Ω/sq, 약 10Ω/sq 내지 약 15Ω/sq, 또는 약 10Ω/ sq 내지 약 12Ω/sq의 전기 저항을 갖는다.
[0029] 하나 이상의 실시예들에서, 금속 실리사이드를 준비하거나 형성하기 위한 방법은 세정 프로세스 동안 기판의 실리콘 표면을 드러내기 위해, 기판으로부터 자연 산화물 및/또는 오염물을 제거하는 것을 포함한다. 그 후, 티타늄을 함유한 금속성 층이 증착 프로세스 동안 실리콘 표면 상에 증착되거나 다른 방식으로 형성된다. 후속적으로, 금속성 층을 함유하는 기판은 실리사이드화 프로세스 동안 수소 가스를 함유하는 프로세스 구역 내에 있으면서 가열된다. 티타늄을 함유한 금속 실리사이드 층은 금속성 층의 금속 원자들과 실리콘 표면의 실리콘 원자들 사이의 화학 반응으로부터 기판 상에 형성되거나 다른 방식으로 생성된다. 금속 실리사이드 층은 50Ω/sq 미만의 전기 저항을 갖는다.
[0030] 다른 실시예들에서, 금속 실리사이드를 준비하거나 형성하기 위한 방법은 세정 프로세스 동안 기판의 실리콘 표면을 드러내기 위해, 기판으로부터 자연 산화물 및/또는 오염물을 제거하는 것을 포함한다. 그 후, 티타늄을 함유한 금속성 층이 PVD 프로세스 동안 실리콘 표면 상에 증착되거나 다른 방식으로 형성된다. 기판은 PVD 프로세스 동안 약 23℃ 내지 약 450℃의 온도로 유지된다. 방법은 또한 금속성 층 및 실리콘 표면으로부터 기판 상에 티타늄을 함유하는 금속 실리사이드 층을 생성하기 위해 기판을 실리사이드화 프로세스에 노출시키는 것을 포함한다. 실리사이드화 프로세스는 수소 가스를 함유하는 프로세스 구역 내에서 기판을 약 500℃ 내지 약 1,100℃의 온도로 가열하는 것을 포함한다. 금속 실리사이드 층은 약 4Ω/sq 내지 약 35Ω/sq의 전기 저항을 갖는다.
[0031] 도 2는 본원에서 논의되고 설명된 실시예에 따라 도 1의 흐름도에 의해 예시된 프로세스(100)를 수행하거나 실행하는 데 사용될 수 있는 프로세싱 시스템(200)의 개략적인 평면도이다. 일부 예들에서, 프로세싱 시스템(200)은 클러스터 도구이거나 이를 포함할 수 있다. 하나 이상의 양상들에서, 프로세싱 시스템(200)은 캘리포니아, 산타클라라 소재의 Applied Materials, Inc.로부터 상업적으로 입수 가능한 CENTURA® 시스템일 수 있다. 임의의 편리한 유형의 이송 로봇(204)이 프로세싱 시스템(200)의 이송 챔버(202)에 배치된다. 2개의 로드록 챔버들(206A, 206B)을 갖는 로드록(206)이 이송 챔버(202)에 결합된다. 복수의 프로세싱 챔버들(208, 210, 212, 214, 및 216)이 또한 이송 챔버(202)에 결합된다. 복수의 프로세싱 챔버(208, 210, 212, 214 및 216)는 하나 이상의 세정 챔버들, 하나 이상의 플라즈마 챔버들, 하나 이상의 기상 증착 챔버들, 하나 이상의 어닐링 챔버들, 하나 이상의 실리사이드 챔버들 및/또는 다른 유형들의 챔버들을 포함할 수 있다.
[0032] 프로세싱 챔버들(208, 210) 각각은 독립적으로 금속 막들 또는 재료들의 증착 전에 기판을 세정하도록 구성된 세정 챔버들일 수 있다. 기판은 기판으로부터 자연 산화물 및/또는 다른 오염물들을 제거하여 세정 프로세스 동안 기판의 실리콘 표면을 드러내거나 그리고/또는 생성하도록 세정될 수 있다. 프로세싱 챔버들(208, 210)은 동작(110)에서 위에서 논의된 바와 같은 세정 프로세스를 수행하는 데 사용될 수 있다. 하나 이상의 구성들에서, 프로세싱 챔버(208)는 습식 세정 프로세스를 실행하는 데 사용될 수 있고, 프로세싱 챔버(210)는 건식 세정 프로세스를 실행하는 데 사용될 수 있다. 하나 이상의 실시예들에서, 프로세싱 챔버들(208, 210) 각각은 독립적으로, 플라즈마를 생성하기 위해 인-시튜 플라즈마 소스 및/또는 RPS(remote plasma source)를 사용하는 사전 세정 챔버일 수 있다. 세정 프로세스는 사전 세정 챔버 내의 세정 가스로부터 형성된 플라즈마에 기판 상의 자연 산화물 층 및/또는 다른 오염물을 노출시키는 것을 포함할 수 있다. 세정 가스는 질소 트리플루오라이드, 암모니아, 아르곤, 수소(H2), 또는 이들의 플라즈마들, 또는 이들의 임의의 조합일 수 있거나 이를 포함할 수 있다.
[0033] 하나 이상의 실시예들에서, 프로세싱 챔버들(208, 210) 각각은 독립적으로, 캘리포니아, 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 TERSA® Pre-Clean™ 챔버일 수 있다. 프로세싱 챔버들(208, 210)은 전기적으로 중성인 라디칼들(예컨대, 수소 라디칼들)을 사용하여 기판 상의 산화물들 및/또는 오염물들과 반응시켜서 그 산화물들 및/또는 오염물들을 세정한다. 다른 실시예들에서, 프로세싱 챔버들(208, 210) 각각은 독립적으로, 캘리포니아, 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 AKTIV Pre-Clean™ 챔버일 수 있다. 프로세싱 챔버들(208, 210)은 전기적으로 중성인 라디칼들(예컨대, 수소 라디칼들)을 사용하여 기판 상의 산화물들 및/또는 오염물들과 반응시켜서 그 산화물들 및/또는 오염물들을 세정한다.
[0034] 프로세싱 챔버들(208, 210)은 독립적으로 기판 위에 금속성 층을 증착하기 이전에 기판을 세정하도록 구성된 세정 챔버일 수 있다. 세정 프로세스는 질소 트리플루오라이드, 암모니아, 아르곤, 수소(H2), 이들의 플라즈마들, 또는 이들의 임의의 조합이거나 이를 포함할 수 있는 세정 가스로부터 형성된 플라즈마에 기판을 노출시키는 것을 포함할 수 있다. 예컨대, 프로세싱 챔버들(208 및 210)은 독립적으로 용량 결합된 프로세싱 챔버일 수 있다. 하나 이상의 실시예들에서, 각각의 프로세싱 챔버(208, 210)는 독립적으로, 캘리포니아, 산타클라라 소재의 Applied Materials, Inc.로부터 상업적으로 입수 가능한 SICONI® Pre-clean 챔버일 수 있다.
[0035] 다른 실시예들에서, 프로세싱 챔버들(208, 210) 각각은 독립적으로, 기판으로부터 재료(예컨대, 산화물들 및/또는 오염물들)를 에칭하도록 구성된 에칭 챔버일 수 있다. 예컨대, 프로세싱 챔버들(208, 210)은 독립적으로, ICP 플라즈마 챔버와 같은 플라즈마 챔버일 수 있다. 하나 이상의 실시예들에서, 프로세싱 챔버(208)는, 캘리포니아, 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 Centura® AdvantedgeTM MesaTM 에칭 챔버이다.
[0036] 프로세싱 챔버(212)는 기판의 실리콘 표면 상에 하나 이상의 금속들 또는 다른 재료들을 증착하는 것과 같이, 세정 후에 다운스트림 프로세싱을 수행하는 데 사용될 수 있다. 예컨대, 하나 이상의 금속성 층들 및/또는 다른 유형의 층들이 증착 프로세스 동안 실리콘 표면 상에 증착되거나 다른 방식으로 형성될 수 있다. 프로세싱 챔버(212)는 동작(120)에서 위에서 논의된 바와 같은 증착 프로세스를 수행하는 데 사용될 수 있다. 프로세싱 챔버(212)는 증착 프로세스 동안 기상 증착 챔버 이를테면, PVD 챔버, 스퍼터링 챔버, 열 CVD 챔버, PE-CVD 챔버, 펄스형-CVD 챔버, 열 ALD 챔버, PE-ALD 챔버, 또는 이들의 임의의 조합일 수 있다. 하나 이상의 실시예들에서, 프로세싱 챔버(212)는 캘리포니아, 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 CIRRUSTM PVD 챔버일 수 있다.
[0037] 프로세싱 챔버(214)는 기판을 가열하는 제어된 열 사이클을 제공하도록 구성된 열 프로세싱 챔버일 수 있다. 대안적으로, 프로세싱 챔버(214)는 기판을 프로세싱하고 가열하는 동안 플라즈마 및 제어된 열 사이클을 제공하도록 구성된 플라즈마 어닐링 챔버일 수 있다. 프로세싱 챔버(214)는 기판을 미리 결정된 온도로 가열하고 동작(130)에서 위에서 논의된 바와 같이 실리사이드화 프로세스를 수행하거나 아니면 실행하는 데 사용될 수 있다.
[0038] 하나 이상의 예들에서, 프로세싱 챔버(214)는 캘리포니아, 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 RADIANCE® RTP 챔버이다. 다른 예들에서, 프로세싱 챔버(214)는, 캘리포니아, 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 VANTAGE® RADOXTM RTP 챔버이다. 프로세싱 챔버(214)는 어닐링 가스 또는 프로세스 가스의 하나 이상의 소스들에 유체 결합된다. 예컨대, 프로세싱 챔버는 수소 가스의 소스에 유체 결합될 수 있다.
[0039] 하나 이상의 실시예들에서, 프로세싱 챔버(216)는 위에서 설명되고 논의된 바와 같이 프로세싱 챔버들(208, 210, 212 또는 214) 중 임의의 하나와 같은 또 다른 챔버일 수 있다. 예컨대, 프로세싱 챔버(216)는 기판을 세정하도록 구성된 세정 챔버(예컨대, 증착 후), 플라즈마 챔버, 기판을 가열하는 제어된 열 사이클을 제공하도록 구성된 열 프로세싱 챔버, 다른 재료를 증착하도록 구성된 증착 챔버 또는 다른 유형의 프로세싱 챔버일 수 있다. 일부 실시예들에서, 프로세싱 챔버(216)는 없거나 동작 동안 단순히 사용되지 않을 수 있다.
[0040] 프로세싱 동안, 프로세싱될 기판이 포드(미도시)에서 프로세싱 시스템(200)에 도달할 수 있다. 기판은 프로세싱 시스템(200) 내로 도입된다. 기판은 팩토리 인터페이스 로봇(미도시)에 의해 포드로부터 진공 호환 가능 로드록(206A, 206B)으로 이송된다. 그 후, 기판은, 일반적으로 진공 상태로 유지되는 이송 챔버(202) 내의 이송 로봇(204)에 의해 핸들링된다. 그 후, 이송 로봇(204)은, 동작(110)에서 설명된 바와 같은, 기판의 세정을 위해, 프로세싱 챔버(208) 또는 프로세싱 챔버(210) 중 어느 하나 내로 기판을 로딩한다. 산화물들 및/또는 오염물들의 세정 및 제거의 완료 시에, 이송 로봇(204)은 그 후, 동작(120)에 설명된 바와 같이 프로세싱 챔버(208 또는 210)로부터 기판을 픽업하고, 기판의 실리콘 표면 상에 금속성 층을 형성하기 위한 증착 프로세스, 이를테면, PVD, CVD 또는 ALD 프로세스를 위한 프로세싱 챔버(212) 내로 기판을 로딩한다. 그 후, 이송 로봇(204)은 동작(130)에서 설명된 바와 같이, 프로세싱 챔버(212)로부터 기판을 픽업하고 금속성 층 및 실리콘 표면으로부터 기판 상에 금속 실리사이드 층을 생성하기 위한 실리사이드 프로세스를 위해 기판을 프로세싱 챔버(216) 내로 로딩할 수 있다. 선택적으로, 하나 이상의 실시예들에서, 이송 로봇(204)은 그 후 프로세싱 챔버(214)로부터 기판을 픽업하고 금속 실리사이드 층을 함유하는 기판에 대한 임의의 다른 원하는 프로세스를 실행하거나 수행하기 위해 프로세싱 챔버(216) 내로 기판을 로딩한다.
[0041] 이송 챔버(202)는 프로세스 동안 진공 하에서 그리고/또는 대기압 미만의 압력으로 유지될 수 있다. 이송 챔버(202)의 진공 레벨은 대응하는 프로세싱 챔버들의 진공 레벨과 일치하도록 조정될 수 있다. 예컨대, 기판을 이송 챔버(202)로부터 프로세싱 챔버 내로(또는 그 반대로) 이송할 때, 이송 챔버(202) 및 프로세싱 챔버는 동일한 진공 레벨로 유지될 수 있다. 이어서, 기판을 이송 챔버로부터 로드록 챔버 또는 배치 로드록 챔버로(또는 그 반대로) 이송할 때, 프로세싱 챔버와 로드록 챔버의 진공 레벨이 상이할 수 있을지라도, 이송 챔버 진공 레벨은 로드록 챔버(206A, 206B)의 진공 레벨과 일치할 수 있다.
[0042] 본원에서 설명되고 논의된 방법은 이전의 실리사이드 프로세스 기술들에 비해 다수의 이점들을 제공한다. 기판은 화학적 환원 환경 또는 프로세스 구역 내에서 가열된다. 예컨대, 기판은 실리사이드화 프로세스 동안 수소 가스를 함유하는 환경 또는 프로세스 구역 내에서 가열된다. 본원에서 기술되고 논의된 실리사이드화 프로세스는, 금속 실리사이드 층에 대한 응집을 감소시키거나 제거함으로써 열적 안정성을 제공하며, 그렇게 하지 않았다면, 막 불연속성 및 더 큰 저항률(Rc)을 야기했을 것이다. 본원에서 설명되고 논의된 실리사이드화 프로세스에 의해 생성된 금속 실리사이드 층들은 다른 프로세스들에 의해 형성된 금속 실리사이드들보다 낮은 전기 저항을 갖는다.
[0043] 전술한 바가 본 개시내용의 실시예들에 관련되어 있지만, 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서, 다른 및 추가적인 실시예들이 고안될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다. 임의의 우선권 문헌들 및/또는 테스팅 절차들을 이들이 본 문서와 불일치하지 않는 정도까지 포함하여, 본원에서 설명된 모든 문헌들이 인용에 의해 본원에 포함된다. 전술된 일반적인 설명 및 특정 실시예들로부터 자명한 바와 같이, 본 개시내용의 형태들이 예시 및 설명되었지만, 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서 다양한 수정들이 이루어질 수 있다. 따라서, 본 개시내용이 이에 의해 제한되는 것으로 의도되지 않는다. 마찬가지로, "포함하는"이라는 용어는 미국 법률의 목적들에 있어서 "구비하는"이라는 용어와 동의어로 간주된다. 마찬가지로, "포함하는"이라는 전이구(transitional phrase)가 콤포지션(composition), 엘리먼트, 또는 엘리먼트들의 그룹에 후행되는 경우마다, 콤포지션, 엘리먼트, 또는 엘리먼트들의 기재에 후행하는 "~를 필수적 요소로 하여 구성되는", "~로 구성되는", "~로 구성된 그룹으로부터 선택되는", 또는 "~인"이라는 전이구들을 갖는 콤포지션 또는 엘리먼트들의 그룹에 대해서도 동일하다고 고려되고, 그 반대도 마찬가지라고 고려되다는 것이 이해된다. 본원에서 사용되는 바와 같이, 용어 "약"은 공칭 값으로부터 +/- 10% 변동을 지칭한다. 이러한 변동은 본원에서 제공된 임의의 값에 포함될 수 있음을 이해되어야 한다.
[0044] 특정 실시예들 및 특징들은 수치 상한들의 세트 및 수치 하한들의 세트를 사용하여 설명되었다. 달리 표시되지 않는 한, 임의의 2개의 값들의 조합, 예컨대, 임의의 하한 값(lower value)과 임의의 상한 값(upper value)의 조합, 임의의 2개의 하한 값들의 조합, 및/또는 임의의 2개의 상한 값들의 조합을 포함하는 범위들이 고려된다는 것이 인식되어야 한다. 특정 하한들, 상한들, 및 범위들은 아래의 하나 이상의 청구항들에 나타난다.

Claims (20)

  1. 금속 실리사이드를 형성하는 방법으로서,
    세정 프로세스 동안 기판의 실리콘 표면을 드러내기 위해, 상기 기판으로부터 자연 산화물을 제거하는 단계;
    증착 프로세스 동안 상기 실리콘 표면 상에 금속성 층을 증착하는 단계; 및
    상기 금속성 층 및 상기 실리콘 표면으로부터 상기 기판 상에 금속 실리사이드 층을 생성하기 위해, 실리사이드화 프로세스 동안 수소 가스(H2)를 포함하는 프로세스 구역 내에 포함된 상기 기판을 가열하는 단계를 포함하는,
    금속 실리사이드를 형성하는 방법.
  2. 제1 항에 있어서,
    상기 세정 프로세스는 상기 자연 산화물 층을 세정 가스로부터 형성된 플라즈마에 노출시키는 단계를 포함하는,
    금속 실리사이드를 형성하는 방법.
  3. 제2 항에 있어서,
    상기 세정 가스는 질소 트리플루오라이드, 암모니아, 아르곤, 수소(H2), 또는 이들의 임의의 조합을 포함하는,
    금속 실리사이드를 형성하는 방법.
  4. 제1 항에 있어서,
    상기 금속성 층은 상기 증착 프로세스 동안 PVD(physical vapor deposition)에 의해 상기 실리콘 표면 상에 증착되는,
    금속 실리사이드를 형성하는 방법.
  5. 제4 항에 있어서,
    상기 기판은 상기 증착 프로세스 동안 약 23℃ 내지 약 450℃의 온도로 유지되는,
    금속 실리사이드를 형성하는 방법.
  6. 제1 항에 있어서,
    상기 금속성 층은 상기 증착 프로세스 동안 열 CVD(chemical vapor deposition) 프로세스, PE-CVD(plasma-enhanced CVD) 프로세스, 펄스-CVD 프로세스, 열 ALD(atomic layer deposition) 프로세스, PE-ALD(plasma-enhanced ALD) 프로세스 또는 이들의 임의의 조합에 의해 상기 기판 표면 상에 증착되는,
    금속 실리사이드를 형성하는 방법.
  7. 제6 항에 있어서,
    상기 기판은 상기 증착 프로세스 동안 약 23℃ 내지 약 600℃의 온도로 유지되는,
    금속 실리사이드를 형성하는 방법.
  8. 제1 항에 있어서,
    상기 금속성 층은 티타늄, 코발트, 니켈, 몰리브덴, 이들의 합금, 또는 이들의 임의의 조합을 포함하는,
    금속 실리사이드를 형성하는 방법.
  9. 제1 항에 있어서,
    상기 금속성 층은 약 10Å 내지 약 200Å의 두께를 갖는,
    금속 실리사이드를 형성하는 방법.
  10. 제1 항에 있어서,
    상기 실리사이드화 프로세스는 약 500℃ 내지 약 1,200℃의 온도로 약 5초 내지 약 120분 동안 상기 기판을 가열하는 것을 포함하는,
    금속 실리사이드를 형성하는 방법.
  11. 제1 항에 있어서,
    상기 실리사이드화 프로세스는 약 650℃ 내지 약 850℃의 온도로 약 10초 내지 약 5분 동안 상기 기판을 가열하는 것을 포함하는,
    금속 실리사이드를 형성하는 방법.
  12. 제1 항에 있어서,
    상기 프로세스 구역은 상기 실리사이드화 프로세스 동안 프로세싱 챔버 내에서 약 10mTorr 내지 약 760Torr의 압력으로 유지되는,
    금속 실리사이드를 형성하는 방법.
  13. 제1 항에 있어서,
    상기 프로세스 구역은 상기 실리사이드화 프로세스 동안 프로세싱 챔버 내에서 약 250mTorr 내지 760Torr 미만의 압력으로 유지되는,
    금속 실리사이드를 형성하는 방법.
  14. 제1 항에 있어서,
    상기 금속 실리사이드 층은 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 몰리브덴 실리사이드, 이들의 합금들, 또는 이들의 임의의 조합을 포함하는,
    금속 실리사이드를 형성하는 방법.
  15. 제1 항에 있어서,
    상기 금속 실리사이드 층은 약 10Å 내지 약 500Å의 두께를 갖는,
    금속 실리사이드를 형성하는 방법.
  16. 제1 항에 있어서,
    상기 금속 실리사이드 층은 50Ω/sq(square) 미만의 전기 저항을 갖는,
    금속 실리사이드를 형성하는 방법.
  17. 제16 항에 있어서,
    상기 금속 실리사이드 층은 약 4Ω/sq 내지 약 35Ω/sq의 전기 저항을 갖는,
    금속 실리사이드를 형성하는 방법.
  18. 제1 항에 있어서,
    상기 세정 프로세스는 제1 프로세싱 챔버에서 수행되고, 상기 증착 프로세스는 제2 프로세싱 챔버에서 수행되고, 상기 실리사이드화 프로세스는 제3 프로세싱 챔버에서 수행되고, 상기 제1 프로세싱 챔버, 상기 제2 프로세싱 챔버 및 상기 제3 프로세싱 챔버 각각은 프로세싱 시스템 내의 이송 챔버에 유체 결합되는,
    금속 실리사이드를 형성하는 방법.
  19. 금속 실리사이드를 형성하는 방법으로서,
    세정 프로세스 동안 기판의 실리콘 표면을 드러내기 위해, 상기 기판으로부터 자연 산화물을 제거하는 단계;
    증착 프로세스 동안 상기 실리콘 표면 상에 티타늄을 포함하는 금속성 층을 증착하는 단계; 및
    상기 금속성 층 및 상기 실리콘 표면으로부터 상기 기판 상에 티타늄을 포함하는 금속 실리사이드 층을 생성하기 위해, 실리사이드화 프로세스 동안 수소 가스(H2)를 포함하는 프로세스 구역 내에 포함된 상기 기판을 가열하는 단계를 포함하고, 상기 금속 실리사이드 층은 50Ω/sq 미만의 전기 저항을 갖는,
    금속 실리사이드를 형성하는 방법.
  20. 금속 실리사이드를 형성하는 방법으로서,
    기판 상에 배치된 자연 산화물을 제거하고 상기 기판의 실리콘 표면을 드러내기 위해, 상기 기판을 플라즈마에 노출시키는 단계;
    PVD(physical vapor deposition) 프로세스 동안 상기 실리콘 표면 상에 티타늄을 포함하는 금속성 층을 증착하는 단계 ― 상기 기판은 상기 PVD 프로세스 동안 약 23℃ 내지 약 450℃의 온도로 유지됨 ―; 및
    상기 금속성 층 및 상기 실리콘 표면으로부터 상기 기판 상에 티타늄을 포함하는 금속 실리사이드 층을 생성하기 위해 상기 기판을 실리사이드화 프로세스에 노출시키는 단계를 포함하고,
    상기 실리사이드화 프로세스는 수소 가스(H2)를 포함하는 프로세스 구역 내에서 상기 기판을 약 500℃ 내지 약 1,100℃의 온도로 가열하는 것을 포함하고, 상기 금속 실리사이드 층은 약 4Ω/sq 내지 약 35Ω/sq의 전기 저항을 갖는,
    금속 실리사이드를 형성하는 방법.
KR1020237045253A 2021-10-13 2022-06-23 금속 실리사이드들을 준비하기 위한 방법 KR20240014523A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/500,749 2021-10-13
US17/500,749 US20230115130A1 (en) 2021-10-13 2021-10-13 Methods for preparing metal silicides
PCT/US2022/034786 WO2023064011A1 (en) 2021-10-13 2022-06-23 Methods for preparing metal silicides

Publications (1)

Publication Number Publication Date
KR20240014523A true KR20240014523A (ko) 2024-02-01

Family

ID=85798237

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237045253A KR20240014523A (ko) 2021-10-13 2022-06-23 금속 실리사이드들을 준비하기 위한 방법

Country Status (5)

Country Link
US (1) US20230115130A1 (ko)
KR (1) KR20240014523A (ko)
CN (1) CN117480587A (ko)
TW (1) TW202316524A (ko)
WO (1) WO2023064011A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1126397A (ja) * 1997-07-01 1999-01-29 Sony Corp 半導体装置の製造方法
JP2002093739A (ja) * 2000-09-07 2002-03-29 Macronix Internatl Co Ltd 自己整合シリサイド膜の形成方法
JP2011066060A (ja) * 2009-09-15 2011-03-31 Tokyo Electron Ltd 金属シリサイド膜の形成方法
US8785310B2 (en) * 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
US20140065819A1 (en) * 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation

Also Published As

Publication number Publication date
WO2023064011A1 (en) 2023-04-20
TW202316524A (zh) 2023-04-16
CN117480587A (zh) 2024-01-30
US20230115130A1 (en) 2023-04-13

Similar Documents

Publication Publication Date Title
US6013575A (en) Method of selectively depositing a metal film
US20200402792A1 (en) Wafer treatment for achieving defect-free self-assembled monolayers
US6029680A (en) Method for in situ removal of particulate residues resulting from cleaning treatments
IL152376A (en) Method for applying a metal layer and a group device for application that includes a component for drying / cleaning
TWI730990B (zh) 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
US20180144973A1 (en) Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TW202101547A (zh) 用於形成金屬間隙填充物之方法
JP2022180423A (ja) タングステン用モリブデンテンプレート
US6855632B2 (en) Cu film deposition equipment of semiconductor device
US20070087573A1 (en) Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US6254739B1 (en) Pre-treatment for salicide process
KR100807066B1 (ko) 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법
JP2012212899A (ja) Cu膜の形成方法
US7781337B2 (en) Forming method of silicide film
KR20240014523A (ko) 금속 실리사이드들을 준비하기 위한 방법
KR100504269B1 (ko) WNx 증착을 위한 개선된 PECVD 및 CVD 공정
JP2024508912A (ja) サブトラクティブ金属及びサブトラクティブ金属半導体
CN113257663A (zh) 一种钴硅化物膜层的形成方法
CN114981952A (zh) 用于选择性金属间隙填充的多步骤预清洁
US20210327717A1 (en) Methods and Apparatus for Integrated Cobalt Disilicide Formation
US20040222083A1 (en) Pre-treatment for salicide process
TW202334482A (zh) 用以形成金屬氮化矽膜的nh自由基熱氮化
WO2023229612A1 (en) Selective metal removal with flowable polymer
TW202407133A (zh) 整合的清潔及選擇性鉬沉積製程
TW202305985A (zh) 用於清洗預清洗處理腔室的系統和方法