KR20230132571A - 고 처리율 침착 방법 - Google Patents

고 처리율 침착 방법 Download PDF

Info

Publication number
KR20230132571A
KR20230132571A KR1020237028416A KR20237028416A KR20230132571A KR 20230132571 A KR20230132571 A KR 20230132571A KR 1020237028416 A KR1020237028416 A KR 1020237028416A KR 20237028416 A KR20237028416 A KR 20237028416A KR 20230132571 A KR20230132571 A KR 20230132571A
Authority
KR
South Korea
Prior art keywords
hydrogen
alkyl
plasma
independently selected
reactant
Prior art date
Application number
KR1020237028416A
Other languages
English (en)
Inventor
필립 에스에이치 천
에릭 콘도
데이비드 카이퍼
토마스 에이치 바움
수잔 브이 디메오
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20230132571A publication Critical patent/KR20230132571A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 에칭 내성 SiOCN 막을 침착시키는 PEALD 방법을 제공한다. 이러한 막은 개선된 성장 속도, 개선된 단차 피복성, 및 습식 에천트 및 O2 공-반응물을 포함하는 침착-후 플라즈마 처리에 대한 탁월한 에칭 내성을 제공한다. 한 실시양태에서, 이러한 PEALD 방법은 에칭-내성 SiOCN 박막을 침착시키기 위해, 수소 플라즈마와 함께, 단일 전구체 - 비스(디알킬아미노)테트라알킬디실록산에 의존한다. 막은 단일 전구체를 사용하여 침착될 수 있기 때문에, 전체 방법은 개선된 처리율을 나타낸다.

Description

고 처리율 침착 방법
일반적으로, 본 발명은 마이크로전자 장치 표면 상에 규소 옥시카본니트라이드 (SiOCN) 박막을 침착시키기 위한 재료 및 방법에 관한 것이다. 이러한 막은 탁월한 습식 및 건식 에칭 내성 및 애싱(ashing) 내성을 갖는 저 유전 상수 절연체로서의 역할을 한다.
질화규소 (SiN)는 그의 우수한 습식 에칭 및 산소 (O2) 애싱 내성으로 인해 핀 전계-효과 트랜지스터(fin field-effect transistor: FinFET) 및 게이트-올-어라운드(gate-all-around: GAA) 구조를 위한 소스 및 드레인 스페이서 (S/D 스페이서)에 사용되어 왔다. 유감스럽게도, SiN은 약 7.5의 높은 유전 상수 (k)를 갖는다. 유전 상수를 감소시키고 탁월한 에칭 및 애싱 내성을 유지하기 위해, 탄소 및 질소로 도핑된 이산화규소 (SiO2) SiOCN 스페이서가 개발되었다. 현재, 가장 우수한 에칭 및 애싱 내성 SiOCN 유전체는 약 4.0의 k 값을 갖는다. < 3.5의 k 값을 갖는 에칭 및 애싱 내성 유전체가 차세대 장치에 요구된다.
추가적으로, 마이크로전자 장치의 제조에 있어서, 특히 SiOCN 막의 형성에 이용되는 저온 증기 침착 기술을 이용하는 공정에 있어서, 규소-함유 막의 형성을 위한 개선된 유기규소 전구체가 여전히 요구된다. 특히, 우수한 열 안정성, 강한 휘발성, 및 기판 표면과의 반응성을 갖는 액체 규소 전구체가 요구된다.
장치 성능을 증진하려면, 트랜지스터 및 상호연결 회로 둘 다를 분리하는 능력을 향상시키기 위해 새로운 재료가 필요하다. 이러한 막은 종종 저 유전 상수 특성 (즉, < 4)을 필요로 하면서도, 습식-에칭 및 건식-에칭 내성을 포함하여, 장치 제작 동안 후속 처리 단계를 견뎌 낸다. 추가로, 침착된 절연체는 침착-후 처리에 노출될 때 변하지 않아야 한다. 이러한 막이 전공정 동안에 침착될 때, 막은 FinFET 장치에서 볼 수 있는 것과 같이 3D 구조를 컨포멀 코팅해야 하면서도 전체 구조에 걸쳐 균일한 유전 특성을 나타내어야 한다. 막이 장치에 남아 있기 때문에, 침착-후 처리에 의해 전기적 성능이 변하지 않는다. 플라즈마-기반 침착 공정은 종종 불균일한 전기적 특성을 갖는 막이 생성되게 하며, 여기서 막의 상단은 강화 플라즈마 충격에 의해 변형된다. 이와 동시에, 동일한 막으로 코팅된 3D 구조의 측벽은 침착 동안 감소된 전자 충격의 결과로 상이한 특성을 나타낼 수 있다. 그럼에도 불구하고, 막은 산화 또는 환원 환경에서 습식-에칭 및/또는 플라즈마-후 처리를 견뎌 내어야 한다.
발명의 요약
본 발명은 에칭 내성 SiOCN 막을 침착시키기 위한 플라즈마 강화 원자층 침착 (PEALD) 방법을 제공한다. 이러한 막은 개선된 성장 속도, 개선된 단차 피복성(step coverage), 및 습식 에천트 및 O2 공-반응물을 포함하는 침착-후 플라즈마 처리에 대한 개선된 에칭 내성을 제공한다. 이러한 PEALD 방법은 에칭-내성 SiOCN 박막을 침착시키기 위해, 수소 플라즈마와 함께, 단일 전구체, 예를 들어 비스(디알킬아미노)테트라알킬디실록산에 의존한다. 막은 단일 전구체를 사용하여 침착될 수 있기 때문에, 전체 방법은 개선된 처리율을 나타낸다. 막은, 침착 후에, 뿐만 아니라 침착-후 플라즈마 처리(들) 후에, 묽은 수성 플루오린화수소산 (HF) 용액을 사용한 습식 에칭에 대한 내성을 나타낸다. 따라서, 이러한 막은 장치 제조 및 구축 동안 이용되는 침착-후 제작 단계에 대해 탁월한 안정성을 나타낼 것으로 기대된다 (도 2 및 3을 참조).
첫 번째 측면에서, 본 발명은 마이크로전자 장치 표면 상에 SiOCN 막을 증기 침착시키기 위한 방법을 제공하며, 상기 방법은
a. 하기 화학식의 적어도 하나의 화합물
Figure pct00001
;
(여기서 각각의 R1은 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, 각각의 R2는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고; 각각의 R3은 수소 및 C1-C4 알킬로부터 선택되고, 단 R3이 수소일 때, R1은 C1-C4 알킬임); 및
b. 플라즈마 형태의 환원 가스 또는 산화 가스
로부터 선택된 반응물을 상기 반응 대역에 도입시키는 것을 포함하고, 여기서 막을 다음 반응물에 노출시키기 전에 각각의 반응물을 퍼징한다.
첨부된 도면과 관련하여 다양한 예시적인 실시양태의 하기 설명을 고려하면 본 개시내용을 더 완전하게 이해할 수 있다.
도 1은 옹스트롬 단위의 SiOCN 두께 대 PEALD 사이클 수의 플롯이다. 이러한 데이터는 265℃의 원자층 침착 (ALD) 조건, 규소 전구체의 2초 펄스, 뒤이어 250 와트에서 수소 플라즈마의 5초 펄스를 사용하여 비스(디에틸아미노)테트라메틸디실록산을 사용하여 생성되었다. 상기 공정은 사이클당 약 0.2 Å의 막 형성을 초래하였다.
도 2는 50:1 묽은 플루오린화수소산 (DHF)에서 분당 0.1 Å 미만의 습식 에칭 내성 (WER)을 보여주는, 산화물 두께 대 에칭 시간의 플롯이다. 본 발명의 SiOCN 막은 열 산화물과 비교되어 있다.
도 3은 침착된 본 발명의 SiOCN 막 대 100 내지 400 와트의 범위의 애싱 플라즈마 전력에 노출 후의 에칭 깊이를 비교하는 에칭 깊이 차의 플롯이다. 이러한 데이터는 100 와트에서 분당 약 7 Å의 애싱 깊이를 보여준다. 이러한 데이터는 SiN에 필적할 만한 애싱 내성을 보여준다.
도 4는 다양한 막 깊이에서 실시예 1의 SiOCN 막에 대한 구성 원자의 원자 백분율의 XPS 플롯이다. 막의 대부분에서, 조성은 하기와 같다: 16.6 원자 백분율의 탄소, 19.3 원자 백분율의 질소, 24.7 원자 백분율의 산소, 및 39.4 원자 백분율의 규소.
본 명세서 및 첨부된 청구범위에 사용되는 바와 같이, 단수 형태는, 내용상 달리 명확하게 기술되어 있지 않는 한, 복수의 지시대상을 포함한다. 본 명세서 및 첨부된 청구범위에서 사용되는 바와 같이, 용어 "또는"은 일반적으로, 내용상 달리 명확하게 기술되어 있지 않는 한, "및/또는"을 포함하는 의미로 사용된다.
용어 "약"은 일반적으로 언급된 값과 동등한 것으로 간주되는 (예를 들어, 동일한 기능 또는 결과를 갖는) 숫자 범위를 의미한다. 많은 경우에, 용어 "약"은 가장 가까운 유효 숫자로 반올림된 숫자를 포함할 수 있다.
끝점을 사용하여 표현된 숫자 범위는 해당 범위 내에 포함된 모든 숫자를 포함한다 (예를 들어, 1 내지 5는 1, 1.5, 2, 2.75, 3, 3.80, 4 및 5를 포함함).
첫 번째 측면에서, 본 발명은 SiOCN 막을 반응 대역에서 마이크로전자 장치 표면 상에 증기 침착시키기 위한 방법을 제공하며, 상기 방법은
a. 하기 화학식의 적어도 하나의 화합물
Figure pct00002
;
(여기서 각각의 R1은 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, 각각의 R2는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고; 각각의 R3은 수소 및 C1-C4 알킬로부터 선택되고, 단 R3이 수소일 때, R1은 C1-C4 알킬임); 및
b. 플라즈마 형태의 환원 가스 또는 산화 가스
로부터 선택된 반응물을 상기 반응 대역에 도입시키는 것을 포함하고, 여기서 막을 다음 반응물에 노출시키기 전에 각각의 반응물을 퍼징한다.
상기 공정 단계에서, a. 및 b.는 하나의 사이클을 포함하는 펄스 시퀀스를 나타내고; 침착된 막이 목적하는 두께에 도달할 때까지 이러한 사이클을 반복할 수 있다.
이러한 방법에서, 화학식 (I)의 화합물은, R1이 수소, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, 및 t-부틸로부터 선택되고, R2가 수소, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, 및 t-부틸로부터 선택되고, R3이 수소, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, 및 t-부틸로부터 선택된 그러한 화합물을 포함한다. 이러한 방법에서, R3이 수소일 때, R1은 C1-C4 알킬이다. 한 실시양태에서, 각각의 R1 및 각각의 R3은 에틸이고 각각의 R2는 메틸이며, 즉 화합물은 하기 화학식의 화합물이다:
Figure pct00003
.
본원에서 사용되는 바와 같이, 용어 "SiOCN" 막은 다양한 구성비율의 규소, 산소, 탄소, 및 질소를 함유하는 막을 의미한다. 한 실시양태에서, 본 발명은 약
(i) 30 내지 50 원자 백분율의 규소;
(ii) 5 내지 30 원자 백분율의 질소;
(iii) 2 내지 25 원자 백분율의 탄소; 및
(iv) 20 내지 40 원자 백분율의 산소
를 갖는 막을 제공한다.
또 다른 실시양태에서, 본 발명은 약
(i) 25 내지 45 원자 백분율의 규소;
(ii) 10 내지 25 원자 백분율의 질소;
(iii) 5 내지 20 원자 백분율의 탄소; 및
(iv) 25 내지 35 원자 백분율의 산소
를 갖는 막을 제공한다.
특정 실시양태에서, 본 발명의 SiOCN 막은 약 15 내지 약 20 원자 백분율의 질소를 갖고, 다른 실시양태에서는 약 8 내지 약 18 원자 백분율의 탄소를 갖는다.
일반적으로, 화학식 (I)의 화합물은 상응하는 할로디실록산을 1급 또는 2급 아민으로 처리함으로써 제조될 수 있다.
상기 화합물은 임의의 적합한 ALD 기술 및 펄스 플라즈마 공정을 통해 고-순도 규소-함유 막을 형성하는 데에 사용될 수 있다. 이러한 증기 침착 공정은 약 200 내지 약 550℃의 침착 온도를 이용하여 약 20 옹스트롬 내지 약 200 옹스트롬의 두께를 갖는 막을 형성함으로써 마이크로전자 장치 상에 규소-함유 막을 형성하는 데에 이용될 수 있다.
본 발명의 방법에서, 화학식 (I)의 화합물은 임의의 적합한 방식으로, 예를 들어, 단일 웨이퍼 챔버, 또는 다수의 웨이퍼를 포함하는 퍼니스에서, 목적하는 마이크로전자 장치 기판과 반응할 수 있다.
대안적으로, 본 발명의 방법은 ALD-유사 공정으로서 수행될 수 있다. 본원에서 사용되는 바와 같이, 용어 "ALD 또는 ALD-유사"는, 각각의 반응물을 단일 웨이퍼 ALD 반응기, 세미-배치 ALD 반응기 또는 배치 퍼니스 ALD 반응기와 같은 반응기에 순차적으로 도입시키거나, 공간적 ALD 반응기 또는 롤-투롤 ALD 반응기에서와 같이, 기판을 반응기의 다양한 구획으로 이동시키거나 회전시킴으로써 각각의 반응물을 기판 또는 마이크로전자 장치 표면에 노출시키고, 각각의 구획이 불활성 가스 커튼에 의해 분리된 것인 공정을 의미한다.
한 실시양태에서, 본 발명은 플라즈마 형태의 환원 가스와 함께 화학식 (I)의 화합물을 사용하여 SiOCN 막을 침착시키기 위한 PEALD에 관한 것이다. 질소 플라즈마는 본원에 교시된 바와 같이 화학식 (I)의 화합물 및 플라즈마 형태의 환원 가스를 이용하여 더 높은 질소 원자 백분율을 갖는 막을 형성하는 데에 유용할 수 있다. 따라서, 또 다른 측면에서, 본 발명은 SiOCN 막을 반응 대역에서 마이크로전자 장치 표면 상에 증기 침착시키기 위한 방법을 제공하며, 상기 방법은
a. 하기 화학식의 적어도 하나의 화합물
Figure pct00004
;
(여기서 각각의 R1은 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, 각각의 R2는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고; 각각의 R3은 수소 및 C1-C4 알킬로부터 선택되고, 단 R3이 수소일 때, R1은 C1-C4 알킬임); 및
b. 플라즈마 형태의 환원 가스
로부터 선택된 반응물을 상기 반응 대역에 순차적으로 도입시키는 것을 포함하고, 여기서 막을 다음 반응물에 노출시키기 전에 각각의 반응물을 퍼징한다.
본원에서 사용되는 바와 같이, 용어 "플라즈마 형태의 환원 가스"는, 플라즈마 형태의 환원 가스가, 단독의 또는 H2와 조합된 불활성 가스, 예컨대 N2, 헬륨 또는 아르곤으로부터 형성된 플라즈마와의 조합으로서 이용되는, 수소 (H2), 히드라진 (N2H4); C1-C4 알킬 히드라진, 예컨대 메틸 히드라진, t-부틸 히드라진, 1,1-디메틸히드라진, 및 1,2-디메틸히드라진으로부터 선택된 가스로 구성됨을 의미한다. 예를 들어, 무선 주파수 필드 (Rf)가 개시되는 동안 아르곤과 같은 불활성 가스의 연속적인 유동이 이용되며, 뒤이어 수소가 개시되어 플라즈마 H2를 제공한다. 전형적으로, 이용되는 플라즈마 전력은 13.6 MHz에서 약 50 내지 500 와트의 범위이다.
유사하게, 막의 산소 함량을 증가시키고 탄소 함량을 낮추기 위해, 산화 가스가 막 침착의 다양한 사이클에서 이용될 수 있다. 적합한 산화 가스는 O2, O2 플라즈마, 오존 (O3), 물 (H2O), 및 아산화질소 (N2O)를 포함한다. 산화 가스 펄스를 이용하는 실시양태가 시퀀스(들)로 사용될 수 있고, 이때 환원 가스가 다른 펄스 시퀀스로 사용될 수 있다.
특정 실시양태에서, 상기에 기재된 반응물 (즉, 화학식 (I)의 화합물(들) 및 플라즈마 형태의 환원 가스)을 위한 펄스 시간 (즉, 기판에 대한 노출 지속 시간)은 약 1 내지 10 초의 범위이다. 퍼징 단계가 이용될 때, 지속 시간은 약 1 내지 10 초 또는 2 내지 5 초이다. 다른 실시양태에서, 각각의 반응물을 위한 펄스 시간은 약 2 내지 약 5 초의 범위이다.
본원에 개시된 방법은 하나 이상의 퍼징 가스를 필요로 한다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징하여 없애는 데에 사용되는 퍼징 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼징 가스는 아르곤, 질소, 헬륨, 네온, 수소, 및 그의 혼합물을 포함하지만 이로 제한되지 않는다. 특정 실시양태에서, Ar과 같은 퍼징 가스는 약 10 내지 약 2000 sccm의 범위의 유량으로 약 0.1 내지 1000 초 동안 반응기에 공급됨으로써, 반응기에 남아 있을 수 있는 미반응 재료 및 임의의 부산물을 퍼징한다.
화학식 (I)의 화합물(들), 플라즈마 형태의 환원 가스, 및/또는 다른 전구체, 소스 가스, 및/또는 시약을 공급하는 각각의 단계는 이들을 공급하는 순서를 변경하고/하거나 생성된 유전 막의 화학량론적 조성을 변경함으로써 수행될 수 있다.
본 발명의 방법에서, 반응을 유도하고 SiOCN 막을 마이크로전자 장치 기판 상에 형성하기 위해, 에너지가 다양한 반응물에 가해진다. 이러한 에너지는 열, 펄스 열, 플라즈마, 펄스 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, 원격 플라즈마 공정, 및 그의 조합에 의해 제공될 수 있지만 이로 제한되지 않는다. 특정 실시양태에서, 기판 표면에서 플라즈마 특성을 개질하기 위해 2차 RF 주파수 소스가 사용될 수 있다. 침착이 플라즈마를 필요로 하는 실시양태에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마-생성 공정, 또는 대안적으로 플라즈마가 반응 대역 및 반응기에 공급되는 기판으로부터 "원격으로" 생성되는 원격 플라즈마-생성 공정을 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "마이크로전자 장치"는, 마이크로전자 장치, 집적 회로, 또는 컴퓨터 칩 응용품에서 사용하도록 제조된, 메모리 셀이 다층 (3D NAND) 구조로 수직으로 적층된 일종의 비-휘발성 플래시 메모리, 평판 디스플레이, 및 마이크로전자기계 시스템 (MEMS)을 포함하는 반도체 기판에 상응한다. 용어 "마이크로전자 장치"는 어떤 식으로든 제한하려는 것이 아니며, 네거티브 채널 금속 산화물 반도체 (nMOS) 및/또는 포지티브 채널 금속 산화물 반도체 (pMOS) 트랜지스터를 포함하고 궁극적으로 마이크로전자 장치 또는 마이크로전자 어셈블리가 될 임의의 기판을 포함하는 것으로 이해되어야 한다. 이러한 마이크로전자 장치는 예를 들어 규소, SiO2, Si3N4, OSG, FSG, 탄화규소, 수소화 탄화규소, 질화규소, 수소화 질화규소, 탄질화규소, 수소화 탄질화규소, 질화붕소, 반사 방지 코팅, 포토레지스트, 게르마늄, 게르마늄-함유, 붕소-함유, Ga/As, 가요성 기판, 다공성 무기 재료, 금속, 예컨대 구리 및 알루미늄, 및 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN과 같지만 이로 제한되지 않는 확산 방지 층으로부터 선택될 수 있는 적어도 하나의 기판을 포함한다. 막은 다양한 후속 처리 단계, 예컨대, 예를 들어 화학 기계적 평탄화 (CMP) 및 이방성 에칭 공정과 양립할 수 있다.
이러한 막은 습식 에천트 및 O2 플라즈마에 대해 열등한 에칭 내성을 제공한다. O2 플라즈마 애싱 공정은 340℃ 및 3 Torr 압력에서 1 분 동안 500 sccm O2 유량 및 100, 250 및 400 W의 플라즈마 전력을 사용하여 수행되었다. 이와 관련하여, 도 3을 참조하면, 본 발명은 또 다른 측면에서 250 와트에서 60 초 동안 산소 플라즈마에 노출되었을 때 질화규소 기준 샘플에 대해 단지 약 2.5 옹스트롬의 애싱 손상 차를 나타내는 SiOCN 막을 제공한다.
상기에 적혀 있는 바와 같이, 특정 실시양태에서, 본 발명의 SiOCN 막은 약 15 내지 약 25 원자 백분율의 질소 및 약 16 원자 백분율의 탄소를 갖는다. 본 발명의 방법을 이용하면 약 5 미만의 유전 상수 (k)를 갖는 이러한 SiOCN 막이 제조될 수 있다.
일반적으로, 그렇게 제조된 SiOCN 막의 목적하는 두께는 약 20 Å 내지 약 200 Å이다.
화학식 (I) 전구체들 사이의 상호작용 및 H2 플라즈마와의 후속 반응을 통해, 저-k SiCO 막을 질소로 도핑하면, 생성된 SiOCN 막의 습식 에칭 및 O2 플라즈마 애싱 내성이 극적으로 개선된다.
본 발명의 방법에서, 화학식 (I) 전구체의 전달 속도는 PEALD 사이클당 약 10 내지 50 mg일 수 있다.
또 다른 측면에서, 본 발명은 하기 화학식의 화합물을 제공한다:
Figure pct00005
;
여기서 각각의 R1은 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, 각각의 R2는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고; 각각의 R3은 수소 및 C1-C4 알킬로부터 선택되고, 단 R3이 수소일 때, R1은 C1-C4 알킬이다.
이러한 화합물은 규소-함유 막의 침착에 있어서 전구체로서 유용하다. 한 실시양태에서, 각각의 R1은 에틸이고, 각각의 R2는 메틸이고, 각각의 R3은 에틸이다. 또 다른 실시양태에서, 각각의 R1은 이소프로필이고, 각각의 R3은 수소이고, 각각의 R2는 메틸이다.
본 발명은 그의 특정 실시양태의 하기 실시예에 의해 추가로 예시될 수 있지만, 이러한 실시예는 단지 예시를 목적으로 포함되며 달리 구체적으로 서술되지 않는 한 본 발명의 범위를 제한하려는 의도가 없음을 이해할 것이다.
실시예 1 - 비스(디에틸아미노)테트라메틸디실록산을 유일한 전구체로서 사용하는 침착
PEALD SiCON 침착을 300℃의 서셉터 온도, 170℃의 샤워헤드 온도, 3 Torr의 챔버 압력, 및 500 sccm의 주위 불활성 가스 유량을 사용하는 PEALD 시스템을 사용하여 수행하였다. 침착 동안 쿠폰 온도는 대략 265℃였다.
샤워헤드와 서셉터/웨이퍼 사이에 플라즈마를 생성하는 직접 플라즈마 시스템을 사용하여 H2 플라즈마를 생성하였다. 플라즈마 전력을 250 W로 고정하였고 플라즈마 펄스 시간을 5 초로 고정하였다.
SiOCN의 PEALD에 대한 펄싱 방식은 하기로 이루어졌다:
1. 2초 동안 전구체 펄스 [비스(디에틸아미노)테트라메틸디실록산]
2. 5초 동안 불활성 가스 퍼징
3. 5초 동안 H2 플라즈마 펄스
4. 5초 동안 불활성 가스 퍼징
실시예 2 - 1,3-비스(디에틸아미도)테트라메틸디실록산의 합성
기계식 교반기, 열전대, 가스/진공 주입구 어댑터, 및 튜브 주입구를 갖는 콘덴서가 장착된 4-목 5 L 둥근 바닥 플라스크에 디에틸아민 400 mL (3.87 mol, 4.4 eq) 및 무수 디에틸 에테르 3 L를 첨가하였다. 가스/진공 주입구 밸브를 갖는 1 L 플라스크에 무수 헥산 600 mL에 용해된 1,3-디클로로테트라메틸디실록산 173 mL (0.885 몰, 1.0 eq)를 채웠다. 두 플라스크를 염수조에서 약 -5℃로 냉각하고, 이어서 PTFE 튜브에 연결하였다. 내부 온도가 0℃ 미만으로 유지되도록 1,3-디클로로테트라메틸디실록산 용액을 교반된 아민 용액에 조금씩 첨가하였다. 첨가가 완료되었을 때, 반응 혼합물을 천천히 주위 온도로 가온하고 48 시간 동안 교반하였다. 다량의 디에틸아민 히드로클로라이드 염을 함유하는 반응 혼합물을 불활성 분위기 하에서 5 L 플라스크에 여과해 넣고 염을 2 x 1.5 L 분취량의 무수 디에틸 에테르로 세척하였다. 진공 상태에서 여과액으로부터 용매를 제거하고, 생성된 투명한 황색 오일 (230.7 g)을 단형 증류 헤드에서 100 mtorr 압력에서 증류하여 생성물 156.5 g (수율 64%, 순도 > 98%)을 얻었다. 1H NMR (d 6 -벤젠): d 2.85 (q, 2H), 1.09 (t, 3H), 0.19 (s, 2H). 13C NMR (d 6 -벤젠): d 40.5, 16.7, 0.7. 29Si NMR (d 6 -벤젠) -13.4.
실시예 3 - 1,3-비스(이소프로필아미도)테트라메틸디실록산의 합성
기계식 교반기, 열전대, 가스/진공 주입구 어댑터, 및 튜브 주입구를 갖는 콘덴서가 장착된 4-목 5 L 둥근 바닥 플라스크에 이소프로필아민 (4.4 eq) 및 무수 디에틸 에테르 3 L를 첨가하였다. 가스/진공 주입구 밸브를 갖는 1 L 플라스크에 무수 헥산 600 mL에 용해된 1,3-디클로로테트라메틸디실록산 173 mL (0.885 몰, 1.0 eq)를 채웠다. 두 플라스크를 염수조에서 약 -5℃로 냉각하고, 이어서 PTFE 튜브에 연결하였다. 내부 온도가 0℃ 미만으로 유지되도록 1,3-디클로로테트라메틸디실록산 용액을 교반된 아민 용액에 조금씩 첨가하였다. 첨가가 완료되었을 때, 반응 혼합물을 천천히 주위 온도로 가온하고 48시간 동안 교반하였다. 다량의 이소프로필아민 히드로클로라이드 염을 함유하는 반응 혼합물을 불활성 분위기 하에서 5 L 플라스크에 여과해 넣고 염을 2 x 1.5 L 분취량의 무수 디에틸 에테르로 세척하였다. 진공 상태에서 여과액으로부터 용매를 제거하고, 생성된 투명한 황색 오일을 수득하였다. 이러한 오일을 후속 진공 증류를 통해 정제하였다.
본 개시내용의 몇몇 예시적인 실시양태가 설명되었지만, 관련 기술분야의 통상의 기술자라면 본원에 첨부된 청구범위 내에서 또 다른 실시양태가 만들어지고 사용될 수 있음을 쉽게 이해할 것이다. 본 문서에서 다루어진 개시내용의 많은 이점은 전술된 설명에 제시되어 있다. 그러나, 이러한 개시내용은 많은 점에서 단지 예시적인 것임을 이해할 것이다. 물론 본 개시내용의 범위는 첨부된 청구범위를 표현하는 데 사용된 용어에 의해 정의된다.

Claims (17)

  1. 마이크로전자 장치 표면 상에 규소 옥시카본니트라이드 막을 증기 침착시키기 위한 방법이며, 상기 방법은
    a. 하기 화학식의 적어도 하나의 화합물
    Figure pct00006
    ;
    (여기서 각각의 R1은 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, 각각의 R2는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고; 각각의 R3은 수소 및 C1-C4 알킬로부터 선택되고, 단 R3이 수소일 때, R1은 C1-C4 알킬임); 및
    b. 플라즈마 형태의 환원 가스 또는 산화 가스
    로부터 선택된 반응물을 반응 대역에 도입시키는 것을 포함하고, 여기서 막을 다음 반응물에 노출시키기 전에 각각의 반응물을 퍼징하는 것인 방법.
  2. 제1항에 있어서, 각각의 R1이 에틸인 방법.
  3. 제1항에 있어서, 각각의 R2가 메틸인 방법.
  4. 제1항에 있어서, 환원 가스가 수소, 히드라진; 메틸 히드라진, t-부틸 히드라진, 1,1-디메틸히드라진, 및 1,2-디메틸히드라진으로부터 선택된 것인 방법.
  5. 제4항에 있어서, 환원 가스가 수소인 방법.
  6. 제1항에 있어서, 산화 가스가 산소, 산소 플라즈마, 오존, 물, 및 아산화질소로부터 선택된 것인 방법.
  7. 제1항에 있어서, 목적하는 두께의 막이 수득될 때까지 a. 및 b.를 반복하는 것을 추가로 포함하는 방법.
  8. 마이크로전자 장치 표면 상에 규소 옥시카본니트라이드 막을 증기 침착시키기 위한 방법이며, 상기 방법은
    a. 하기 화학식의 적어도 하나의 화합물
    Figure pct00007
    ;
    (여기서 각각의 R1은 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, 각각의 R2는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고; 각각의 R3은 수소 및 C1-C4 알킬로부터 선택되고, 단 R3이 수소일 때, R1은 C1-C4 알킬임); 및
    b. 플라즈마 형태의 환원 가스
    로부터 선택된 반응물을 반응 대역에 도입시키는 것을 포함하고, 여기서 막을 다음 반응물에 노출시키기 전에 각각의 반응물을 퍼징하는 것인 방법.
  9. 제8항에 있어서, 각각의 R1이 에틸인 방법.
  10. 제8항에 있어서, 각각의 R2가 메틸인 방법.
  11. 제7항에 있어서, 환원 가스가 수소, 히드라진; 메틸 히드라진, t-부틸 히드라진, 1,1-디메틸히드라진, 및 1,2-디메틸히드라진으로부터 선택된 것인 방법.
  12. 제11항에 있어서, 환원 가스가 수소인 방법.
  13. 제11항에 있어서, 목적하는 두께의 막이 수득될 때까지 a. 및 b.를 반복하는 것을 추가로 포함하는 방법.
  14. 제13항에 있어서, 그렇게 형성된 규소 옥시카본니트라이드 막이 250 와트에서 60초 동안 산소 플라즈마에 노출될 때 질화규소 기준 샘플에 대해 약 2.5 옹스트롬만큼 작은 애싱 손상 차를 나타내는 것인 방법.
  15. 하기 화학식의 화합물:
    Figure pct00008
    ;
    여기서 각각의 R1은 수소 및 C1-C4 알킬로부터 독립적으로 선택되고, 각각의 R2는 수소 및 C1-C4 알킬로부터 독립적으로 선택되고; 각각의 R3은 수소 및 C1-C4 알킬로부터 선택되고, 단 R3이 수소일 때, R1은 C1-C4 알킬이다.
  16. 제15항에 있어서, 각각의 R1이 에틸이고, 각각의 R2가 메틸이고, 각각의 R3이 에틸인 화합물.
  17. 제15항에 있어서, 각각의 R1이 이소프로필이고, 각각의 R3이 수소이고, 각각의 R2가 메틸인 화합물.
KR1020237028416A 2021-01-26 2022-01-19 고 처리율 침착 방법 KR20230132571A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163141824P 2021-01-26 2021-01-26
US63/141,824 2021-01-26
PCT/US2022/012995 WO2022164698A1 (en) 2021-01-26 2022-01-19 High throughput deposition process

Publications (1)

Publication Number Publication Date
KR20230132571A true KR20230132571A (ko) 2023-09-15

Family

ID=82496010

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237028416A KR20230132571A (ko) 2021-01-26 2022-01-19 고 처리율 침착 방법

Country Status (7)

Country Link
US (1) US20220238330A1 (ko)
EP (1) EP4284959A1 (ko)
JP (1) JP2024505193A (ko)
KR (1) KR20230132571A (ko)
CN (1) CN116848288A (ko)
TW (1) TW202240004A (ko)
WO (1) WO2022164698A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films

Also Published As

Publication number Publication date
JP2024505193A (ja) 2024-02-05
EP4284959A1 (en) 2023-12-06
US20220238330A1 (en) 2022-07-28
CN116848288A (zh) 2023-10-03
WO2022164698A1 (en) 2022-08-04
TW202240004A (zh) 2022-10-16

Similar Documents

Publication Publication Date Title
US9382270B2 (en) Substituted silacyclopropane precursors and their use for the deposition of silicon-containing films
US9957165B2 (en) Precursors suitable for high temperature atomic layer deposition of silicon-containing films
TWI774299B (zh) 用於製造含矽薄膜之前驅物及方法
US20210395884A1 (en) Silicon precursor compounds and method for forming silicon-containing films
US20220238330A1 (en) High throughput deposition process
JP7463563B2 (ja) 蒸着前駆体化合物及び使用のプロセス
TWI830206B (zh) 矽前驅物化合物及形成含矽膜之方法
JP7400120B2 (ja) ケイ素ヒドラジド前駆体化合物

Legal Events

Date Code Title Description
A201 Request for examination