KR20230124759A - Substrate processing method, substrate processing system and substrate processing apparatus - Google Patents

Substrate processing method, substrate processing system and substrate processing apparatus Download PDF

Info

Publication number
KR20230124759A
KR20230124759A KR1020237027631A KR20237027631A KR20230124759A KR 20230124759 A KR20230124759 A KR 20230124759A KR 1020237027631 A KR1020237027631 A KR 1020237027631A KR 20237027631 A KR20237027631 A KR 20237027631A KR 20230124759 A KR20230124759 A KR 20230124759A
Authority
KR
South Korea
Prior art keywords
substrate processing
processing apparatus
carrier
substrate
heating
Prior art date
Application number
KR1020237027631A
Other languages
Korean (ko)
Inventor
마사시 에노모토
요시히로 콘도
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2016011544A external-priority patent/JP6512119B2/en
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20230124759A publication Critical patent/KR20230124759A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Abstract

레지스트 도포를 행하는 기판 처리 장치와 현상 처리를 행하는 기판 처리 장치를 별개의 장치로 함에 있어서, 레지스트 패턴의 형성 처리를 안정적으로 행할 수 있는 기술을 제공한다. 제 1 기판 처리 장치(1)에서 레지스트 도포 후에 가열 처리된 웨이퍼(W)를 제 2 기판 처리 장치(2)에 있어서도 노광 전에 가열 처리하고 있다. 이 때문에, 제 1 기판 처리 장치(1)로부터 제 2 기판 처리 장치(2)에 반송할 때에 웨이퍼(W)에 분위기 중의 아민이 부착되었다고 해도, 아민이 가열 처리에 의해 비산된다. 또한 제 1 기판 처리 장치(1)로부터 FOUP(10)이 반출된 후, 제 2 기판 처리 장치에 당해 FOUP(10)이 반입될 때까지의 시간을 포함하는 웨이퍼(W)의 방치 시간에 기초하여, 가열 시간 및 가열 온도의 적어도 일방을 조정하고 있다. 그 때문에, 웨이퍼(W)간에 있어서 레지스트 중의 용제의 잔류량의 불균일이 억제된다. 따라서 레지스트 패턴의 형성 처리의 안정화를 도모할 수 있다.Provided is a technique capable of stably performing a resist pattern formation process by using a substrate processing apparatus for resist application and a substrate processing apparatus for developing as separate devices. In the second substrate processing apparatus 2, the wafer W, which has been subjected to heat treatment after applying the resist in the first substrate processing apparatus 1, is also subjected to heat treatment before exposure. For this reason, even if amine in the atmosphere adheres to the wafer W during transport from the first substrate processing apparatus 1 to the second substrate processing apparatus 2, the amine is scattered by the heat treatment. In addition, based on the leaving time of the wafer W including the time from when the FOUP 10 is unloaded from the first substrate processing apparatus 1 until the FOUP 10 is carried into the second substrate processing apparatus, , at least one of the heating time and heating temperature is adjusted. Therefore, unevenness in the residual amount of the solvent in the resist between the wafers W is suppressed. Accordingly, stabilization of the resist pattern forming process can be achieved.

Description

기판 처리 방법, 기판 처리 시스템 및 기판 처리 장치{SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE PROCESSING APPARATUS}Substrate processing method, substrate processing system, and substrate processing apparatus

본 발명은 기판의 표면에 형성되는 레지스트 패턴의 선 폭을 균일하게 하는 기술에 관한 것이다.The present invention relates to a technique for uniformizing the line width of a resist pattern formed on a surface of a substrate.

반도체 제조 공정의 하나인 포토레지스트 공정에 있어서는, 반도체 웨이퍼(이하, '웨이퍼'라고 함)의 표면에 레지스트 등의 각종 도포액을 도포하는 도포 처리, 도포막의 노광 처리 및 노광된 도포막의 현상 처리를 행하여, 웨이퍼의 표면에 레지스트 패턴을 형성하고 있다.In the photoresist process, which is one of the semiconductor manufacturing processes, a coating process of applying various coating liquids such as resist on the surface of a semiconductor wafer (hereinafter referred to as 'wafer'), exposure treatment of the coating film, and development treatment of the exposed coating film In this way, a resist pattern is formed on the surface of the wafer.

이와 같은 도포, 현상 처리를 행하는 기판 처리 시스템에 있어서 레지스트액 등의 도포액을 도포하는 도포 모듈에 이용하는 처리액은 고착되기 쉬운 것이 많고, 부착되었을 때에 더러움을 제거하기 어려워, 노광 장치 및 현상 모듈에 비해 메인터넌스에 시간이 걸려 스루풋의 제약이 된다. 그 때문에 도포 모듈을 구비한 전단측(前段側)의 장치와 노광 장치에 접속되는 현상 모듈을 포함하는 후단측(後段側)의 장치를 분리하여 별개의 장치 구성으로 하고, 도포 모듈의 메인터넌스를 행하고 있을 때에도 노광, 현상 처리를 행할 수 있도록 한 구성이 알려져 있다(특허 문헌 1).In a substrate processing system that performs such coating and developing processing, many of the processing liquids used in the application module for applying coating liquids such as resist liquid are easily adhered, and it is difficult to remove dirt when they are adhered. Compared to this, maintenance takes time and limits throughput. Therefore, the front side device including the coating module and the rear side device including the developing module connected to the exposure device are separated to form separate device configurations, and maintenance of the coating module is performed. There is known a configuration in which exposure and development can be performed even when there is a problem (Patent Document 1).

이와 같은 기판 처리 시스템에 있어서는, 노광 장치가 대기하는 시간을 없애기 위하여(풀가동시키기 위하여), 후단측의 장치에는 레지스트가 도포된 기판이 도중에 끊어지지 않고 반입되는 것이 요구된다. 또한, 도포 유닛을 포함하는 전단측의 장치와 현상 유닛을 포함하는 후단측의 장치를 별개의 장치로 함으로써, 양 장치 사이를 캐리어로 공장 내를 반송하지 않으면 안되고, 그 때문에 파생하는 문제점도 고려한 후, 안정된 레지스트 패턴의 형성 처리를 실현할 필요가 있다.In such a substrate processing system, in order to eliminate the waiting time of the exposure apparatus (to operate it at full capacity), it is required that the resist-coated substrate is carried in without breaking on the way to the apparatus at the rear stage. In addition, by making the apparatus at the front end including the coating unit and the apparatus at the rear end including the developing unit separate, the two apparatuses must be transported in the factory on a carrier, and the problems resulting from this are also taken into consideration. , it is necessary to realize a process for forming a stable resist pattern.

일본특허공개공보 2007-335626호Japanese Patent Laid-Open No. 2007-335626

본 발명은 이와 같은 사정의 하에서 이루어진 것으로서, 레지스트 도포를 행하는 기판 처리 장치와 현상 처리를 행하는 기판 처리 장치를 별개의 장치로 함에 있어서, 레지스트 패턴의 형성 처리를 안정적으로 행할 수 있는 기술을 제공하는 것에 있다.The present invention has been made under such circumstances, and the object of the present invention is to provide a technique capable of stably performing a resist pattern formation process by using a substrate processing apparatus for resist application and a substrate processing apparatus for developing as separate devices. there is.

본 발명의 기판 처리 방법은, 제 1 기판 처리 장치에서 기판에 레지스트를 도포하는 공정과,A substrate processing method of the present invention includes a step of applying a resist to a substrate in a first substrate processing apparatus;

이어서, 제 1 기판 처리 장치에서 기판을 가열 처리하는 공정과,Subsequently, a step of heating the substrate in a first substrate processing apparatus;

그 후, 상기 기판을 캐리어에 수납하고, 제 1 기판 처리 장치의 캐리어 블록으로부터 제 2 기판 처리 장치의 캐리어 블록에 반송하는 공정과,Then, a step of accommodating the substrate in a carrier and conveying it from a carrier block of a first substrate processing apparatus to a carrier block of a second substrate processing apparatus;

상기 제 1 기판 처리 장치의 캐리어 블록으로부터 캐리어가 반출된 후, 제 2 기판 처리 장치의 캐리어 블록에 당해 캐리어가 반입될 때까지의 시간을 포함하는 기판의 방치 시간에 기초하여, 가열 시간 및 가열 온도의 적어도 일방을 조정한 상태로 상기 제 2 기판 처리 장치에서 기판을 가열 처리하는 공정과,Heating time and heating temperature based on a waiting time of the substrate including a time from when the carrier is taken out of the carrier block of the first substrate processing apparatus until the carrier is brought into the carrier block of the second substrate processing apparatus a step of heat-processing the substrate in the second substrate processing apparatus in a state in which at least one of is adjusted;

계속해서 상기 기판을 노광하고, 추가로 상기 제 2 기판 처리 장치에서 가열 처리한 후, 현상하는 공정을 포함하는 것을 특징으로 한다.It is characterized by including a step of subsequently exposing the substrate, further heat-processing it in the second substrate processing apparatus, and then developing.

본 발명의 기판 처리 시스템은, 기판을 수납하여 반송하는 캐리어가 반입반출되는 캐리어 블록과, 이 캐리어 블록에 반입된 캐리어로부터 취출된 기판에 대하여 레지스트막이 도포되는 레지스트 도포 유닛과, 레지스트가 도포된 기판을 가열 처리하는 제 1 가열 유닛을 포함하는 제 1 기판 처리 장치와,A substrate processing system of the present invention includes a carrier block into which a carrier for storing and transporting substrates is carried in and out, a resist coating unit in which a resist film is applied to a substrate taken out of the carrier carried into the carrier block, and a substrate coated with a resist. A first substrate processing apparatus including a first heating unit for heat processing;

상기 제 1 기판 처리 장치로부터 반출된 캐리어가 반입되는 캐리어 블록과, 이 캐리어 블록에 반입된 캐리어로부터 취출된 기판을 가열 처리하는 제 2 가열 유닛과, 이 제 2 가열 유닛에서 가열 처리되고, 이어서 노광된 기판을 가열 처리하기 위한 제 3 가열 유닛과, 이 제 3 가열 유닛에서 가열 처리된 기판을 현상하는 현상 유닛을 포함하고, 노광 장치에 접속되는 제 2 기판 처리 장치와,A carrier block into which the carrier unloaded from the first substrate processing apparatus is carried in, a second heating unit which heat-processes the substrate taken out of the carrier carried into the carrier block, and the second heating unit heat-processes and then exposes the substrate. a second substrate processing apparatus including a third heating unit for heat-processing the processed substrate and a developing unit for developing the substrate heat-processed in the third heating unit, and connected to the exposure apparatus;

상기 제 1 기판 처리 장치의 캐리어 블록으로부터 캐리어가 반출된 후, 제 2 기판 처리 장치의 캐리어 블록에 당해 캐리어가 반입될 때까지의 시간을 포함하는 기판의 방치 시간에 기초하여, 상기 제 2 가열 유닛에 있어서의 가열 시간 및 가열 온도의 적어도 일방을 조정하는 가열 조정부를 구비한 것을 특징으로 한다.Based on the leaving time of the substrate including the time from when the carrier is unloaded from the carrier block of the first substrate processing apparatus until the carrier is carried into the carrier block of the second substrate processing apparatus, the second heating unit It is characterized by having a heating control unit that adjusts at least one of the heating time and heating temperature in .

또 다른 발명의 기판 처리 장치는, 본 발명의 기판 처리 시스템에 이용되는 상기 제 1 기판 처리 장치인 것을 특징으로 한다. 또한 또 다른 발명의 기판 처리 장치는, 본 발명의 기판 처리 시스템에 이용되는 상기 제 2 기판 처리 장치인 것을 특징으로 한다.A substrate processing apparatus of another invention is characterized in that it is the first substrate processing apparatus used in the substrate processing system of the present invention. A substrate processing apparatus of still another invention is characterized in that it is the second substrate processing apparatus used in the substrate processing system of the present invention.

레지스트 도포를 행하는 기판 처리 장치(제 1 기판 처리 장치)와 현상 처리를 행하는 기판 처리 장치(제 2 기판 처리 장치)를 별개의 장치로 함에 있어서, 제 1 기판 처리 장치에서 레지스트 도포 후에 가열 처리된 기판을 제 2 기판 처리 장치에 있어서도 노광 전에 가열 처리하고 있다. 이 때문에, 제 1 기판 처리 장치로부터 처리 후의 기판을 캐리어에 의해 제 2 기판 처리 장치에 반송할 때에 기판에 분위기 중의 아민이 부착되었다고 해도, 아민이 가열 처리에 의해 비산된 상태에서 노광, 현상이 행해진다. 따라서, 레지스트 패턴의 형성 처리를 안정적으로 행하는 것, 즉 패턴의 선 폭 또는 홀 직경을 기판간에서 일치시킬 수 있다. 또한 제 1 기판 처리 장치로부터 캐리어가 반출된 후, 제 2 기판 처리 장치에 당해 캐리어가 반입될 때까지의 시간을 포함하는 기판의 방치 시간에 기초하여, 가열 시간 및 가열 온도의 적어도 일방을 조정함으로써, 기판간에 있어서 레지스트 중의 용제의 잔류량의 불균일이 억제되어, 레지스트 패턴의 형성 처리의 안정화를 도모할 수 있다.Substrates subjected to heat treatment after resist application in the first substrate processing apparatus, in which the substrate processing apparatus for resist application (first substrate processing apparatus) and the substrate processing apparatus for developing treatment (second substrate processing apparatus) are separate devices is heat treated before exposure also in the second substrate processing apparatus. For this reason, even if amine in the atmosphere adheres to the substrate when the substrate after processing is transferred from the first substrate processing apparatus to the second substrate processing apparatus by a carrier, exposure and development are performed in a state in which the amine is dispersed by heat treatment. all. Therefore, it is possible to stably perform the resist pattern formation process, that is, to match the line width or hole diameter of the pattern between the substrates. Further, by adjusting at least one of the heating time and the heating temperature based on the leaving time of the substrate including the time from when the carrier is taken out of the first substrate processing apparatus until the carrier is carried into the second substrate processing apparatus, , The unevenness of the residual amount of the solvent in the resist between the substrates can be suppressed, and the resist pattern forming process can be stabilized.

도 1은 본 발명의 실시 형태에 따른 기판 처리 시스템의 측면도이다.
도 2는 본 발명의 실시 형태에 따른 제 1 기판 처리 장치를 나타내는 외관 사시도이다.
도 3은 본 발명의 실시 형태에 따른 제 1 기판 처리 장치를 나타내는 평면도이다.
도 4는 가열 유닛을 나타내는 단면도이다.
도 5는 본 발명의 실시 형태에 따른 제 2 기판 처리 장치를 나타내는 외관 사시도이다.
도 6은 본 발명의 제 2 기판 처리 장치의 제어부를 나타내는 설명도이다.
도 7은 기판 처리 시스템에 있어서의 웨이퍼의 처리의 공정을 나타내는 순서도이다.
도 8은 본 발명의 실시 형태의 다른 예에 따른 캐리어 대기부를 나타내는 사시도이다.
도 9는 웨이퍼의 방치 시간과 형성되는 패턴의 선 폭의 관계를 나타내는 특성도이다.
1 is a side view of a substrate processing system according to an embodiment of the present invention.
2 is an external perspective view showing a first substrate processing apparatus according to an embodiment of the present invention.
3 is a plan view showing a first substrate processing apparatus according to an embodiment of the present invention.
4 is a cross-sectional view showing a heating unit.
5 is an external perspective view showing a second substrate processing apparatus according to an embodiment of the present invention.
6 is an explanatory diagram showing the control unit of the second substrate processing apparatus of the present invention.
7 is a flowchart showing a process of processing a wafer in the substrate processing system.
8 is a perspective view illustrating a carrier waiting unit according to another example of an embodiment of the present invention.
Fig. 9 is a characteristic diagram showing the relationship between the leaving time of the wafer and the line width of the formed pattern.

본 발명의 실시 형태에 따른 기판 처리 시스템은, 도 1에 나타내는 바와 같이 기판인 반도체 웨이퍼(이하 '웨이퍼'라고 함)(W)에 레지스트액 등의 도포액을 도포하는 제 1 기판 처리 장치(1)와, 노광 장치와 접속되고 노광 처리가 행해진 웨이퍼(W)에 현상 처리를 행하는 제 2 기판 처리 장치(2)를 구비하고 있다.As shown in FIG. 1 , a substrate processing system according to an embodiment of the present invention includes a first substrate processing apparatus 1 for applying a coating liquid such as a resist liquid to a semiconductor wafer (hereinafter referred to as a 'wafer') W as a substrate. ), and a second substrate processing apparatus 2 connected to the exposure apparatus and performing a developing process on the wafer W subjected to the exposure process.

또한 기판 처리 시스템은, 제 1 기판 처리 장치(1)로부터 제 1 기판 처리 장치(1)에서 처리된 웨이퍼(W)를 수납한 캐리어(반송 용기)인 FOUP(10)을 제 2 기판 처리 장치(2)에 반송하기 위한 OHT(Overhead Hoist Transport) 등의 캐리어 반송 기구(3)가 마련되어 있다. 캐리어 반송 기구(3)는 제 2 기판 처리 장치(2)로부터 반출되는 FOUP(10)을 다음 단의 기판 처리 장치에 반송하는 역할도 포함하고, 공장 내 전체에 걸쳐 FOUP(10)을 반송하는 공장 내 반송 기구의 일부를 이용하는 것이다. 구체적으로, 캐리어 반송 기구(3)는 공장의 천장부에 마련된 레일(30)과, 레일(30)을 따라 이동 가능하게 구성된 로봇으로 구성되는 본체부(31)를 구비하고 있다. 본체부(31)는 하방에 승강 벨트(32)를 개재하여 FOUP(10)을 파지하기 위한 파지부(33)가 마련되어 있고, 파지부(33)는 FOUP(10)에 마련된 헤드부(110)를 파지하여 FOUP(10)을 매달아 올려 반송하고, 또한 파지부(33)가 승강하여 각 장치에 FOUP(10)을 전달한다.In addition, the substrate processing system moves the FOUP 10, which is a carrier (transport container) in which the wafers W processed in the first substrate processing apparatus 1 are stored from the first substrate processing apparatus 1 to the second substrate processing apparatus ( 2) A carrier conveying mechanism 3 such as OHT (Overhead Hoist Transport) for conveying is provided. The carrier conveyance mechanism 3 also includes a role of conveying the FOUP 10 carried out from the second substrate processing apparatus 2 to the substrate processing apparatus of the next stage, and conveys the FOUP 10 throughout the factory. It is to use part of my conveyance mechanism. Specifically, the carrier transport mechanism 3 includes a rail 30 provided on the ceiling of a factory, and a body portion 31 composed of a robot configured to be movable along the rail 30 . The main body portion 31 is provided with a gripping portion 33 for holding the FOUP 10 through a lifting belt 32 below, and the holding portion 33 is a head portion 110 provided on the FOUP 10 , the FOUP 10 is suspended and transported by holding the FOUP 10, and the gripping portion 33 moves up and down to deliver the FOUP 10 to each device.

또한 캐리어 반송 기구(3)의 반송로의 도중에는, 예를 들면 복수의 FOUP(10)을 일시적으로 배치해 두기 위한 캐리어 대기부(100)가 마련되어 있고, 제 1 기판 처리 장치(1)로부터 반출된 FOUP(10)은 예를 들면 제 2 기판 처리 장치(2)에 반입되기 전에 일단 캐리어 대기부(100)에 배치된다.Further, in the middle of the conveyance path of the carrier conveyance mechanism 3, a carrier standby unit 100 is provided, for example, for temporarily arranging a plurality of FOUPs 10, carried out from the first substrate processing apparatus 1 The FOUP 10 is once disposed in the carrier waiting unit 100 before being loaded into the second substrate processing apparatus 2, for example.

제 1 기판 처리 장치(1)에 대하여 설명한다. 도 2, 도 3에 나타내는 바와 같이 제 1 기판 처리 장치(1)는 웨이퍼(W)를 FOUP(10)으로부터 장치 내에 반입반출하기 위한 캐리어 블록(B1)과, 웨이퍼(W)의 표면에 도포막을 형성하는 처리 블록(B2)을 접속한 구성으로 되어 있다. 캐리어 블록(B1)은 FOUP(10)의 배치 스테이지(91)와, 도어(92)와, 도어(92)를 개재하여 FOUP(10)으로부터 웨이퍼(W)를 반송하기 위한 반송 암(93)을 구비하고 있다. 도어(92)는 제 1 기판 처리 장치(1)의 내부 분위기와 외부의 분위기를 구획하는 구획벽에 마련되고, 배치 스테이지(91)에 배치된 FOUP(10)의 덮개부와 함께 개폐된다.The first substrate processing apparatus 1 will be described. 2 and 3, the first substrate processing apparatus 1 includes a carrier block B1 for carrying in and out of the wafer W from the FOUP 10 into the apparatus, and a coating film on the surface of the wafer W. It has a structure in which processing blocks B2 to be formed are connected. The carrier block B1 includes a placement stage 91 of the FOUP 10, a door 92, and a transfer arm 93 for transferring wafers W from the FOUP 10 through the door 92. are equipped The door 92 is provided on a partition wall that divides the internal atmosphere of the first substrate processing apparatus 1 and the external atmosphere, and opens and closes together with the lid of the FOUP 10 disposed on the placement stage 91 .

처리 블록(B2)은 웨이퍼(W)에 액 처리를 행하기 위한 제 1 ∼ 제 6 단위 블록(D1 ∼ D6)이 아래부터 순서대로 적층되어 구성되어 있다. 도 2에 있어서 각 단위 블록(D1 ∼ D6)에 부여한 알파벳 문자는 처리 종별을 표시하고 있으며, SOC는 SOC(Spin On Cap)막 형성 처리, BCT는 반사 방지막 형성 처리, COT는 웨이퍼(W)에 레지스트를 공급하여 레지스트막을 형성하는 레지스트막 형성 처리를 나타내고 있다.The processing block B2 is configured by sequentially stacking first to sixth unit blocks D1 to D6 for performing liquid processing on the wafer W from the bottom. In FIG. 2, the alphabet letters given to each unit block D1 to D6 indicate the type of processing, SOC is SOC (Spin On Cap) film formation process, BCT is antireflection film formation process, and COT is wafer (W) Resist film formation processing in which resist is supplied to form a resist film is shown.

도 3에 대표적으로 단위 블록(D5)의 구성을 나타내면, 단위 블록(D5)에는 캐리어 블록(B1)측으로부터 내측을 향하는 직선 형상의 반송 영역(R5)을 이동하는 메인 암(A5)과, 액 처리 유닛으로서 웨이퍼(W)에 레지스트액을 도포하는 레지스트 도포 유닛(5)을 구비한 액 처리 유닛(80)과, 웨이퍼(W)에 노광 처리 전의 제 1 가열 처리(제 1 PAB : 노광 전 가열 처리)를 행하기 위하여 가열 유닛(6)을 적층한 선반 유닛(U1 ∼ U6)을 구비하고 있다.3 shows the configuration of the unit block D5 representatively in FIG. 3, the unit block D5 includes a main arm A5 that moves a linear transport area R5 from the side of the carrier block B1 to the inside, A liquid processing unit 80 having a resist application unit 5 for applying a resist liquid to the wafer W as a processing unit, and a first heat treatment before exposure treatment on the wafer W (first PAB: pre-exposure heating) processing) is provided with shelf units U1 to U6 in which the heating unit 6 is stacked.

반송 영역(R5)의 캐리어 블록(B1)측에는, 서로 적층된 복수의 스테이지에 의해 구성되어 있는 선반 유닛(U7)이 마련되어 있다. 반송 암(93)과 메인 암(A5)의 사이의 웨이퍼(W)의 전달은 선반 유닛(U7)의 전달 모듈과 반송 암(94)을 통하여 행해진다. 각 단위 블록(D1, D3, D5)은 웨이퍼(W)에 대하여 형성하는 도포막이 상이한 것을 제외하고 대체로 동일한 구성이며, 단위 블록(D1 및 D2)은 SOC막을 도포하고, 단위 블록(D3 및 D4)은 반사 방지막을 도포한다.On the carrier block B1 side of the conveyance area R5, a shelf unit U7 constituted by a plurality of stages stacked on top of each other is provided. Transfer of the wafer W between the transfer arm 93 and the main arm A5 is performed through the transfer module of the shelf unit U7 and the transfer arm 94 . Each of the unit blocks D1, D3, and D5 has substantially the same structure except that the coating film formed on the wafer W is different, the unit blocks D1 and D2 are coated with an SOC film, and the unit blocks D3 and D4 A silver antireflection film is applied.

제 1 기판 처리 장치(1)의 천장부에는 FFU(Fan Filter Unit)가 마련되어 있다. FFU는 제 1 기판 처리 장치(1) 내에 청정 공기의 하강류를 형성하여, 제 1 기판 처리 장치(1) 내의 분위기를 청정하게 유지하기 위하여 마련되어 있다. 청정 기체로서는, ULPA(Ultra Low Penetration Air) 필터 또는 HEPA(High Efficiency Particulate Air) 필터를 통과시킨, 청정 공기 혹은 질소 가스 등의 불활성 가스를 들 수 있다.A fan filter unit (FFU) is provided on the ceiling of the first substrate processing apparatus 1 . The FFU is provided to keep the atmosphere in the first substrate processing apparatus 1 clean by forming a downward flow of clean air in the first substrate processing apparatus 1 . Examples of the clean gas include clean air passed through a ULPA (Ultra Low Penetration Air) filter or a HEPA (High Efficiency Particulate Air) filter or an inert gas such as nitrogen gas.

액 처리 유닛의 구성에 대하여, 레지스트 도포 유닛(5)에 대하여 설명한다. 레지스트 도포 유닛(5)은 웨이퍼(W)에 대하여 레지스트, 예를 들면 화학 증폭형 레지스트를 도포한다. 레지스트 도포 유닛(5)은, 도 3에 나타내는 바와 같이, 컵 모듈(51)과 노즐 유닛(52)을 구비하고 있다. 컵 모듈(51)은 웨이퍼(W)의 이면 중앙부를 흡착하여 수평으로 유지하고, 연직축 둘레로 회전 가능하게 구성된 스핀 척(53)을 구비하고 있다. 스핀 척(53)의 주위에는, 스핀 척(53) 상의 웨이퍼(W)를 둘러싸도록 하여 상방측에 개구부를 구비한 컵체(상세하게는 컵 조립체)가 마련되어 있다. 컵체는 웨이퍼(W)로부터 털어내어진 용제를 받아 배출하고, 또한 하부의 마련된 배기로로부터 배기하여 미스트가 처리 분위기에 비산하지 않도록 구성되어 있다. 그리고 웨이퍼(W)에 레지스트액을 공급하면서 회전시켜 웨이퍼(W)의 표면에 레지스트액을 도포한다.Regarding the configuration of the liquid processing unit, the resist coating unit 5 will be described. The resist coating unit 5 applies a resist, for example, a chemically amplified resist to the wafer W. As shown in FIG. 3 , the resist coating unit 5 includes a cup module 51 and a nozzle unit 52 . The cup module 51 includes a spin chuck 53 configured to adsorb the central portion of the back surface of the wafer W and hold it horizontally, and to be rotatable about a vertical axis. Around the spin chuck 53, a cup body (specifically, a cup assembly) having an opening on the upper side is provided so as to surround the wafer W on the spin chuck 53. The cup body receives and discharges the solvent shaken off the wafer W, and exhausts it from an exhaust passage provided at the lower portion so that the mist does not scatter in the processing atmosphere. Then, while supplying the resist liquid to the wafer (W), the resist liquid is coated on the surface of the wafer (W) by rotating the wafer (W).

계속해서 제 1 가열 유닛(6)에 대하여 설명한다. 제 1 가열 유닛(6)은, 도 4에 나타내는 바와 같이, 하우징(60) 내에 가열판(62)과, 웨이퍼(W)의 냉각부를 겸용하는 반송 암(61)이 길이 방향으로 배열하여 배치되어 있다. 하우징(60)의 길이 방향에 있어서의 반송 암(61)측의 측면에는 반입 출구(64)가 마련되고, 반입 출구(64)에는 반입 출구(64)를 개폐하는 셔터(65)가 마련되어 있다.Subsequently, the 1st heating unit 6 is demonstrated. As shown in FIG. 4 , in the first heating unit 6 , a heating plate 62 and a transfer arm 61 serving as a cooling unit for the wafer W are arranged in a longitudinal direction within the housing 60 . . A carry-in outlet 64 is provided on the side surface of the housing 60 on the side of the transfer arm 61 in the longitudinal direction, and a shutter 65 that opens and closes the carry-in outlet 64 is provided on the carry-in outlet 64 .

가열판(62)은, 예를 들면 하면에 히터(68)가 마련되어 있고, 가열판(62)에 배치된 웨이퍼(W)가 가열된다. 가열판(62)의 표면에는 둘레 방향으로 3 개소의 관통홀(63)이 마련되어 있고, 각 관통홀(63)로부터는 반송 암(61)과의 사이에서 웨이퍼(W)를 전달하기 위한 승강 핀(66)이 돌출 및 함몰하도록 구성되어 있다. 또한 가열 유닛(6)에 배치된 웨이퍼(W)의 상방을 덮어 웨이퍼(W)를 효율 좋게 가열하기 위한 커버부(67)가 마련되어 있다. 반송 암(61)은 이동 기구(69)에 의해 가열판(62)에 대하여 가이드 레일(79)을 따라 진퇴 가능하게 구성되어 있다. 하우징(60)의 측면에는 배기부(77)가 마련되어 있고 배기부(77)는 배기관(78)을 개재하여 도시하지 않은 배기 펌프에 접속되어 있다.The heater 68 is provided on the lower surface of the heating plate 62, for example, and the wafer W disposed on the heating plate 62 is heated. Three through-holes 63 are provided on the surface of the heating plate 62 in the circumferential direction, and from each through-hole 63, lift pins ( 66) is configured to protrude and sink. In addition, a cover portion 67 for efficiently heating the wafer W is provided to cover the upper portion of the wafer W disposed in the heating unit 6 . The transfer arm 61 is configured to be able to move forward and backward along the guide rail 79 with respect to the heating plate 62 by the moving mechanism 69 . An exhaust unit 77 is provided on the side surface of the housing 60, and the exhaust unit 77 is connected via an exhaust pipe 78 to an exhaust pump (not shown).

계속해서 제 2 기판 처리 장치(2)에 대하여 설명한다. 제 2 기판 처리 장치(2)는, 도 5에 나타내는 바와 같이, 제 1 기판 처리 장치(1)와 동일하게 구성된 캐리어 블록(B1) 및 처리 블록(B2)과, 인터페이스 블록(B3)을 서로 접속하여 구성되고, 인터페이스 블록(B3)의 내측에는 노광 장치(B4)가 접속되어 있다. 제 2 기판 처리 장치(2)에 있어서의 처리 블록(B2)은 하층측으로부터 웨이퍼(W)에 노광 전의 제 2 가열 처리(제 2 PAB)를 행하기 위하여 단위 블록(도 5에서는 편의상 'PAB'라고 기재하고 있음)이 마련되고, 그 상방에 현상 처리를 행하기 위한 단위 블록(도 5에서는, 편의상 'DEV'라고 기재하고 있음)이 4단 적층되어 있다.Next, the second substrate processing apparatus 2 will be described. As shown in FIG. 5 , the second substrate processing apparatus 2 connects a carrier block B1 and processing block B2 configured in the same manner as the first substrate processing apparatus 1 and an interface block B3 to each other. and an exposure apparatus B4 is connected to the inside of the interface block B3. The processing block B2 in the second substrate processing apparatus 2 is a unit block ('PAB' in FIG. ) is provided, and a unit block (in FIG. 5, described as 'DEV' for convenience) for performing the developing process is stacked in four stages.

노광 전의 제 2 가열 처리를 하기 위하여 단위 블록(PAB)은, 예를 들면 액 처리 모듈이 마련되어 있지 않고, 가열 유닛으로서 예를 들면 제 1 가열 유닛(6)과 동일한 구성의 제 2 가열 유닛이 마련되어 있다. 현상 처리를 행하기 위한 단위 블록(DEV)은 액 처리 유닛으로서 현상액을 공급하여 현상 처리를 행하는 현상 유닛이 마련되고, 가열 유닛으로서 제 1 가열 유닛(6)과 대체로 동일한 구성인 노광 후 가열 처리(PEB)를 행하기 위한 제 3 가열 유닛이 반송 암의 직선 반송로를 사이에 두고 마련되어 있다.In order to perform the second heat treatment before exposure, the unit block PAB, for example, is not provided with a liquid processing module, but is provided with a second heating unit having the same configuration as the first heating unit 6, for example, as a heating unit. there is. The unit block DEV for performing the developing treatment is provided with a developing unit supplying a developing solution as a liquid processing unit to perform the developing treatment, and as a heating unit, post-exposure heat treatment having substantially the same configuration as the first heating unit 6 ( A third heating unit for performing PEB) is provided across the straight conveying path of the conveying arm.

인터페이스 블록(B3)은 처리 블록(B2)과 노광 장치(B4)의 사이에서 웨이퍼(W)의 전달을 행하기 위한 블록이다. 인터페이스 블록(B3)은, 처리 블록(B2)과의 사이에서 웨이퍼(W)의 전달을 행하기 위한 전달 스테이지군, 노광 장치(B4)와의 사이에서 웨이퍼(W)의 전달을 행하기 위한 전달 스테이지군 및 이러한 전달 스테이지군의 사이에서 웨이퍼(W)의 전달을 행하는 반송 기구 등을 구비하고 있다.The interface block B3 is a block for transferring the wafer W between the processing block B2 and the exposure apparatus B4. The interface block B3 is a transfer stage group for transferring the wafer W to and from the processing block B2, and a transfer stage for transferring the wafer W to and from the exposure apparatus B4. A transfer mechanism or the like for transferring the wafer W between the group and the transfer stage group is provided.

또한 제 2 기판 처리 장치(2)의 천장부에도 제 1 기판 처리 장치(1)와 동일하게 FFU가 마련되어, 제 2 기판 처리 장치(2) 내의 분위기가 청정하게 유지되어 있다.Also, FFUs are provided on the ceiling of the second substrate processing apparatus 2 as in the first substrate processing apparatus 1, so that the atmosphere in the second substrate processing apparatus 2 is kept clean.

도 1로 돌아가서, 제 1 기판 처리 장치(1)에는 당해 기판 처리 장치(1)를 제어하기 위한 제 1 장치 제어부(81)가 마련되고, 제 2 기판 처리 장치(2)에는 당해 기판 처리 장치(2)를 제어하기 위한 제 2 장치 제어부(82)가 마련되어 있다. 이러한 제 1 및 제 2 장치 제어부(81(82))는, 제 1 기판 처리 장치(1)(제 2 기판 처리 장치(2))의 일부로서 파악할 수도 있지만, 설명의 편의상 제 1 기판 처리 장치(1)(제 2 기판 처리 장치(2))를 제어하는 것으로서 취급하기로 한다.Returning to FIG. 1 , the first substrate processing apparatus 1 is provided with a first apparatus control unit 81 for controlling the substrate processing apparatus 1, and the second substrate processing apparatus 2 is provided with the substrate processing apparatus ( A second device controller 82 for controlling 2) is provided. The first and second device controllers 81 (82) may be understood as part of the first substrate processing device 1 (the second substrate processing device 2), but for convenience of explanation, the first substrate processing device ( 1) (The second substrate processing apparatus 2) will be treated as being controlled.

제 1 장치 제어부(81)는 제 1 기판 처리 장치(1)의 레지스트 도포 유닛(5) 등의 처리 유닛(모듈) 및 반송 암(93, 94, A5) 등을 프로세스 레시피 및 반송 레시피에 기초하여 제어하는 기능을 가지고, 또한 처리가 끝난 웨이퍼(W)를 수납한 FOUP(10)이 반출되는 타이밍을 검출하는 검출부를 구비하고 있다. FOUP(10)의 반출 타이밍의 검출 방법의 일례로서는, 처리가 끝난 웨이퍼(W)를 FOUP(10)에 복귀시킨 후, 캐리어 블록(B1)에 마련된 도어(92)를 닫은 타이밍을 FOUP(10)의 반출 타이밍으로서 파악하는 방법을 들 수 있다. 복귀된 FOUP(10)은 캐리어 반송 기구(3)에 의해 신속히 캐리어 대기부(100)에 반송되므로 반출 타이밍에 대응한다.The first device controller 81 controls processing units (modules) such as the resist coating unit 5 and the transfer arms 93, 94, and A5 of the first substrate processing apparatus 1 based on the process recipe and the transfer recipe. It has a function to control and is further provided with a detecting unit for detecting the timing at which the FOUP 10 containing the processed wafer W is unloaded. As an example of a method for detecting the timing of carrying out the FOUP 10, after returning the processed wafer W to the FOUP 10, the timing of closing the door 92 provided in the carrier block B1 is determined by the FOUP 10. There is a method of grasping as the export timing of . Since the returned FOUP 10 is promptly transported to the carrier waiting unit 100 by the carrier transport mechanism 3, it corresponds to the carrying out timing.

또한 제 1 장치 제어부(81)는 어느 로트의 몇 번째의 웨이퍼(W)가 제 1 기판 처리 장치(1) 내의 어디에 위치하고 있는지에 대해서는 리얼 타임으로 파악하고 있다. 따라서 제 1 장치 제어부(81)는 처리가 끝난 웨이퍼(W)가 FOUP(10)에 복귀되어 도어(92)가 닫힐 때에, 당해 FOUP(10)이 어느 로트인지를 파악하고 있기 때문에, 로트(로트 혹은 FOUP(10)의 식별 코드)와 제 1 기판 처리 장치(1)로부터의 반출 타이밍(시각)을 대응시켜 메모리에 기억할 수 있다.In addition, the first apparatus control unit 81 grasps in real time where the number of wafers W of which lot are located in the first substrate processing apparatus 1 . Accordingly, when the processed wafers W are returned to the FOUP 10 and the door 92 is closed, the first device control unit 81 knows which lot the FOUP 10 belongs to, so that the lot (lot) Alternatively, the identification code of the FOUP 10) and the unloading timing (time) from the first substrate processing apparatus 1 can be stored in the memory in association with each other.

제 1 장치 제어부(81) 및 제 2 장치 제어부(82)는 상위 컴퓨터(8)에 접속되어 있고, 상위 컴퓨터(8)는 제 1 장치 제어부(81) 및 제 2 장치 제어부(82)에 대하여, 이로부터 반입되는 로트(FOUP(10) 단위의 웨이퍼(W)의 군)의 식별 코드 및 그 로트의 프로세스 레시피 등을 보내는 역할을 가지고 있다. 또한 상위 컴퓨터(8)는 제 1 장치 제어부(81)로부터 FOUP(10)이 반출된 시각을 수신하고, 이 시각을 당해 FOUP(10)과 대응시켜 제 2 장치 제어부(82)에 송신하는 기능을 구비하고 있다.The first device control unit 81 and the second device control unit 82 are connected to a host computer 8, and the host computer 8 controls the first device control unit 81 and the second device control unit 82, It has the role of sending the identification code of the lot (a group of wafers W in units of FOUPs 10) and the process recipe of that lot. In addition, the upper computer 8 has a function of receiving the time when the FOUP 10 is taken out from the first device controller 81 and transmitting the time to the second device controller 82 in association with the corresponding FOUP 10. are equipped

제 2 장치 제어부(82)는 제 2 기판 처리 장치(2)의 제 2 가열 유닛(50), 제 3 가열 유닛, 현상 유닛 등의 처리 유닛 및 반송 암을 프로세스 레시피 및 반송 레시피에 기초하여 제어하는 기능을 가진다. 또한 제 2 장치 제어부(82)는 웨이퍼(W)를 수납한 FOUP(10)이 반입되는 타이밍(시각)을 검출하는 검출부를 구비하고 있고, 이 검출부는 예를 들면 제 1 기판 처리 장치(1)의 경우와 동일하게 캐리어 블록(B1)에 마련된 도어를 FOUP(10)의 덮개부와 함께 연 시각을 검출한다.The second apparatus controller 82 controls processing units such as the second heating unit 50, the third heating unit, and the developing unit of the second substrate processing apparatus 2 and the transfer arm based on the process recipe and the transfer recipe. has a function In addition, the second apparatus control unit 82 includes a detection unit that detects the timing (time) at which the FOUP 10 containing the wafer W is loaded, and this detection unit detects, for example, the first substrate processing apparatus 1 As in the case of , the opening time of the door provided on the carrier block B1 together with the cover of the FOUP 10 is detected.

도 6은 제 2 장치 제어부(82)를 나타내는 블록도이며, 버스(86)에 프로그램(83)을 저장한 프로그램 저장부, CPU(84) 및 메모리(85)가 접속되어 있다. 프로그램(83)은 제 2 기판 처리 장치(2) 내에서 행해지는 일련의 프로세스의 레시피 및 웨이퍼(W)의 반송 레시피 등의 소프트웨어를 포함하고 있다. 또한 프로그램(83)은, 이 예에서는 제 2 기판 처리 장치(2)에 있어서 FOUP(10)의 덮개부와 함께 도어(92)가 열린 신호를 수신하고, 그 때의 시각을 반입된 FOUP(10)의 로트와 대응시켜 메모리(85)에 기입하는 단계군을 구비하고 있고, 따라서 FOUP(10)의 반입 시각을 검출하는 검출부를 구성하고 있다.6 is a block diagram showing the second device control unit 82, and a program storage unit storing a program 83, a CPU 84, and a memory 85 are connected to a bus 86. The program 83 includes software such as a recipe for a series of processes performed in the second substrate processing apparatus 2 and a transfer recipe for the wafer W. Further, in this example, the program 83 receives a signal that the door 92 opens along with the cover of the FOUP 10 in the second substrate processing apparatus 2, and displays the time at that time to the loaded FOUP 10. ), and thus constitutes a detection unit for detecting the loading time of the FOUP 10.

또한 프로그램(83)은 상위 컴퓨터(8)로부터 송신된 반출 시각(제 1 기판 처리 장치(1)로부터 당해 FOUP(10)이 반출된 시각)을 참조하여, 당해 FOUP(10)의 방치 시간을 산출하는 단계군을 구비하고 있다. 또한 메모리(85)에는 FOUP(10)의 방치 시간과 제 2 가열 유닛(50)의 예를 들면 가열 시간을 대응시킨 데이터가 기억되어 있다. 프로그램(83)은 산출된 방치 시간과 메모리(85) 내의 데이터에 기초하여 제 2 가열 유닛(50)의 가열 시간을 구하는 단계군을 추가로 구비하고 있다. 이 예에서는, 제 1 장치 제어부(81), 상위 컴퓨터(8) 및 제 2 장치 제어부(82)는 방치 시간을 계측하는 계측부도 겸용하고 있다.In addition, the program 83 refers to the unloading time transmitted from the host computer 8 (time when the FOUP 10 is unloaded from the first substrate processing apparatus 1), and calculates the leaving time of the FOUP 10 It has a group of steps to do. In addition, in the memory 85, data corresponding to the left time of the FOUP 10 and the heating time of the second heating unit 50, for example, is stored. The program 83 further includes a group of steps for determining the heating time of the second heating unit 50 based on the calculated waiting time and the data in the memory 85. In this example, the first device control unit 81, the host computer 8, and the second device control unit 82 also serve as a measuring unit for measuring the leaving time.

제 2 가열 유닛(50)의 가열 시간을 조정하는 의의는 다음과 같다. 이 예에서 사용하는 레지스트에 대해서는, 스루풋을 고려한 현실적인 방치 시간 내에 용제가 완전히 끝까지 휘발되지 않기 때문에, 제 2 가열 유닛(50)에서 가열 처리하기 직전의 시점에 있어서는 방치 시간의 길이에 따른 양만큼 용제가 포함되어 있게 된다. 이 용제가 감소하면, 노광 시에 있어서의 레지스트 중의 산의 발생 및 현상 전의 가열 처리(PEB)에 있어서의 레지스트 중의 산의 확산의 방해가 되어 용제의 잔류량에 따라 패턴의 선 폭이 변하기 때문에, 웨이퍼(W)간에 선 폭을 일치시키기 위해서는 노광에 이르기 전의 레지스트 중의 용제의 잔류량을 일치시키는 것이 필요해진다.The significance of adjusting the heating time of the second heating unit 50 is as follows. Regarding the resist used in this example, since the solvent does not completely volatilize within a realistic leaving time considering the throughput, at the time immediately before the heat treatment in the second heating unit 50, the amount of the solvent according to the length of the leaving time will be included When this solvent is reduced, generation of acid in the resist during exposure and diffusion of the acid in the resist in heat treatment before development (PEB) are hindered, and the line width of the pattern changes depending on the remaining amount of the solvent, so the wafer In order to match the line width between (W), it is necessary to match the residual amount of the solvent in the resist before exposure.

레지스트 중의 용제의 잔류량, 환언하면 휘발량은 웨이퍼(W)가 제 1 기판 처리 장치(1)에 있어서 제 1 가열 유닛(6)에서 가열 처리된 후, 제 2 기판 처리 장치(2)에 반입되어 제 2 가열 유닛(50)에서 가열 처리가 개시될 때까지의 방치 시간에 따라 결정된다. 그래서, 노광 전에 사전에 각 로트간에서 노광 전의 레지스트 중의 용제량을 일치시키기 위하여 제 2 가열 유닛(50)을 마련하고, 당해 제 2 가열 유닛(50)에서 방치 시간에 따라 가열 에너지를 조정하도록 하고 있다.The residual amount of the solvent in the resist, in other words, the amount of volatilization is determined by carrying the wafer W into the second substrate processing device 2 after the wafer W is heated in the first heating unit 6 in the first substrate processing device 1 and It is determined according to the waiting time until the heat treatment is started in the second heating unit 50 . Therefore, in order to match the amount of solvent in the resist before exposure between each lot in advance before exposure, a second heating unit 50 is provided, and the heating energy is adjusted in the second heating unit 50 according to the standing time there is.

제 1 기판 처리 장치(1)에 있어서는 제 1 가열 유닛(6)의 가열 처리(제 1 PAB) 후에 신속히 FOUP(10)에 복귀되고, 또한 제 2 기판 처리 장치(2)에 있어서는 FOUP(10)이 반입된 후에 신속히 제 2 가열 유닛에서 가열 처리(제 2 PAB)가 행해진다. 따라서, 방치 시간은 FOUP(10)이 제 1 기판 처리 장치(1)로부터 제 2 기판 처리 장치(2)에 반송될 때까지의 시간에 좌우되게 되고, 이 때문에 이미 기술한 바와 같이 FOUP(10)의 방치 시간을 관리하고 있다.In the first substrate processing apparatus 1, after the heat treatment (first PAB) of the first heating unit 6, it is quickly returned to the FOUP 10, and in the second substrate processing apparatus 2, the FOUP 10 After this carrying in, heat treatment (2nd PAB) is performed quickly by the 2nd heating unit. Therefore, the leaving time depends on the time until the FOUP 10 is transported from the first substrate processing apparatus 1 to the second substrate processing apparatus 2, and for this reason, as already described, the FOUP 10 of idle time is managed.

상술의 예에서는, FOUP(10)의 방치 시간의 계측 개시 시각으로서, FOUP(10)이 제 1 기판 처리 장치(1)로부터 반출되는 시각(상세하게는 도어(92)가 닫히는 시각)을 취급하고 있다. 그러나 상기의 계측 개시 시각으로서는, 제 1 가열 유닛(6)에서 가열 처리(제 1 PAB)가 종료한 시각, 예를 들면 제 1 가열 유닛(6)으로부터 로트의 최종 번호의 웨이퍼(W)가 반출된 시각이어도 되고, 제 1 PAB 후의 웨이퍼(W)가 캐리어 블록(B1) 내의 반송 암(93)에 전달된 시각 등이어도 된다.In the above example, as the measurement start time of the leaving time of the FOUP 10, the time when the FOUP 10 is taken out of the first substrate processing apparatus 1 (specifically, the time when the door 92 closes) is treated there is. However, the above measurement start time is the time when the heat treatment (first PAB) in the first heating unit 6 is finished, for example, the wafer W of the last number of the lot is carried out from the first heating unit 6 may be the time when the wafer W after the first PAB is delivered to the transfer arm 93 in the carrier block B1, or the like.

또한 방치 시간을 계측하는 의의는 방치 시간 자체를 파악한다기보다는 각 로트간에 있어서의 방치 시간의 차를 파악하는 것이므로, 방치 시간의 계측 개시 시각은 캐리어 대기부(100)로부터 FOUP(10)이 반출되는 시각으로 해도 된다. 방치 시간을 좌우하는 큰 요인으로서, 캐리어 대기부(100)에 있어서의 FOUP(10)의 대기 시간을 들 수 있기 때문에, 이 경우에도 로트간의 방치 시간의 차를 반영하고 있다. 따라서, 방치 시간에 기초하여 제 2 PAB의 가열 시간을 조정함에 있어서는, FOUP(10)이 제 1 기판 처리 장치(1)로부터 반출된 후 제 2 기판 처리 장치(2)에 반입될 때까지의 방치 시간을 계측하고 있는 것과 동등하다.In addition, since the significance of measuring the waiting time is to grasp the difference in the waiting time between each lot rather than grasping the waiting time itself, the measurement start time of the waiting time is You can do it visually. Since the waiting time of the FOUP 10 in the carrier waiting unit 100 is a major factor influencing the waiting time, the difference in the waiting time between lots is also reflected in this case. Therefore, in adjusting the heating time of the second PAB based on the leaving time, the FOUP 10 is left until it is carried into the second substrate processing device 2 after being taken out of the first substrate processing device 1 Equivalent to measuring time.

계속해서 상술한 실시 형태의 작용에 대하여 도 7의 순서도를 참조하여 설명한다. 먼저 캐리어 반송 기구(3)에 의해, 예를 들면 직경 300 mm의 웨이퍼(W)가 25매 수납된 FOUP(10)이 제 1 기판 처리 장치(1)의 캐리어 블록(B1)의 배치 스테이지(91)에 배치된다. 이어서 반송 암(93)에 의해 FOUP(10)으로부터 웨이퍼(W)가 취출되고, 선반 유닛(U7)에 배치된다(단계(S1)). 그 후 웨이퍼(W)는 예를 들면 제 1 단위 블록(D1)에서 레지스트와 함께 에칭 마스크가 되는 하지막인 SOC막이 형성되고, 이어서 제 3 단위 블록(D3)에 반송되어 반사 방지막이 성막된다(단계(S2)). 그 후 웨이퍼(W)는 예를 들면 단위 블록(D5)에 반입되어, 레지스트액이 도포된다(단계(S3)).Subsequently, the operation of the above-described embodiment will be described with reference to the flowchart of FIG. 7 . First, the FOUP 10 in which, for example, 25 wafers W having a diameter of 300 mm are stored is placed on the stage 91 of the carrier block B1 of the first substrate processing apparatus 1 by the carrier transport mechanism 3. ) is placed in Subsequently, the wafer W is taken out of the FOUP 10 by the transfer arm 93 and placed on the shelf unit U7 (step S1). Thereafter, the wafer W is formed with a resist and an SOC film serving as an etching mask in, for example, the first unit block D1, and then transported to the third unit block D3 to form an antireflection film ( Step (S2)). After that, the wafer W is carried into the unit block D5, for example, and a resist liquid is applied (step S3).

이어서 레지스트액이 도포된 웨이퍼(W)는 메인 암(A5)에 의해 제 1 가열 유닛(6)의 반송 암(61)에 전달된다. 그 후, 반송 암(61)에 의해 가열판(62)에 배치되어, 예를 들면 80 ∼ 100℃에서 60초간 가열된다(제 1 PAB가 행해짐(단계(S4))). 이에 의해 레지스트막에 포함되어 있는 용제가 휘발한다. 그 후 웨이퍼(W)는 가열판(62)으로부터 반송 암(61)에 전달된 후, 메인 암(A5)에 전달된다. 그 후 선반 유닛(U7)에 전달된 후, 반송 암(93)에 의해 FOUP(10)에 복귀되고, 도어(92)가 FOUP(10)의 덮개부와 함께 닫힌다(단계(S5)).Subsequently, the wafer W coated with the resist liquid is transferred to the transfer arm 61 of the first heating unit 6 by the main arm A5. Then, it is placed on the heating plate 62 by the transfer arm 61 and heated at, for example, 80 to 100°C for 60 seconds (a first PAB is performed (step S4)). As a result, the solvent contained in the resist film volatilizes. After that, the wafer W is transferred from the heating plate 62 to the transfer arm 61 and then transferred to the main arm A5. Then, after being delivered to the shelf unit U7, it is returned to the FOUP 10 by the conveyance arm 93, and the door 92 is closed together with the cover of the FOUP 10 (step S5).

이어서 FOUP(10)은 캐리어 반송 기구(3), 예를 들면 OHT에 의해 제 1 기판 처리 장치(1)의 캐리어 블록(B1)으로부터 매달아 올려 반출된다(단계(S6)). 또한 이미 기술한 바와 같이 FOUP(10)의 덮개부를 닫았을 때(도어(92)를 닫았을 때)에는 제 1 장치 제어부(81)는 이 시각을 파악할 수 있도록 당해 시각이 FOUP(10)의 반출 시각으로서 상위 컴퓨터(8)에 보낸다. 또한, 기재의 편의상, 이 시각을 t1이라고 하여 이하의 설명을 진행한다. 이어서, 제 1 기판 처리 장치(1)로부터 반출된 FOUP(10)은 캐리어 대기부(100)에 반송되어, 여기서 대기한다(단계(S7)).Next, the FOUP 10 is lifted up from the carrier block B1 of the first substrate processing apparatus 1 by the carrier transport mechanism 3, for example OHT, and carried out (step S6). In addition, as described above, when the cover of the FOUP 10 is closed (when the door 92 is closed), the first device control unit 81 determines the time when the FOUP 10 is taken out so that the first device controller 81 can grasp this time. It is sent to the host computer 8 as time. For convenience of description, this time is referred to as t1, and the following description is given. Next, the FOUP 10 unloaded from the first substrate processing apparatus 1 is transported to the carrier waiting unit 100, where it stands by (step S7).

제 1 기판 처리 장치(1)의 설치 대수와 제 2 기판 처리 장치(2)의 설치 대수가 1:1로 대응하고 있는 경우에는, 제 1 기판 처리 장치(1)의 전체에 있어서의 단위 시간당의 기판의 처리 매수(스루풋)는, 예를 들면 노광 장치(B4)에 있어서의 단위 시간당의 기판의 처리 매수보다 많게 설정된다. 이 때문에 캐리어 대기부(100)가 버퍼 영역이 되어 상시 FOUP(10)가 대기하고 있는 상태가 되고, 노광 장치(B4)가 풀가동하게 된다. 또한 1대의 제 2 기판 처리 장치(2)에 대하여 제 1 기판 처리 장치(1)가 복수대 이용되는 경우에는, 복수대의 제 1 기판 처리 장치(1)의 전체의 스루풋이 노광 장치(B4)의 스루풋보다 많게 설정되게 된다.When the number of installed first substrate processing apparatuses 1 and the number of installed second substrate processing apparatuses 2 correspond 1:1, the total number of first substrate processing apparatuses 1 per unit time The number of substrates processed (throughput) is set higher than the number of substrates processed per unit time in the exposure apparatus B4, for example. For this reason, the carrier waiting unit 100 becomes a buffer area, and the FOUP 10 is always on standby, and the exposure apparatus B4 is fully operated. In addition, when a plurality of first substrate processing apparatuses 1 are used for one second substrate processing apparatus 2, the overall throughput of the plurality of first substrate processing apparatuses 1 is that of exposure apparatus B4. It is set higher than throughput.

계속해서, 캐리어 대기부(100)에 배치되어 있던 FOUP(10)이 캐리어 반송 기구(3)에 의해 매달아 올려져, 제 2 기판 처리 장치(2)에 있어서의 캐리어 블록(B1)의 배치 스테이지(91)에 배치된다(단계(S8)). 이어서 제 2 기판 처리 장치(2)에 있어서의 도어(92)가 FOUP(10)의 덮개부와 함께 열리고, FOUP(10)으로부터 웨이퍼(W)가 취출된다(단계(S9)).Subsequently, the FOUP 10 disposed in the carrier waiting unit 100 is lifted up by the carrier transport mechanism 3, and the carrier block B1 arrangement stage in the second substrate processing apparatus 2 ( 91) (step S8). Subsequently, the door 92 of the second substrate processing apparatus 2 is opened together with the cover of the FOUP 10, and the wafer W is taken out of the FOUP 10 (step S9).

이미 기술한 바와 같이, 제 2 장치 제어부(82)의 메모리(85) 내에는, 제 2 기판 처리 장치(2)에 반입된 FOUP(10)에 대하여 제 1 장치 제어부(81)로부터 상위 컴퓨터(8)를 경유하여 제 1 기판 처리 장치(1)의 반출 시각(t1)이 저장되어 있다. 그리고 제 2 장치 제어부(82)는 제 2 기판 처리 장치(2)의 캐리어 블록(B1)의 도어(92)가 열린 시각(t2)을 파악하고 있으므로, FOUP(10)의 방치 시간에 상당하는 시각(t2)으로부터 시각(t1)을 뺀 시간(Δt)를 구한다. 또한 제 2 장치 제어부(82)는 메모리(85) 내의 데이터로부터 이 방치 시간(Δt)에 대응하는 제 2 가열 유닛(50)의 가열 처리 시간을 읽어내고, 제 2 가열 유닛(50)의 가열 처리 시간으로서 설정한다.As already described, in the memory 85 of the second device control unit 82, the upper level computer 8 ), the unloading time t1 of the first substrate processing apparatus 1 is stored. And since the 2nd apparatus control part 82 grasps the open time t2 of the door 92 of the carrier block B1 of the 2nd substrate processing apparatus 2, the time corresponding to the leaving time of the FOUP 10 Time (Δt) obtained by subtracting time (t1) from (t2) is obtained. Further, the second apparatus control unit 82 reads the heat processing time of the second heating unit 50 corresponding to this leaving time Δt from the data in the memory 85, and the heat processing of the second heating unit 50 set as time

FOUP(10)으로부터 취출된 웨이퍼(W)는 제 2 가열 유닛(50)에 반입되고, 설정된 가열 처리 시간 동안 가열판 상에서 가열되어 제 2 PAB가 행해진다(단계(S10)). 즉, FOUP(10)의 방치 시간이 긴 경우에는, FOUP(10) 내의 웨이퍼(W)의 레지스트막 중의 용제의 휘발 시간이 길기 때문에 레지스트막 중의 용제의 양이 적고, 이 때문에 제 2 PAB의 시간이 짧게 설정된다. 반대로 FOUP(10)의 방치 시간이 짧은 경우에는, 레지스트막 중의 용제의 휘발 시간이 짧으므로 레지스트막 중의 용제의 양이 많고, 이 때문에 제 2 PAB의 시간이 길게 설정된다. 따라서, 제 2 PAB를 행한 후의 웨이퍼(W)의 레지스트막 중의 용제의 양은 로트간에서 일치하거나, 혹은 용제의 양의 불균일이 작아진다.The wafer W taken out from the FOUP 10 is carried into the second heating unit 50, heated on the heating plate for a set heat treatment time, and subjected to the second PAB (step S10). That is, when the FOUP 10 is left for a long time, since the volatilization time of the solvent in the resist film of the wafer W in the FOUP 10 is long, the amount of the solvent in the resist film is small, and therefore the second PAB time is set short. Conversely, when the FOUP 10 is left for a short period of time, the volatilization time of the solvent in the resist film is short, so the amount of solvent in the resist film is large, and for this reason, the second PAB is set longer. Therefore, the amount of the solvent in the resist film of the wafer W after performing the second PAB is consistent between lots, or the variation in the amount of the solvent is reduced.

그 후, 웨이퍼(W)는 제 2 가열 유닛(50)으로부터 취출되고, 노광 장치(B4)에 반입되어 노광이 행해진다(단계(S11)). 노광됨으로써 레지스트에 포함되어 있는 감광제로부터 산이 생성된다. 이어서 웨이퍼(W)는 노광 장치(B4), 인터페이스 블록(B3)을 통하여, 제 3 가열 유닛에 반입되어, 예를 들면 80 ∼ 100℃에서 60초 가열되어 PEB가 행해진다. 이 제 3 가열 유닛에 있어서의 노광 후의 가열 처리에 의해, 노광 시에 생성된 레지스트막 내의 산이 확산하여 촉매로서 작용하고, 예를 들면 레지스트막의 주성분인 베이스 수지를 분해한다(단계(S12)).Thereafter, the wafer W is taken out of the second heating unit 50, carried into the exposure apparatus B4, and exposed thereto (step S11). By being exposed to light, an acid is generated from the photosensitizer contained in the resist. Subsequently, the wafer W is carried into the third heating unit through the exposure apparatus B4 and the interface block B3, and is heated at, for example, 80 to 100° C. for 60 seconds to perform PEB. By the post-exposure heat treatment in this third heating unit, the acid in the resist film generated during exposure diffuses to act as a catalyst and decomposes, for example, the base resin which is the main component of the resist film (step S12).

제 3 가열 유닛으로부터 취출된 웨이퍼(W)는 현상 유닛에 반입되어 현상 처리된다(단계(S13)). 현상 유닛에 있어서는, 예를 들면 전술의 레지스트 도포 유닛과 동일하게 스핀 코팅에 의해 웨이퍼(W)에 현상액이 공급된다. 이에 의해 레지스트막에 있어서 PEB 시에 산이 확산되어 있던 부분이 용해되어, 레지스트 패턴이 형성된다. 그 후, 웨이퍼(W)는 FOUP(10)에 복귀된다(단계(S14)).The wafer W taken out from the third heating unit is loaded into the developing unit and developed (step S13). In the developing unit, a developing solution is supplied to the wafer W by spin coating, for example, similarly to the resist coating unit described above. This dissolves the portion of the resist film where the acid was diffused at the time of PEB, and a resist pattern is formed. After that, the wafer W is returned to the FOUP 10 (step S14).

상술의 실시 형태의 효과에 따르면 이하의 효과가 있다. 제 1 및 제 2 기판 처리 장치(1, 2) 내는 FFU에 의해 청정 분위기로 되어 있지만, 장치 밖의 분위기에서는 아민의 혼재를 피할 수 없다. 그 때문에 레지스트막이 형성된 웨이퍼(W)는, FOUP(10)에 복귀되어 제 1 기판 처리 장치(1)로부터 반출되고 나서 제 2 기판 처리 장치(2)에 반입될 때까지, 아민을 포함하는 분위기 중(대기 중)을 통과하게 된다.According to the effect of the above-described embodiment, there are the following effects. The inside of the first and second substrate processing apparatuses 1 and 2 is made into a clean atmosphere by FFU, but mixing of amines is unavoidable in the atmosphere outside the apparatus. Therefore, the wafer W on which the resist film is formed is returned to the FOUP 10 and transported from the first substrate processing apparatus 1 to the second substrate processing apparatus 2 in an atmosphere containing amine. (waiting).

공장 내는 클린 에어가 공급되어 있으므로 아민의 혼입량은 미량이지만, 예를 들면 화학 증폭형의 레지스트에 대해서는 미량의 아민이라도 산의 확산을 크게 저해한다. 이 때문에 제 1 기판 처리 장치(1)에서 이미 레지스트액이 도포된 후에 PAB가 행해져 있어도, FOUP(10)의 반송처인 제 2 기판 처리 장치(2)에서 노광 전에 제 2 PAB를 행함으로써 레지스트막 중의 아민이 비산하여 소실되고 현상 결함의 발생을 방지할 수 있어, 패턴의 선 폭의 불균일을 억제할 수 있다. Since clean air is supplied in the factory, the mixing amount of amine is small, but even a small amount of amine significantly inhibits acid diffusion in a chemically amplified resist, for example. For this reason, even if PAB is performed after the resist solution has already been applied in the first substrate processing apparatus 1, by performing the second PAB before exposure in the second substrate processing apparatus 2 serving as the transport destination of the FOUP 10, the resist film The amine scatters and disappears, and development defects can be prevented, and unevenness in the line width of the pattern can be suppressed.

또한 제 1 기판 처리 장치(1)로부터 FOUP(10)이 반출된 후, 제 2 기판 처리 장치(2)에 당해 FOUP(10)이 반입될 때까지의 방치 시간을 계측하고 있다. 그리고 이 방치 시간에 기초하여 제 2 기판 처리 장치(2)의 제 2 가열 유닛(50)에서 행해지는 가열 처리인 제 2 PAB의 가열 시간을 조정하고 있다. 이 때문에 웨이퍼(W)의 로트간에 있어서 레지스트막 중의 용제의 잔류량의 불균일이 억제되어, 레지스트 패턴의 형성 처리의 안정화를 도모할 수 있다.In addition, after the FOUP 10 is unloaded from the first substrate processing apparatus 1, the leaving time until the FOUP 10 is carried into the second substrate processing apparatus 2 is measured. And based on this waiting time, the heating time of the 2nd PAB which is the heat process performed by the 2nd heating unit 50 of the 2nd substrate processing apparatus 2 is adjusted. For this reason, unevenness in the residual amount of the solvent in the resist film between lots of wafers W is suppressed, and the resist pattern forming process can be stabilized.

또한 제 2 가열 유닛(50)의 가열 시간을 조정하는 것 대신에, 이미 기술한 방치 시간에 기초하여 가열 온도를 조정해도 된다. 후술하는 검증 시험에서 나타내는 바와 같이, 제 2 가열 유닛(50)의 가열 온도를 조정함으로써, 레지스트 패턴의 선 폭을 조정할 수 있다. 따라서, 이 경우에 있어서도 동일한 효과가 얻어진다. 이와 같이 가열 온도를 조정하는 예에 있어서는, 메모리(85) 내에는 방치 시간과 가열 온도를 대응시킨 데이터가 사전에 기억된다. 또한, 방치 시간에 따라 가열 시간과 가열 온도의 양방을 조정하도록 해도 되며, 이 경우에는 방치 시간과 가열 시간 및 가열 온도를 대응시킨 데이터가 메모리(85) 내에 기억되게 된다.In addition, instead of adjusting the heating time of the second heating unit 50, you may adjust the heating temperature based on the already described leaving time. As shown in the verification test described later, the line width of the resist pattern can be adjusted by adjusting the heating temperature of the second heating unit 50 . Therefore, the same effect can be obtained also in this case. In the example of adjusting the heating temperature in this way, data corresponding to the waiting time and the heating temperature is previously stored in the memory 85 . In addition, you may make it adjust both heating time and heating temperature according to the standing time, and in this case, the leaving time, heating time, and heating temperature data are stored in the memory 85.

또한 이미 기술한 방치 시간에 대해서는, 계측하는 것에 한정되지 않고, 예측하여 구하도록 해도 된다. 예를 들면 캐리어 대기부(100)에 새롭게 배치되는 FOUP(10)에 대하여, 이미 대기하고 있는 FOUP(10)의 대수에 따라 당해 캐리어 대기부(100)로부터 취출되는 시간을 예측할 수 있는 경우에는, 예를 들면 상위 컴퓨터(8)가 FOUP(10)의 대기 대수에 기초하여 방치 시간을 예측해도 된다. 이 경우 예측한 방치 시간이 상위 컴퓨터(8)로부터 제 2 장치 제어부(82)에 송신된다. In addition, the already-described leaving time is not limited to measuring, but may be estimated and obtained. For example, for a FOUP 10 newly placed in the carrier standby unit 100, when the time taken out from the carrier standby unit 100 can be predicted according to the number of FOUPs 10 already on standby, For example, the host computer 8 may predict the idle time based on the number of standby units of the FOUPs 10. In this case, the predicted idle time is transmitted from the host computer 8 to the second device controller 82.

또한, 공장을 가동했을 때의 통상의 방치 시간 정도의 짧은 시간에 레지스트막 중의 용제량이 일정화되는 레지스트를 이용하는 경우에는, 방치 시간에 따라 제 2 PAB의 가열 에너지를 조정하지 않아도 된다.Further, in the case of using a resist in which the amount of solvent in the resist film becomes constant in a short period of time, such as the normal waiting time when the factory is operating, it is not necessary to adjust the heating energy of the second PAB according to the waiting time.

또한 제 2 기판 처리 장치(2)에 캐리어 대기부를 마련해도 된다. 도 8은 이와 같은 경우의 구성례를 나타내고 있으며, 제 2 기판 처리 장치(2)의 캐리어 블록(B1)에 있어서의 배치 스테이지(91)의 상방측에 복수단, 예를 들면 2단의 선반부(101)가 마련되고, 각 선반부(101)에 캐리어를 배치하는 배치 스테이지(102)가 마련된다. 이 예에서는 최하단의 배치 스테이지(91)의 배열이 캐리어 블록(B1)에 상당하고, 상단 2단의 선반부(101)가 마련된 배치 스테이지(102)가 캐리어 대기부(100)에 상당한다. 또한 각 배치 스테이지(91, 102)의 사이에서 FOUP(10)을 이동시키기 위한, 캐리어 전달 기구(103)가 마련된다. 캐리어 전달 기구(103)는 승강용 가이드(104)를 따라 승강하고 배치 스테이지(102)의 배열을 따라 연장되는 도시하지 않은 수평 가이드와, 이 수평 가이드를 따라 이동 가능하게 구성되고 FOUP(10)의 헤드부(110)를 유지하는 관절 암(105)을 구비하고 있다. 이와 같은 구성에 있어서는, 제 1 기판 처리 장치(1)에 있어서 캐리어 블록(B1)으로부터 반출된 FOUP(10)을 캐리어 반송 기구(3)에 의해 상단측의 선반부(101)의 정해진 반입반출용의 배치 스테이지(102)에 전달하고, 이어서 FOUP(10)을 캐리어 전달 기구(103)에 의해 다른 배치 스테이지(102)에 전달하여 대기시킨다. 그 후 순서를 기다려, 당해 FOUP(10)이 캐리어 전달 기구(103)에 의해 캐리어 블록(B1)에 상당하는 최하단의 선반의 배치 스테이지(91)에 전달되고, 당해 FOUP(10) 내의 웨이퍼(W)가 취출된다.In addition, you may provide a carrier waiting part in the 2nd substrate processing apparatus 2. 8 shows a configuration example in such a case, and a plurality of stages, for example, two-stage shelves above the placement stage 91 in the carrier block B1 of the second substrate processing apparatus 2. 101 is provided, and a placement stage 102 for placing carriers on each shelf 101 is provided. In this example, the arrangement of the lowermost arrangement stages 91 corresponds to the carrier block B1, and the arrangement stage 102 provided with the upper two-stage shelves 101 corresponds to the carrier standby section 100. Further, a carrier delivery mechanism 103 is provided to move the FOUP 10 between the placement stages 91 and 102 . The carrier transfer mechanism 103 is configured to move up and down along the elevation guide 104 and along an unillustrated horizontal guide extending along the array of placement stages 102, and to be movable along the horizontal guide, of the FOUP 10. A joint arm 105 holding the head 110 is provided. In such a configuration, in the first substrate processing apparatus 1, the FOUP 10 carried out from the carrier block B1 is carried in and out of the upper shelf 101 by the carrier transport mechanism 3 is transferred to the placement stage 102, and then the FOUP 10 is transferred to another placement stage 102 by the carrier delivery mechanism 103 to stand by. Then, waiting for the turn, the FOUP 10 is transferred to the placement stage 91 of the lowest shelf corresponding to the carrier block B1 by the carrier transfer mechanism 103, and the wafer W in the FOUP 10 ) is extracted.

또한 상술의 실시 형태에 있어서는, 레지스트막의 노광된 영역에 산이 발생하여 레지스트막이 용해되는 기판 처리 방법이었지만, 레지스트막의 노광된 영역이 산에 의해 불가용화되는 기판 처리 방법이어도 된다.In the above-described embodiment, acid is generated in the exposed region of the resist film to dissolve the resist film, but a substrate treatment method in which the exposed region of the resist film is insolubilized by acid may be used.

또한 제 2 가열 유닛(50)에 있어서, 제 2 PAB와 PEB를 행해도 된다.Moreover, in the 2nd heating unit 50, you may perform 2nd PAB and PEB.

[검증 시험][verification test]

이미 기술한 방치 시간과 제 2 가열 유닛(50)의 설정 온도에 의한 레지스트 패턴의 CD(한계 치수 : Critical Dimension)와의 관계를 이하와 같이 하여 조사하였다. 상술의 실시 형태에 따른 기판 처리 시스템을 이용하여, 제 2 가열 유닛의 가열 시간을 60초로 설정하였다. 제 2 가열 유닛의 가열 온도를 80℃, 77.5℃, 75℃의 세 가지로 설정하고, 각각의 온도 조건에 있어서 방치 시간(FOUP(10)을 제 1 기판 처리 장치(1)의 밖에 방치한 시간)을 3, 6, 12시간의 세 가지로 설정하였다. 그리고 각 웨이퍼(W)에 대하여 제 2 가열 유닛(50)에 의해 가열 처리를 끝낸 후, 노광 현상을 행하여 패턴의 선 폭을 측정하였다. 또한 레지스트막이 형성되고, 제 1 기판 처리 장치(1)로부터 웨이퍼(W)를 수납한 FOUP(10)을 반출하여 바로 제 2 기판 처리 장치(2)에 반입한 예를 편의상 방치 시간을 0으로서 나타내었다.The relationship between the previously described standing time and the CD (critical dimension) of the resist pattern by the set temperature of the second heating unit 50 was investigated as follows. Using the substrate processing system according to the above-described embodiment, the heating time of the second heating unit was set to 60 seconds. The heating temperature of the second heating unit was set to 80°C, 77.5°C, and 75°C, and the leaving time at each temperature condition (the time the FOUP 10 was left outside the first substrate processing apparatus 1) ) was set to 3, 6, and 12 hours. Then, after heat treatment was completed on each wafer W by the second heating unit 50, exposure development was performed to measure the line width of the pattern. In addition, in an example in which a resist film is formed and the FOUP 10 containing the wafer W is taken out of the first substrate processing apparatus 1 and immediately carried into the second substrate processing apparatus 2, the leaving time is indicated as 0 for convenience. was

도 9는 이 결과를 나타내며, 제 2 가열 유닛(50)의 가열 온도를 80℃, 77.5℃, 75℃의 각각으로 설정했을 때의 방치 시간과 레지스트 패턴의 CD의 관계를 나타낸다.Fig. 9 shows these results and shows the relationship between the CD of the resist pattern and the standing time when the heating temperature of the second heating unit 50 is set to 80 DEG C, 77.5 DEG C, and 75 DEG C, respectively.

이 결과에 따르면, 방치 시간이 길어짐에 따라 CD가 굵어지고 있는 것을 알 수 있다.According to this result, it can be seen that the CD becomes thicker as the standing time increases.

도 9의 그래프로부터, 이미 기술한 예에 이용한 레지스트는, 웨이퍼(W)에 도포되어 가열 처리된 후(제 1 PAB가 행해진 후) 6시간의 긴 시간이 경과해도, 추가로 그 후의 경과 시간에 의해 CD가 커지고 있는 것을 알 수 있다. 또한 12시간을 경과해도 아직 용제량이 일정값이 되지 않는 것이 충분히 예측된다. 따라서 이 레지스트는 제 1 PAB를 행한 후의 방치 시간에 따라 용제의 양이 변한다는 것을 알 수 있고, 이 때문에 제 2 기판 처리 장치(2)에서, 방치 시간에 따른 가열 에너지에 의해 제 2 PAB를 행하는 것이 유효한 것이 이해된다.From the graph of FIG. 9 , the resist used in the example already described is applied to the wafer W and subjected to heat treatment (after the first PAB is performed), even if a long time of 6 hours has elapsed, furthermore, in the elapsed time thereafter, It can be seen that the CD increases with Moreover, even if 12 hours pass, it is fully predicted that the solvent amount will not yet become a constant value. Therefore, it can be seen that the amount of the solvent changes according to the waiting time after performing the first PAB, and for this reason, in the second substrate processing apparatus 2, performing the second PAB by heating energy according to the waiting time It is understood that this is valid.

또한, 제 2 가열 유닛(50)에 의한 가열 온도를 낮춤으로써 CD가 작아지는 것을 알 수 있다. 가열 온도를 올림으로써 레지스트막에 포함되는 용제가 휘발하여, PEB를 행하였을 때의 산의 확산 길이가 짧아지기 때문에 CD가 커진다고 추측된다.In addition, it can be seen that the CD is reduced by lowering the heating temperature by the second heating unit 50 . It is presumed that the CD increases because the solvent contained in the resist film volatilizes by raising the heating temperature and the diffusion length of the acid when performing PEB is shortened.

1 : 제 1 기판 처리 장치
2 : 제 2 기판 처리 장치
3 : 캐리어 반송 기구
5 : 레지스트 도포 유닛
6 : 제 1 가열 유닛
8 : 상위 컴퓨터
81 : 제 1 장치 제어부
82 : 제 2 장치 제어부
10 : FOUP
100 : 캐리어 대기부
W : 웨이퍼
1: first substrate processing device
2: 2nd substrate processing device
3: carrier transport mechanism
5: resist application unit
6: 1st heating unit
8: Parent computer
81: first device control unit
82: second device control unit
10: FOUP
100: carrier standby
W: Wafer

Claims (9)

기판을 수납하여 반송하는 캐리어가 반입반출되는 제 1 캐리어 블록과, 상기 제 1 캐리어 블록에 반입된 캐리어로부터 취출된 기판에 대하여 도포막을 형성하는 도포막 형성 유닛과, 상기 도포막이 형성된 기판을 가열 처리하는 제 1 가열 유닛을 포함하는 제 1 기판 처리 장치와,
상기 제 1 기판 처리 장치로부터 반출된 캐리어가 반입되는 제 2 캐리어 블록과, 상기 제 2 캐리어 블록에 반입된 캐리어로부터 취출된 기판을 가열 처리하는 제 2 가열 유닛을 포함하는 제 2 기판 처리 장치와,
상기 제 1 캐리어 블록으로부터 상기 제 2 캐리어 블록으로 상기 기판을 캐리어에 수납하여 반송하고,
상기 제 1 캐리어 블록으로부터 캐리어가 반출된 후로부터 상기 제 2 캐리어 블록에 상기 캐리어가 반입될 때까지의 시간을 포함하는 기판의 방치 시간에 기초하여, 상기 제 2 가열 유닛에 있어서의 가열 시간 및 가열 온도 중 적어도 일방을 구하는 제어부를 포함하는 것을 특징으로 하는 기판 처리 시스템.
A first carrier block into which a carrier for storing and transporting substrates is carried in and out, a coating film forming unit for forming a coating film on the substrate taken out of the carrier carried in the first carrier block, and heat treatment of the substrate on which the coating film is formed. A first substrate processing apparatus including a first heating unit to
A second substrate processing apparatus including a second carrier block into which the carrier unloaded from the first substrate processing apparatus is carried in, and a second heating unit which heat-processes the substrate taken out of the carrier carried into the second carrier block;
storing and conveying the substrate in a carrier from the first carrier block to the second carrier block;
Heating time and heating in the second heating unit based on the leaving time of the substrate including the time from when the carrier is taken out of the first carrier block to when the carrier is loaded into the second carrier block A substrate processing system comprising a control unit that obtains at least one of the temperatures.
기판을 수납하여 반송하는 캐리어가 반입반출되는 제 1 캐리어 블록과, 상기 제 1 캐리어 블록에 반입된 캐리어로부터 취출된 기판에 대하여 도포막을 형성하는 도포막 형성 유닛과, 상기 도포막이 형성된 기판을 가열 처리하는 제 1 가열 유닛을 포함하는 제 1 기판 처리 장치와,
상기 제 1 기판 처리 장치로부터 반출된 캐리어가 반입되는 제 2 캐리어 블록과, 상기 제 2 캐리어 블록에 반입된 캐리어로부터 취출된 기판을 가열 처리하는 제 2 가열 유닛을 포함하는 제 2 기판 처리 장치와,
상기 제 1 가열 유닛으로부터 상기 제 2 가열 유닛에서 가열될 때까지의 방치 시간에 기초하여, 상기 제 2 가열 유닛에 있어서의 가열 시간 및 가열 온도 중 적어도 일방을 구하는 제어부를 포함하는 것을 특징으로 하는 기판 처리 시스템.
A first carrier block into which a carrier for storing and transporting substrates is carried in and out, a coating film forming unit for forming a coating film on the substrate taken out of the carrier carried in the first carrier block, and heat treatment of the substrate on which the coating film is formed. A first substrate processing apparatus including a first heating unit to
A second substrate processing apparatus including a second carrier block into which the carrier unloaded from the first substrate processing apparatus is carried in, and a second heating unit which heat-processes the substrate taken out of the carrier carried into the second carrier block;
and a controller for determining at least one of a heating time and a heating temperature in the second heating unit based on a standing time from the first heating unit to being heated in the second heating unit. processing system.
제 1 항 또는 제 2 항에 있어서,
상기 제 2 기판 처리 장치는 노광 장치에 접속되고,
상기 제어부는,
상기 기판을 상기 제 2 가열 유닛으로 가열한 후, 상기 노광 장치로 노광하는 것을 특징으로 하는 기판 처리 시스템.
According to claim 1 or 2,
the second substrate processing device is connected to an exposure device;
The control unit,
The substrate processing system characterized in that, after heating the substrate with the second heating unit, exposure is performed with the exposure device.
제 3 항에 있어서,
상기 제 2 기판 처리 장치는 상기 기판을 현상하는 현상 유닛을 포함하고,
상기 제어부는,
상기 기판을 노광 후, 상기 현상 유닛으로 현상하는 것을 특징으로 하는 기판 처리 시스템.
According to claim 3,
The second substrate processing apparatus includes a developing unit that develops the substrate;
The control unit,
After exposing the substrate, the substrate processing system is characterized in that it develops with the developing unit.
제 1 항 또는 제 2 항에 있어서,
상기 제 1 기판 처리 장치는 상기 제 1 기판 처리 장치를 제어하는 제 1 제어부를 포함하고,
상기 제 2 기판 처리 장치는 상기 제 2 기판 처리 장치를 제어하는 제 2 제어부를 포함하는 것을 특징으로 하는 기판 처리 시스템.
According to claim 1 or 2,
The first substrate processing apparatus includes a first control unit for controlling the first substrate processing apparatus,
The second substrate processing apparatus comprises a second control unit for controlling the second substrate processing apparatus.
제 5 항에 있어서,
상기 제 1 제어부와 상기 제 2 제어부에 접속되는 상위 제어부를 더 포함하는 것을 특징으로 하는 기판 처리 시스템.
According to claim 5,
The substrate processing system further comprises an upper control unit connected to the first control unit and the second control unit.
제 6 항에 있어서,
상기 상위 제어부는,
상기 제 1 제어부로부터 캐리어가 상기 제 1 기판 처리 장치로부터 반출된 반출 시각을 수신하고, 상기 반출 시각을 상기 제 2 제어부로 송신하는 것을 특징으로 하는 기판 처리 시스템.
According to claim 6,
The upper control unit,
The substrate processing system according to claim 1, wherein the transport time of the carrier is unloaded from the first substrate processing apparatus is received from the first control unit, and the transport time is transmitted to the second control unit.
다른 기판 처리 장치로부터 반출된 캐리어가 반입되는 캐리어 블록과, 상기 캐리어 블록에 반입된 캐리어로부터 취출된 기판을 가열 처리하는 가열 유닛을 포함하는 기판 처리 장치로서,
상기 다른 기판 처리 장치의 캐리어 블록으로부터 상기 캐리어 블록으로 상기 기판을 캐리어에 수납하여 반송하고,
상기 다른 기판 처리 장치의 캐리어 블록으로부터 캐리어가 반출된 후로부터 상기 기판 처리 장치의 상기 캐리어 블록으로 당해 캐리어가 반입될 때까지의 시간을 포함하는 기판의 방치 시간에 기초하여, 구해진 가열 시간 및 가열 온도 중 적어도 일방에 따라 상기 가열 유닛에 있어서의 가열을 행하는 것을 특징으로 하는 기판 처리 장치.
A substrate processing apparatus including a carrier block into which a carrier carried in from another substrate processing apparatus is carried in, and a heating unit which heat-processes a substrate taken out of the carrier carried into the carrier block,
storing and transporting the substrate in a carrier from a carrier block of the other substrate processing apparatus to the carrier block;
Heating time and heating temperature obtained based on the waiting time of the substrate, including the time from when the carrier is unloaded from the carrier block of the other substrate processing apparatus until the carrier is carried into the carrier block of the substrate processing apparatus. A substrate processing apparatus characterized by performing heating in the heating unit according to at least one of the above.
제 1 기판 처리 장치에서 기판에 도포막을 형성하는 공정과,
상기 제 1 기판 처리 장치에서 상기 도포막이 형성된 기판을 가열 처리하는 공정과,
그 후, 상기 기판을 캐리어에 수납하여 상기 제 1 기판 처리 장치의 제 1 캐리어 블록으로부터 제 2 기판 처리 장치의 제 2 캐리어 블록에 반송하는 공정과,
상기 제 1 기판 처리 장치의 상기 제 1 캐리어 블록으로부터 캐리어가 반출된 후로부터 상기 제 2 기판 처리 장치의 상기 제 2 캐리어 블록에 상기 캐리어가 반입될 때까지의 시간을 포함하는 기판의 방치 시간에 기초하여, 구해진 가열 시간 및 가열 온도의 적어도 일방에 의해 상기 제 2 기판 처리 장치에서 기판을 가열 처리하는 공정을 포함하는 것을 특징으로 하는 기판 처리 방법.
a step of forming a coating film on a substrate in a first substrate processing apparatus;
a step of heating the substrate on which the coating film is formed in the first substrate processing apparatus;
Thereafter, a step of accommodating the substrate in a carrier and conveying it from a first carrier block of the first substrate processing apparatus to a second carrier block of a second substrate processing apparatus;
Based on the leaving time of the substrate including the time from when the carrier is unloaded from the first carrier block of the first substrate processing apparatus until the carrier is carried into the second carrier block of the second substrate processing apparatus and a step of heating the substrate in the second substrate processing apparatus according to at least one of the obtained heating time and heating temperature.
KR1020237027631A 2015-04-16 2016-03-28 Substrate processing method, substrate processing system and substrate processing apparatus KR20230124759A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JPJP-P-2015-084406 2015-04-16
JP2015084406 2015-04-16
JP2016011544A JP6512119B2 (en) 2015-04-16 2016-01-25 Substrate processing method, substrate processing system and substrate processing apparatus
JPJP-P-2016-011544 2016-01-25
PCT/JP2016/059808 WO2016167105A1 (en) 2015-04-16 2016-03-28 Substrate processing method, substrate processing system, and substrate processing device
KR1020177029497A KR102568357B1 (en) 2015-04-16 2016-03-28 Substrate processing method, substrate processing system and substrate processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177029497A Division KR102568357B1 (en) 2015-04-16 2016-03-28 Substrate processing method, substrate processing system and substrate processing apparatus

Publications (1)

Publication Number Publication Date
KR20230124759A true KR20230124759A (en) 2023-08-25

Family

ID=57126186

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237027631A KR20230124759A (en) 2015-04-16 2016-03-28 Substrate processing method, substrate processing system and substrate processing apparatus

Country Status (2)

Country Link
KR (1) KR20230124759A (en)
WO (1) WO2016167105A1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007335626A (en) 2006-06-15 2007-12-27 Tokyo Electron Ltd Substrate processing system and substrate conveying method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08172046A (en) * 1994-12-16 1996-07-02 Nippon Steel Corp Semiconductor production device
JPH1130868A (en) * 1997-07-10 1999-02-02 Toshiba Corp Pattern forming method
JP2001338865A (en) * 2000-05-30 2001-12-07 Nec Corp Semiconductor exposure method and semiconductor manufacturing apparatus
JP2002214802A (en) * 2001-01-12 2002-07-31 Hitachi Ltd Method for producing semiconductor device
JP4742793B2 (en) * 2005-09-30 2011-08-10 大日本印刷株式会社 Resist substrate, resist pattern forming method, and resist substrate storage method
JP2011204774A (en) * 2010-03-24 2011-10-13 Toshiba Corp Pattern forming method, and dehydrator

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007335626A (en) 2006-06-15 2007-12-27 Tokyo Electron Ltd Substrate processing system and substrate conveying method

Also Published As

Publication number Publication date
WO2016167105A1 (en) 2016-10-20

Similar Documents

Publication Publication Date Title
KR102568357B1 (en) Substrate processing method, substrate processing system and substrate processing apparatus
JP4376072B2 (en) Substrate processing apparatus and substrate processing method
JP5392190B2 (en) Substrate processing system and substrate processing method
KR102503838B1 (en) Substrate heating device
JPH07297258A (en) Carrying equipment of plate body
TWI665142B (en) Storage device and storage method
JP5025231B2 (en) Substrate transfer processing equipment
JP2000252181A (en) Apparatus and method for heat treatment and processing apparatus
US11244848B2 (en) Apparatus and method for treating substrate
TW201327705A (en) Substrate processing apparatus, substrate processing method and storage medium
US20030200918A1 (en) Apparatus for forming coating film and apparatus for curing the coating film
JP2002043208A (en) Method for coating and development
KR102247822B1 (en) Liquid supply unit and substrate processing apparatus
KR20230124759A (en) Substrate processing method, substrate processing system and substrate processing apparatus
JP2001274221A (en) Apparatus and method for transferring plate-form body and treatment apparatus
US20210020473A1 (en) Substrate treating system and substrate transporting method
JP6211886B2 (en) Heat treatment method and heat treatment apparatus
JP4024980B2 (en) Heat treatment method and heat treatment apparatus
JP5442890B2 (en) Substrate processing equipment
KR102516013B1 (en) Substrate heating apparatus and substrate heating method
KR20170055819A (en) Substrate treating apparatus
KR100882474B1 (en) Apparatus for processing a substrate having a cleaning unit
JP2014067940A (en) Substrate processing system
JP2003142552A (en) Substrate treatment apparatus
JP6241777B2 (en) Substrate processing apparatus and substrate processing method

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal