KR20230093454A - 칩 핫스팟에서 스택된 비아 리벳 - Google Patents

칩 핫스팟에서 스택된 비아 리벳 Download PDF

Info

Publication number
KR20230093454A
KR20230093454A KR1020237016647A KR20237016647A KR20230093454A KR 20230093454 A KR20230093454 A KR 20230093454A KR 1020237016647 A KR1020237016647 A KR 1020237016647A KR 20237016647 A KR20237016647 A KR 20237016647A KR 20230093454 A KR20230093454 A KR 20230093454A
Authority
KR
South Korea
Prior art keywords
rivet
cell
stress
dielectric
hotspot
Prior art date
Application number
KR1020237016647A
Other languages
English (en)
Inventor
두레세띠 치담바라오
데이비드 월퍼트
아쓰시 오기노
매튜 티. 구조스키
스티븐 폴 오스트랜더
투힌 신하
마이클 스튜어트 그레이
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20230093454A publication Critical patent/KR20230093454A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/14Force analysis or force optimisation, e.g. static or dynamic forces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Connection Of Plates (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

복수의 유전체 영역들을 포함하는 구조가 설명된다. 상기 구조는 리벳 셀(rivet cell)을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들(vias)의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟(stress hotspot)을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나일 수 있다. 상기 응력 핫스팟은 상기 구조를 가로지르는 복수의 응력 핫스팟들 중 하나일 수 있다. 상기 리벳 셀의 길이는 상기 리벳 셀의 길이와 상기 구조의 에너지 방출률(energy release rate) 사이의 관계 모델에 기초할 수 있다. 상기 리벳 셀은 상이한 유전 상수들을 갖는 제1 유전체 영역과 제2 유전체 영역 사이의 계면을 관통할 수 있다.

Description

칩 핫스팟에서 스택된 비아 리벳
[0001] 본 발명은 구조(a structure)와 관련되며, 상기 구조는 그 구조의 하나 또는 그 이상의 유전체 층들을 관통(thread through)하는 비아들(vias)의 부분적 스택(stack)을 포함한다.
[0002] 반도체 디바이스들은 상이한 유전 상수(dielectric constant) k 값들을 갖는 복수의 유전체 영역들 또는 층들을 포함하는 구조들(예컨대, 후공정(back-end-of-line, BEOL) 구조들)을 포함할 수 있다. 상이한 k를 갖는 유전체 층들 사이의 계면들(interfaces)은 크랙 전파(the crack propagation)를 구동하는(drive) 다양한 요인들로 인해 크랙 또는 층분리(delaminate)될 수 있다. 크랙 또는 층분리의 구동력(driving forces)은 패키지 및 칩 구조들에서의 다양한 재료들 사이의 상이한 열 팽창(또는 수축), 재료들에 잔류하는 응력들(stresses) 등과 같은 요인들을 포함한다. 칩 및 패키지 구동력에 더하여, 칩의 후공정(BEOL) 구조에서 금속과 유전체들 사이에서 열팽창 부정합의 일부가 발생할 수 있다. 계면들에서 크랙 또는 층분리가 있는 반도체 디바이스에 대한 작업들은 불량에 취약할 수 있다. 몇몇 예들에 있어서, 크랙들은 상이한 BEOL 레벨들 사이에서(예컨대, 금속 선들 중 하나와 비아들 사이에서) 개방(opens) 불량을 초래할 수 있고 그래서 전체 칩이 동작불가능하게 할 수 있다. 몇몇 예들에 있어서, 크랙들은 또한 BEOL 구조에서 형성된 전류 경로들의 저항을 증가시킬 수 있고, 그래서 그 증가된 저항은 반도체 디바이스 내에서 원치않는 열을 발생시킬 수 있다.
[0003] 크랙 또는 층분리 문제에 대처하기 위한 몇몇 종래의 해결책들은, 예를 들어, 재료들 사이의 열팽창계수(coefficient of thermal expansion, CTE) 부정합을 조절함으로써 응력을 최소화하는 것, 또는 증착 조건들 등을 변경함으로써 재료들 내 잔류 응력을 변화시키는 것을 포함할 수 있다. 다른 공정 기술들은, 다양한 재료들 사이의 크랙 발생 성향이 감소되도록 하기 위해, 다양한 재료들 사이의 계면 특성들을 향상시키는 것을 포함한다. 하지만, 구조 내에서 응력은 완전히 제거되지 않을 수 있고, 그래서 크랙의 전파 가능성이 여전히 존재할 수 있다는 것이다. 다른 종래의 해결책들은, 예를 들어, 크랙 및/또는 층분리의 변화들을 감소시키기 위해 구조에서 비아-대-비아 계면들의 수(the number of via- to-via interfaces)를 감소시키는 것을 포함할 수 있다. 하지만, 크랙들의 가능성이 여전히 존재한다. 또 다른 종래의 해결책은, 예를 들어, 유전체 영역들 또는 층들 사이에 본딩 패드들 또는 커넥터들을 삽입하는 것을 포함할 수 있으나, 이들 본딩 패드들, 컨넥터들을 삽입할 위치가 명시되지 않는 문제가 있다.
[0004] 몇몇 예들에 있어서, 리벳 셀(rivet cell)을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들(stacked vias)의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟(stress hotsopt)을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다.
[0005] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 바이들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 구조의 제1 코너로부터 제1 측방향 거리(lateral distance)에 위치한 제1 리벳 셀일 수 있다. 상기 구조는 상기 구조의 제2 코너로부터 제2 측방향 거리에 위치한 제2 리벳 셀을 더 포함할 수 있다.
[0006] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나일 수 있고, 리벳 셀들의 수(the number of rivet cells)는 상기 응력 핫스팟의 크기에 기초할 수 있다.
[0007] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 응력 핫스팟은 상기 구조를 가로지르는 복수의 응력 핫스팟들 중 하나일 수 있으며, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함할 수 있다.
[0008] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 바이들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀의 길이는 상기 리벳 셀의 길이와 상기 구조의 에너지 방출률(energy release rate) 사이의 관계 모델에 기초할 수 있다.
[0009] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 바이들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 구조는 반도체 디바이스의 후공정(back-end-of-line, BEOL) 구조일 수 있다.
[0010] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역을을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면을 관통할 수 있다. 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수들을 가질 수 있다.
[0011] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면을 관통할 수 있다. 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수들을 가질 수 있다. 상기 제1 유전체 영역은 경성(hard) 유전체 재료들을 포함할 수 있고, 상기 제2 유전체 영역은 연성(soft) 유전체 재료들을 포함할 수 있다.
[0012] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축(construct)하도록 하나의 디바이스(a device)에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축(construct)될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다.
[0013] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀 데이터는 다수의(multiple) 리벳 셀들의 다수의(multiple) 삽입 위치들을 더 나타낼 수 있고, 상기 다수의 삽입 위치들은 상기 응력 핫스팟 내에 있다.
[0014] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 관계의 모델링은, 상기 응력 핫스팟의 위치에서 이상현상(anomaly)의 투영(projection)에 기초하여, 그리고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행될 수 있다. 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분 그리고 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다. 상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초할 수 있다.
[0015] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스에 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 투영된 이상현상은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에서의 크랙일 수 있다.
[0016] 몇몇 예들에 있어서 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수 값들을 가질 수 있다.
[0017] 몇몇 예들에 있어서 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구성될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 응력 핫스팟은 상기 구조를 가로지르는 복수의 핫스팟들 중 하나일 수 있고, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함할 수 있다.
[0018] 몇몇 예들에 있어서 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나일 수 있고, 리벳 셀들의 수는 상기 응력 핫스팟의 크기에 기초할 수 있다.
[0019] 몇몇 예들에 있어서 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀의 최적의 길이에 관한 확인은 가장 낮은 에너지 방출률의 결과를 가져오는 리벳 셀의 길이를 확인하는 단계를 포함할 수 있다.
[0020] 몇몇 예들에 있어서 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함할 수 있다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 방법은 상기 구조의 3차원(3D) 모델을 생성하는 단계를 더 포함할 수 있다. 상기 방법은 상기 3D 모델에 관한 응력 분석을 수행하기 위해 명령을 실행하는 단계를 더 포함할 수 있다. 상기 방법은 상기 응력 분석의 출력으로부터 상기 응력 핫스팟의 위치를 확인하는 단계를 더 포함할 수 있다.
[0021] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자(processing element)에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다.
[0022] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 상기 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 다른 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 관계의 모델링은 상기 응력 핫스팟의 위치에서 이상현상(anomaly)의 투영에 기초하고 그리고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행될 수 있다. 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분과 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다. 상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초할 수 있다.
[0023] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 상기 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 다른 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 관계의 모델링은 상기 응력 핫스팟의 위치에서 이상현상(anomaly)의 투영에 기초하고 그리고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행될 수 있다. 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분과 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다. 상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초할 수 있다. 상기 투영된 이상현상은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에서의 크랙일 수 있다.
[0024] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 다른 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 관계의 모델링은 상기 응력 핫스팟의 위치에서 이상현상(anomaly)의 투영에 기초하고 그리고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행될 수 있다. 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분과 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다. 상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초할 수 있다. 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수의 값들을 갖는다.
[0025] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나일 수 있고, 상기 리벳 셀들의 수는 상기 응력 핫스팟들의 크기에 기초할 수 있다.
[0026] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 응력 핫스팟은 상기 구조를 가로지르는(across) 복수의 응력 핫스팟들 중 하나일 수 있고, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함할 수 있다.
[0027] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에게 명령하기 위해 상기 디바이스에 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 최적의 길이를 확인하기 위해 가장 낮은 에너지 방출률의 결과를 가져오는 리벳 셀의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다.
[0028] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 프로그램 명령들은, 상기 구조의 3차원(3D) 모델을 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은 상기 3D 모델에 관한 응력 분석을 수행하기 위해 명령을 실행하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은 상기 응력 분석의 출력으로부터 상기 응력 핫스팟의 위치를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다.
[0029] 도 1a는 일 실시예에 있어서, 리벳 셀을 포함하는 예시적인 구조의 횡단면 뷰이다.
[0030] 도 1b는 일 실시예에 있어서, 추가적인 리벳 셀들을 갖는 도 1a의 구조의 횡단면 뷰이다.
[0031] 도 2는 일 실시예에 있어서, 리벳 셀을 구축하기 위해 구현될 수 있는 예시적인 시스템을 나타내는 다이어그램이다.
[0032] 도 3a는 일 실시예에 있어서, 구조 상의 응력 핫스팟을 식별하는 데 사용될 수 있는 응력 핫스팟 모델링의 예시적인 구현을 나타내는 다이어그램이다.
[0033] 도 3b는 일 실시예에 있어서, 도 3a의 응력 핫스팟 모델링의 구현으로부터의 플롯을 나타내는 다이어그램이다.
[0034] 도 4는 본 발명에 따라 에너지 방출률 모델링을 수행하는 데 사용될 수 있는 예시적인 모델들을 나타내는 다이어그램이다.
[0035] 도 5는 일 실시예에 있어서, 리벳 셀의 길이를 확인하는 데 사용될 수 있는 에너지 방출률 모델링의 예시적인 구현을 나타내는 다이어그램이다.
[0036] 도 6은 일 실시예에 있어서, 칩 핫스팟들에서의 스택된 비아 리벳들과 관련된 플로우 다이어그램을 나타낸다.
[0037] 도 7은 일 실시예에 있어서, 칩 핫스팟들에서의 스택된 비아 리벳들의 생성을 구현할 수 있는 예시적인 컴퓨터 또는 처리 시스템의 개략도를 나타낸다.
[0038] 본 발명은 이제 이하의 논의 및 본 출원서에 첨부되는 도면들을 참조하여 더 상세히 설명될 것이다. 본 출원서의 도면들은 단지 예시적인 목적으로 제공된 것이며, 따라서, 도면들은 축척에 맞도록 도시된 것이 아니라는 점에 유의한다. 또한 유사하고 대응되는 구성요소들은 유사한 참조 부호들로 참조된다는 점에 유의한다.
[0039] 이하의 설명에서, 본 발명의 다양한 실시예들에 관한 이해를 제공하기 위해, 예를 들어, 특정 구조들, 컴포넌트들, 재료들, 치수들, 처리 단계들 및 기술들과 같은 많은 구체적인 세부내용들이 제시된다. 하지만, 당해 기술 분야에서 통상의 기술을 가진 자라면, 이들 구체적인 세부내용들이 없이도 본 발명의 다양한 실시예들이 실시될 수 있다는 것을 이해할 것이다. 다른 경우들에 있어서, 본 발명을 모호하게 하는 것을 방지하기 위해, 잘 알려진 구조들 또는 처리 단계들은 상세히 설명되지 않았다.
[0040] 하나의 층, 영역 또는 기판으로서의 구성요소가 또 다른 구성요소의 "상(on)" 또는 "위(over)" 에 있는 것으로 일컬어지는 경우, 그것은 다른 구성요소 바로 위에 있을 수도 있고 또는 중간의 구성요소들이 또한 존재할 수도 있다는 것으로 이해될 것이다. 이에 반해, 하나의 구성요소가 다른 구성요소의 "직상(directly on)" 또는 "바로 위(directly over)" 에 있는 것으로 일컬어지는 경우, 그들 사이에는 아무런 중간의 구성요소들이 존재하지 않는다. 또한 하나의 구성요소가 다른 구성요소의 "하(beneath)" 또는 "아래(under)"에 있는 것으로 일컬어지는 경우, 그것은 그 다른 구성요소의 바로 아래에 있을 수도 있고 중간의 구성요소들이 존재할 수도 있다는 것으로 이해될 것이다. 이에 반해, 하나의 구성요소가 다른 구성요소의 "직하(directly beneath)" 또는 "바로 아래(directly under)" 에 있는 것으로 일컬어지는 경우, 그들 사이에는 아무런 중간의 구성요소들이 존재하지 않는다.
[0041] 이하에서 더 상세히 설명되는 바와 같이, 여기에서 설명되는 구조는 핫스팟 영역들에 위치한 하나 또는 그 이상의 특별히 설계된 부분적 스택 비아 세트들(partial stack via sets)을 포함한다. 나아가, 여기에서 설명되는 방법들 및 시스템들은, 이들 다수의 부분적 비아 세트들 중 얼마나 많은 개수를 배치할 수 있는지와 함께 다양한 모델링 기술들을 사용함에 의해 부분적 스택 비아 세트들의 영역들 및 정확한 위치들을 확인할 수 있다. 상기 부분적 스택 비아 세트들은 "리벳 셀들(rivet cells)"로 일컬어질 수 있으며, 여기서 상기 부분적 스택 비아 세트들은 특히 연성 유전체(soft dielectrics)를 통과하는 리벳일 수 있으며 다수의 유전체 층들을 위한 앵커들(anchors)로서의 역할을 수행하도록 의도될 수 있다. 나아가, 상기 리벳 셀들은, 그 금속 스택 내부에 있을 수 있는 터치 본드 패드들로 한정될 필요는 없고 본드 패드 또는 C4 구조들 아래의 다수의 금속 레벨들을 통해 연장된다. 더 나아가, 다수의 리벳 셀들은 칩의 다양한 영역들에서 사용될 수 있고, 네트워크로서 취급될 필요는 없으며, 본드 패드들 또는 디바이스 컨택들까지 연장될 필요가 없을 수도 있다. 칩의 코너들(corners) 가까이의 응력 핫스팟 영역들은. 크랙들이 나타나기 전에 지지력(support)을 제공하기 위해 리벳 셀들이 그러한 핫스팟 영역들에 삽입될 수 있도록, 모델링될 수 있다. 또한, 상기 리벳 셀들의 크기는 상기 리벳 셀들의 크기와 환경적 변화들에 응답하는 구조의 잠재적 반응(예컨대, 온도 변화, 응력, 힘 등에 대응되는 열적 팽창 또는 수축) 사이의 관계에 관한 모델들에 기초하여 결정된다.
[0042] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 바이들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 영역을 관통할 수 있다. 상기 구조에서 리벳 셀은 상기 적어도 하나의 유전체 영역 중 한 쌍(pair)의 유전체 영역들 사이의 계면에 존재할 수 있는 크랙의 전파를 방지할 수 있다.
[0043] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 바이들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 구조의 제1 코너로부터 제1 측방향 거리에 위치한 제1 리벳 셀일 수 있다. 상기 구조는 상기 구조의 제2 코너로부터 제2 측방향 거리에 위치한 제2 리벳 셀을 더 포함할 수 있다. 상기 구조에서 상기 제1 리벳 셀 및 상기 제2 리벳 셀은 상기 구조의 다수의 응력 핫스팟들에 걸쳐 존재할 수 있는 크랙들의 전파를 방지할 수 있다.
[0044] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나일 수 있고, 상기 리벳 셀들의 수는 상기 응력 핫스팟들의 크기에 기초할 수 있다. 상기 응력 핫스팟에서 상기 다수의 리벳 셋들은 상기 응력 핫스팟에서, 및/또는 상기 구조의 다수의 응력 핫스팟들에 걸쳐 존재할 수 있는 크랙들의 전파를 방지할 수 있다.
[0045] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 바이들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 응력 핫스팟은 상기 구조를 가로지르는 복수의 응력 핫스팟들 중 하나일 수 있고, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함할 수 있다. 상기 구조에서 리벳 셀은 상기 구조의 다수의 응력 핫스팟들에 걸쳐 존재할 수 있는 크랙들의 전파를 방지할 수 있다.
[0046] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들의 셋트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀의 길이는 상기 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계의 모델에 기초할 수 있다. 상기 관계의 모델은 상기 리벳 셀의 최적의 크기 및 상기 구조에서 리벳 셀의 최적의 위치를 제공할 수 있다.
[0047] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 비아들을 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 구조는 반도체 디바이스의 후공정(BEOL) 구조일 수 있다. 상기 구조에서 리벳 셀은 상기 BEOL 구조에 존재할 수 있는 크랙의 전파를 방지할 수 있다.
[0048] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역을을 포함할 수 있다. 상기 리벳 셀은 스택된 바이들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면을 관통할 수 있다. 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수들을 가질 수 있다. 상기 구조에서 리벳 셀은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에 존재할 수 있는 크랙의 전파를 방지할 수 있다.
[0049] 몇몇 예들에 있어서, 리벳 셀을 포함하는 구조가 일반적으로 설명된다. 상기 구조는 복수의 유전체 영역들을 포함할 수 있다. 상기 리벳 셀은 스택된 바이들의 세트를 포함할 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있다. 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면을 관통할 수 있다. 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수들을 가질 수 있다. 상기 제1 유전체 영역은 경성 유전체 재료들을 포함할 수 있고 상기 제2 유전체 영역은 연성 유전체 재료들을 포함할 수 있다. 상기 구조에서 리벳 셀은 상기 경성 유전체 영역과 상기 연성 유전체 영역 사이의 계면에 존재할 수 있는 크랙의 전파를 방지할 수 있다.
[0050] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 구조에서 상기 리벳 셀의 삽입은 상기 적어도 하나의 유전체 영역들 중 한 쌍의 유전체 영역들 사이의 계면에 존재할 수 있는 크랙의 전파를 방지할 수 있다.
[0051] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀 데이터는 다수의(multiple) 리벳 셀들의 다수의(multiple) 삽입 위치들을 더 지시할 수 있고, 상기 다수의 삽입 위치들은 상기 응력 핫스팟들 내에 있다. 상기 다수의 삽입 위치들에 있는 리벳 셀들은 상기 구조의 다수의 응력 핫스팟들에 걸쳐 존재할 수 있는 크랙들의 전파를 방지할 수 있다.
[0052] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 관계의 모델링은 상기 응력 핫스팟의 위치에서 이상현상의 투영에 기초하고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면 상에서 수행될 수 있다. 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분과 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다. 상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초할 수 있다. 상기 관계의 모델은 상기 리벳 셀의 최적의 크기 및 상기 구조에서 리벳 셀의 최적의 위치를 제공할 수 있다.
[0053] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스에 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 투영된 이상현상은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에서 크랙이다. 상기 구조에서 리벳 셀은 크랙 또는 층분리의 전파를 방지할 수 있다.
[0054] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수 값들을 가질 수 있다. 상기 구조에서 리벳 셀은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에 존재할 수 있는 크랙의 전파를 방지할 수 있다.
[0055] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 응력 핫스팟은 상기 구조를 가로지르는 복수의 응력 핫스팟들 중 하나일 수 있고, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함할 수 있다. 상기 구조에서 리벳 셀은 상기 구조의 다수의 응력 핫스팟들에 걸쳐 존재할 수 있는 크랙들의 전파를 방지할 수 있다.
[0056] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나일 수 있고, 상기 리벳 셀들의 수는 상기 응력 핫스팟의 크기에 기초할 수 있다. 상기 응력 핫스팟에서 다수의 리벳 셀들은 상기 응력 핫스팟에, 및/또는 상기 구조의 다수의 응력 핫스팟들에 걸쳐 존재할 수 있는 크랙들의 전파를 방지할 수 있다.
[0057] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구성하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀의 최적의 길이에 관한 확인은 가장 낮은 에너지 방출률의 결과를 가져오는 리벳 셀의 길이를 확인하는 단계를 포함할 수 있다. 상기 관계의 모델은 상기 리벳 셀의 최적의 크기 및 상기 구조에서 리벳 셀의 최적의 위치를 제공할 수 있다.
[0058] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 결정하는 방법이 일반적으로 설명된다. 상기 방법은 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 포함할 수 있다. 상기 방법은 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 더 포함할 수 있다. 상기 방법은 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 더 포함한다. 상기 방법은 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 더 포함할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 방법은 상기 리벳 셀 데이터에 따라 상기 구조를 구축하도록 하나의 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 더 포함할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 방법은 상기 구조의 3차원(3D) 모델을 생성하는 단계를 더 포함할 수 있다. 상기 방법은 상기 3D 모델에 관한 응력 분석을 수행하기 위해 명령을 실행하는 단계를 더 포함할 수 있다. 상기 방법은 상기 응력 분석의 출력으로부터 상기 응력 핫스팟의 위치를 확인하는 단계를 더 포함할 수 있다. 상기 응력 분석은 상기 구조에서 리벳 셀의 최적의 위치를 확인하는 데 사용될 수 있는 응력 핫스팟의 위치를 제공할 수 있다.
[0059] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 지시하는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구성하도록 상기 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 구조에서 리벳 셀은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에 존재할 수 있는 크랙의 전파를 방지할 수 있다.
[0060] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고, 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 관계의 모델링은 상기 응력 핫스팟의 위치에서 이상현상의 투영에 기초하고 그리고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행될 수 있다. 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분과 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다. 상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초할 수 있다. 상기 관계의 모델링은 상기 리벳 셀의 최적의 크기 및 상기 구조에서 리벳 셀의 최적의 위치를 제공할 수 있다.
[0061] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단게를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고, 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 관계의 모델링은 상기 응력 핫스팟의 위치에서 이상현상의 투영에 기초하고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행될 수 있다. 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분과 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다. 상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초할 수 있다. 상기 투영된 이상현상은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에서의 크랙일 수 있다. 상기 구조에서의 리벳 셀은 상기 크랙 또는 층분리의 전파를 방지할 수 있다.
[0062] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구성하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고, 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 관계의 모델링은 상기 응력 핫스팟의 위치에서 이상현상의 투영에 기초하고 그리고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행될 수 있다. 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분과 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다. 상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초할 수 있다. 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수의 값들을 갖는다. 상기 구조에서 리벳 셀은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에 존재할 수 있는 크랙의 전파를 방지할 수 있다.
[0063] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에게 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나일 수 있고, 상기 리벳 셀들의 수는 상기 응력 핫스팟들의 크기에 기초할 수 있다. 상기 응력 핫스팟에서의 다수의 리벳 셀들은 상기 응력 핫스팟에서, 및/또는 상기 구조의 다수의 응력 핫스팟들에 걸쳐 존재할 수 있는 크랙들의 전파를 방지할 수 있다.
[0064] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 응력 핫스팟은 상기 구조를 가로지르는 복수의 응력 핫스팟들 중 하나일 수 있고, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함할 수 있다. 상기 구조에서 리벳 셀은 상기 구조의 다수의 응력 핫스팟들에 걸쳐 존재할 수 있는 크랙들의 전파를 방지할 수 있다.
[0065] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 상기 디바이스에 명령하기 위해 상기 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 최적의 길이를 확인하기 위해 가장 낮은 에너지 방출률의 결과를 가져오는 리벳 셀의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 관계의 모델링은 상기 리벳 셀의 최적의 크기 및 상기 구조에서 리벳 셀의 최적의 위치를 제공할 수 있다.
[0066] 몇몇 예들에 있어서, 구조에서 리벳 셀의 위치를 확인하는 컴퓨터 프로그램 제품이 일반적으로 설명된다. 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함할 수 있고, 상기 컴퓨터 판독가능 스토리지 매체는 그것으로 구현된 프로그램 명령들을 갖는다. 상기 프로그램 명령들은, 디바이스가, 구조에서 응력 핫스팟의 위치를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초할 수 있다. 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀 데이터에 따라 상기 구조를 구축하는 단계를 수행하도록 다른 디바이스에게 명령하기 위해 상기 다른 디바이스로 상기 리벳 셀 데이터를 전송하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 상기 프로그램 명령들은, 상기 구조의 3차원(3D) 모델을 생성하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은 상기 3D 모델에 관한 응력 분석을 수행하기 위해 명령을 실행하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 프로그램 명령들은 상기 응력 분석의 출력으로부터 상기 응력 핫스팟의 위치를 확인하는 단계를 수행하도록 상기 디바이스의 처리 소자에 의해 실행가능할 수 있다. 상기 응력 분석은 상기 구조에서 리벳 셀의 최적의 위치를 확인하는 데 사용될 수 있는 응력 핫스팟의 위치를 제공할 수 있다.
[0067] 도 1a는 일 실시예에 있어서, 리벳 셀을 포함하는 예시적인 구조의 횡단면 뷰이다. 도 1a에 보여진 구조(102)는, 예를 들어, 반도체 디바이스(100)를 위한 후공정(BEOL) 구조의 한 부분일 수 있다. 반도체 디바이스(100)는 중간 공정(middle-of-the line, MOL) 구조 및 전공정(front-end-of-line, FEOL) 구조와 같은 구조들의 추가 층들 또는 단면들을 포함할 수 있는데, 여기서 MOL 및 FEOL 구조들은 본 출원서의 도면들에 보여지지 않았다. 구조(102)는 복수의 유전체 영역들(101a 내지 101f)을 포함하는데, 복수의 유전체 영역들(101a 내지 101f)은 상이한 k(유전 상수) 값들을 가지며 두 개의 경성 유전체 재료들(101e, 101c) 사이에 샌드위치되어 있는 적어도 하나의 연성 유전체 재료(101d)를 갖는다. 상기 연성 유전체는 초저(ultra low) k(ULK) 재료이며, 이는 약 1.5 내지 3.5의 범위, 또는 약 2.0 내지 약 2.5 사이의 범위에 이르는 유전 상수를 갖는다. 예시적인 ULK 유전체들은 다공성 무기 재료들(porous inorganic materials)을 포함할 수 있다. 다공성 무기 재료들은, 예를 들어, Si, C, O, 및 H의 조성물들과 같은 실리콘-함유 재료들 - (SiCOH)를 포함함 - , 또한 C 도핑된 산화물(C doped oxide, CDO) 또는 유기실리케이트 유리(organosilicate glass, OSG)로 일컬어지는 것들이다. 다른 저(low) K 재료들은 또한 SilK(다우사(Dow company)의 상표임)를 포함할 수 있는데, 이는 저 k 유기 폴리머이다. 본 발명에서 비-공성(non-porous) ULK 재료들이 또한 사용될 수 있다. 경성 유전체들은 ULK 재료들의 유전 상수보다 더 큰 유전 상수를 가질 수 있다. 경성 유전체들의 예들은, 예를 들어, 실리콘 이산화물(silicon dioxide), 실세스퀴옥산(silsesquioxanes), C 도핑된 산화물들(즉, 유기실리케이트들) - 이는 Si, C, O 및 H의 원자들을 포함함 - , 열경화성 폴리아릴렌 에테르(thermosetting polyaryleneethers), 또는 그것의 다층들(multilayers)을 포함할 수 있다. "폴리아릴렌" 이라는 용어가 본 명세서에서 사용되는데, 이는, 본드들(bonds), 축합 고리들(fused rings), 또는 비활성 연결 기들(inert linking groups) - 예를 들어, 산소, 황, 술폰(sulfone), 술폭시드(sulfoxide), 카르보닐(carbonyl) 등과 같은 것 - 에 의해 함께 연결되어 있는 불활성으로 치환된 아릴 모이어티들(aryl moieties) 또는 아릴 모이어티들을 제공하기 위해 사용된다.
[0068] 구조(102)는 스택된 비아들 및 금속들(104)의 하나 또는 그 이상의 세트들을 포함할 수 있는데, 이러한 스택된 비아들 및 금속들(104)의 하나 또는 그 이상의 세트들은, 유전체 영역들(101a 내지 101f) 사이에 걸쳐 있는 도전성 경로로서 사용될 수 있다. 이 도면에서 보여진 6 개의 유전체 영역들(101a - 101f)은 단지 하나의 예일 뿐이다. 상이한 유전 상수들을 가지며 서로 다른 유전체 두께들을 갖는 더 많거나 더 적은 그러한 유전체 영역들일 수 있다. 구조(102)는 리벳 셀(110)과 같은 적어도 하나의 리벳 셀을 더 포함할 수 있는데, 리벳 셀(110)은 구조(102)의 유전체 영역들의 하나 또는 그 이상의 층들을 관통하는 스택된 비아들 및 금속들의 세트를 포함할 수 있다. 상기 리벳 셀들은 반드시 활성(active) 상태일 필요는 없으며 회로 또는 칩 동작가능성을 위해 도전성 경로를 제공할 필요는 없다. 리벳 셀(110)은 구조(102)의 유전체 영역들의 계면들에서의 크랙 또는 층분리 방지를 도모하기 위해 구조(102)에 위치할 수 있다. 예를 들어, 도 1a에 보여진 리벳 셀(110)은 유전체 영역 101d과 101e 사이의 계면(106)에서 잠재적으로 발생할 수 있는 크랙을 방지하기 위해 위치할 수 있다. 일 예에서, 구조(102)에서 리벳 셀(110)의 위치는, 1) 하나 또는 그 이상의 핫스팟 영역들 - 예컨대, 반도체 디바이스(100) 상에서 검출되는 응력 핫스팟(105)(또는 "핫스팟(105))와 같은 것 - 의 추정(estimation), 및 2) 구조(102)의 에너지 방출률(energy release rate, ERR)에 대한 리벳 셀(110)의 길이(예컨대, 다수의 스택된 비아들)를 관련짓는 모델에 기초하여 평가될 수 있다. 예시적인 실시예에 있어서, 다수의 리벳 셀들은 큰 인접 핫스팟 영역 내에 배치될 수 있다. 일 예에서, 리벳 셀(110)은 전기 전도성 금속 또는 전기 전도성 금속 합금, 예컨대, 구리(Cu), 알루미늄(Al), 텅스텐(W), 또는 이것들의 합금 - 예를 들어 Cu-Al 합금 - 으로 구성될 수 있다. 일 예에서, 리벳 셀(110) 금속 및 비아 스택들은 또한 TaN 또는 TiN과 같은 라이너(liner) 재료들 - 이는 각각의 금속 및 비아 레벨을 위한 일반적인 BEOL 구축 프로세스들의 일부일 수 있음 - 을 포함할 수 있다. 구조(102)는 당해 기술 분야에서 숙련된 자들에게 잘 알려진 기술들을 이용하여 형성될 수 있다. 예를 들어, CVD, PECVE, 및 스핀-코팅(spin-coating)과 같은 증착 공정을 이용하여 다양한 유전체 영역들이 형성될 수 있다. 리벳 셀(110) 및 스택된 비아들은 다마신(damascene) 공정을 이용하여 형성될 수 있다.
[0069] 도 1a에 보여진 예에서, 리벳 셀(110)은 응력 핫스팟(105)을 통해 연장될 수 있고, 그래서 유전체 영역(101c)의 일 부분에 걸쳐 있을 수 있고, 유전체 영역들(101d 및 101e)의 전체를 관통하며, 유전체 영역(101f)의 일 부분에서 끝이 난다. 나아가, 어떤 예들에서, 구조(102)는 하나 이상의 리벳 셀을 포함할 수 있다. 예를 들어, 리벳 셀(110)은 구조(102)의 코너(107)로부터 측방향 거리 L1 만큼 떨어져 위치할 수 있고, 또 다른 리벳 셀(111)은 구조(102)의 코너(108)로부터 측방향 거리 L2 만큼 떨여져 위치할 수 있다. 일 예에서, 리벳 셀은 구조(102)의 각각의 코너에 근접하게 위치할 수 있다. 측방향 거리들(L1 및 L2)은 동일할 수도 있고, 또는 상이할 수도 있으며, 측방향 거리들 각각은 반도체 디바이스(100)의 응력 핫스팟의 위치에 기초할 수 있다. 나아가, 각각의 리벳 셀은 상이한 길이 또는 크기를 가질 수 있다. 예를 들어, 리벳 셀(110)은 리벳 셀(111)보다 더 길 수 있다(예컨대, 더 많은 스택된 비아들을 가질 수 있다). 더 나아가, 각각의 리벳 셀은, 유전체 영역들 사이의 상이한 계면들에서의 크랙들 또는 층분리의 발생 가능성을 줄이기 위해 상이한 유전체 영역들을 관통할 수 있다. 예를 들어, 리벳 셀(110)은 유전체 영역(101d 및 101e) 사이의 계면(106)에서 잠재적으로 발생할 수 있는 크랙을 방지하도록 위치할 수 있고, 리벳 셀(111)은 유전체 영역(101e 및 101f) 사이의 또 다른 계면에서 잠재적으로 발생할 수 있는 크랙을 방지하도록 위치할 수 있다.
[0070] 도 1b는 일 실시예에 있어서, 도 1a에서 추가적인 리벳 셀들을 갖는 구조(102)의 횡단면 뷰이다. 도 1b에 보여진 예에서, 핫스팟 영역(또는 "핫스팟")(150)은 구조(102)의 코너(108) 가까이에 또는 코너(108)에 인접하게 있을 수 있다. 핫스팟(150)은 하나의 리벳 셀(예컨대, 리벳 셀(110))의 폭에 비해 상대적으로 그 폭(예컨대, 수평, 측대측(side-to-side))이 클 수 있다. 하나의 예시적인 실시예에 있어서, 핫스팟(150)의 폭은 약 3mm x 3mm 정도의 면적(예컨대, 수평 방향으로, 측대측 방식으로)에 걸쳐 있을 수 있고, 리벳 셀의 폭은 약 0.5㎛ x 0.5㎛ 정도의 면적에 걸쳐 있는 것과 같이, 상대적으로 그 크기가 작을 수 있다. 일 예에서, 핫스팟과 리벳 셀 간의 상대적으로 큰 폭 차이로 인해, 상당히 많은 수의 리벳 셀들(예컨대, 수백 개, 수천 개, 수백만 개)이 하나의 핫스팟 위치에 삽입될 수 있다. 구조(102)의 네 개의 칩 코너들 중 각각의 코너(예컨대, 코너(107)) 가까이에 있는 핫스팟들은 동일 또는 상이한 폭을 가질 수 있고, 구조(102) 내의 각각의 리벳 셀은 동일 또는 상이한 폭을 가질 수 있다. 일 예에서, 전체 3mm x 3mm 핫스팟 영역(150)을 가능한 한 많이 커버하기 위해, 핫스팟 영역(150)의 위치에 다수의 리벳 셀들(152)이 삽입될 수 있다. 몇몇 예들에서, 활선 회로부(live circuitry)가 핫스팟 위치와 겹칠 수 있으며, 상기 활선 회로부와 겹치는 위치들에 리벳 셀들을 삽입하는 것은 바람직하지 않을 수 있다. 따라서, 여기에 설명되는 방법들 및 시스템들은, 삽입된 리벳 셀들이 구조(102)의 활선 회로부와 겹치거나 활선 회로부를 방해하는 것을 방지하기 위해, 리벳 셀들의 정밀한 삽입 위치들, 및 크기들을 결정할 수 있다. 또 다른 예시적인 실시예에 있어서, 다수의 핫스팟 영역들은 구조(102)의 코너 가까이에 있을 수 있다. 예를 들어, 도 1b에 보여진 바와 같이, 핫스팟 영역들(150 및 160)은, 예를 들어, 핫스팟 영역(150)에 위치한 리벳 셀들(152) 및 핫스팟 영역(160)에 위치한 리벳 셀(162)과 같은, 리벳 셀들의 그들 각각의 세트를 가질 수 있다. 구조(102)에 다수의 리벳 셀들을 삽입함으로써, 구조(102)에서 확인된 핫스팟 영역들의 전체 길이(예컨대, 수직 방향)에서 유전체 계면들에서의 층분리 또는 크랙을 방지할 수 있다.
[0071] 도 2는 일 실시예에 있어서 리벳 셀을 구성하기 위해 구현될 수 있는 예시적인 시스템을 나타내는 다이어그램이다. 시스템(200)은 프로세서(202), 메모리(204), 및 디바이스(220)를 포함할 수 있다. 프로세서(202)는 메모리(204) 및 디바이스(220)와 통신하도록 구성될 수 있다. 몇몇 예들에 있어서, 프로세서(202) 및 메모리(204)는 컴퓨팅 디바이스와 같은 동일 디바이스의 컴포넌트들일 수 있다. 디바이스(220)는, 예를 들어, 구조(102)를 구성하기 위해 다양한 제조 기술들을 수행하도록 구성된 디바이스 또는 머신(예컨대, 다이싱(dicing) 머신)일 수 있다.
[0072] 메모리(204)는 명령들(206)의 세트를 저장하도록 구성될 수 있다. 명령들(206)은, 리벳 셀 데이터(208)를 생성하기 위해 프로세서(202)에 의해 실행가능할 수 있는 소스 코드 및/또는 실행가능 코드와 같은 코드를 포함할 수 있다. 몇몇 예들에 있어서, 명령들(206)은, 다양한 모델링 기술들(이하에서 설명됨)을 수행하기 위해 프로세서(202)에 의해 실행가능할 수 있는, 실행가능 어플리케이션(예컨대, 소프트웨어)일 수 있다. 리벳 셀 데이터(208)는 하나 또는 그 이상의 리벳 셀들(예컨대, 도 1a 및/또는 1b에 보여진 리벳 셀들(110, 111, 152, 162)과 관련된 데이터를 포함할 수 있고, 리벳 셀(110)을 갖는 구조(102)를 구축하기 위해 디바이스(220)에 의해 실행가능할 수 있는 명령들(예컨대, 실행가능 코드)을 포함할 수 있다. 예를 들어, 리벳 셀 데이터(208)는 리벳 셀(110)의 길이(예컨대, 리벳 셀(110)의 스택된 비아들에서의 다수의 비아들), 핫스팟(105)의 위치(예컨대, 코너(107)에서 핫스팟의 거리), 리벳 셀(110)이 관통할 수 있는 하나 또는 그 이상의 유전체 영역들, 및/또는 리벳 셀(110)과 관련된 데이터의 다른 유형들과 같은 데이터를 포함할 수 있다. 나아가, 리벳 셀들(예컨대, 리벳 셀들(110, 111, 152, 162)과 이들 리벳 셀들에 가장 가까울 수 있는 코너 사이의 거리들을 나타내는 데이터는 리벳 셀 데이터(208)에 포함될 수 있다. 리벳 셀 데이터(208)에 포함되어 있는 명령들은, 예를 들어, 필 삽입 코드(fill insertion code)와 같은 실행가능 코드의 세트일 수 있는데, 이는 리벳 셀 데이터(208)에 의해 지시되는 위치들에서 하나 또는 그 이상의 리벳 셀들(예컨대, 리벳 셀들(110, 111, 152, 162 등))로 구조(102)를 채우기 위해 디바이스(220)에 의해 실행가능할 수 있다. 몇몇 예들에 있어서, 리벳 셀 데이터(208)는 디바이스(220)에 의해 실행되고 있는 어플리케이션에 대한 입력으로서 디바이스(220)에 제공될 수 있으며, 어플리케이션은 구조(102) 및 리벳 셀(110)을 구성하기 위해 디바이스(220)에 의해 실행될 수 있다. 나아가, 디바이스(220)에 의해 실행되고 있는 어플리케이션은, 구조(102) 및/또는 예컨대 110, 111, 152, 162와 같은 상이한 리벳 셀들, 및 구조(102)에서 모든 핫스팟 영역들에 배치뒬 필요가 있는 기타 리벳 셀들을 구성할 수 있다.
[0073] 도 3a 및 3b는 일 실시예에 있어서 구조 상에서 응력 핫스팟을 확인하는 데 이용될 수 있는 응력 핫스팟 모델링의 예시적인 구현을 나타내는 다이어그램들이다. 일 예에서, 리벳 셀 데이터(208)의 생성은 응력 핫스팟(105)의 위치를 결정하기 위한 응력 핫스팟 모델링, 및 리벳 셀(110)의 길이를 결정하기 위한 에너지 방출률(ERR) 모델링을 포함할 수 있다. 도 3a에 보여진 예에 있어서, 응력 핫스팟 모델링 및 에너지 방출률(ERR) 모델링을 수행하기 위해, 프로세서(202)(도 2에 보여짐)는 반도체 디바이스(100)를 포함하는 칩 패키지의 적어도 일부분의 모델(300)을 생성하기 위해 명령들(206)(도 2에 보여짐)을 실행하도록 구성될 수 있다. 몇몇 예들에 있어서, 모델(300)은 반도체 디바이스(100)를 포함하는 칩 패키지의 적어도 일부분의 가상 3차원(3D) 모델일 수 있다. 몇몇 예들에 있어서, 프로세서(202)는 모델(300)을 생성하도록 어플리케이션(또는 소프트웨어)을 실행하기 위해 명령들(206)을 실행할 수 있다. 이러한 어플리케이션들의 예들은 SIMULIA ABAQUS와 같은 소프트웨어를 포함할 수 있으나, 이러한 것으로 한정되는 것은 아니다. 도 3a에 보여진 예에 있어서, 모델(300)은, 예를 들어, 평면(351)과 평면(352) 주위에 위치한 전체 칩 패키지의 1/4 절단부(quarter cutout)일 수 있다. 모델(300)은 리드(lid)(330), 인쇄회로기판(PCB)(332), 및 다이(die)(334)와 같은 모델링된 컴포넌트들을 포함할 수 있다. 일 예에 있어서, 도 1a 또는 도 1b에서의 반도체 디바이스(100)는 모델(300)에서 다이(334)의 일부일 수 있다.
[0074] 몇몇 예들에 있어서, 프로세서(202)는 모델(300)의 컴포넌트들 또는 여러가지 측면들의 치수들을 나타내는 입력들(예컨대, 사용자 입력들)을 수신할 수 있다. 예를 들어, 반도체 디바이스(100)는 칩 패키지일 수 있고, 모델(300)은 칩 패키지에 대한 가상 모델(vertual model)일 수 있다. 프로세서(202)에 의해 수신되고 있는 입력들은, PCB, 다이(die), 리드(lid), 언더필(underfill), 열 계면 재료(thermal interface material, TIM) 등과 함께, PCB 크기, 다이 크기, 리드(lid) 크기, 언더필 크기, TIM 크기 등을 포함할 수 있으나, 이러한 것들로 한정되는 것은 아니다.
[0075] 프로세서(202)는, 반도체 디바이스(100)(모델(300)로 모델링된)의 상이한 스팟들이 열-기계적 응력(thermo-mechanical stress)에 어떻게 반응할 수 있는지를 나타내는 출력을 생성하도록, 모델(300)에 관한 가상 열 응력 분석을 수행하기 위해 명령들(206)을 실행할 수 있다. 예를 들어, 출력(302)은 모델(300)의 일 부분의 평면 뷰를 나타내는 윤곽 맵(contour map)을 포함할 수 있다. 상기 윤곽 맵은 열-기계적 응력의 상이한 레벨들을 나타내기 위해 상이한 색조들(shades)로 코딩될 수 있다. 프로세서(202)는 출력(302)에서 핫스팟(105)을 확인할 수 있는데, 여기서 핫스팟은 출력(302)의 다른 영역들과 비교할 때 상당히 다른 색조를 가질 수 있다. 도 3a에 보여진 예에 있어서, 핫스팟(105)은 코너(107)에서 대략적으로 r 단위(units)만큼 떨어진 대각선 거리를 갖는 위치에서 확인될 수 있다.
[0076] 일 예에 있어서, 프로세서(202)는 핫스팟(105)을 충분히 커버할 수 있는 m x n 영역의 크기를 결정할 수 있다. 예를 들어, 프로세서(202)는 m = 1mm로 초기화할 수 있고, 1mm x 1mm 영역이 출력(302)에 보여진 핫스팟(105)을 커버하기에 충분한지를 결정한다. 핫스팟(105)을 커버하기에 충분한 1mm x 1mm 영역에 응답하여, 프로세서(202)는, 예를 들어, m = 2mm 및 n = 2mm와 같이, m과 n의 값들을 증가시킬 수 있고, 2mm x 2mm 영역이 출력(302)에 보여진 핫스팟(105)을 커버하기에 충분한지를 결정한다. 핫스팟(105)을 커버하기에 충분한 m 및 n의 값들을 결정하는 것에 응답하여, 프로세서(202)는 리벳 셀 데이터(208)에서 m, n, 및 r의 값들을 포함할 수 있다. m 및 n의 값들이 측방향 단면적(lateral cross-sectional area)을 정의할 수 있다는 것에 유의한다. 몇몇 예들에 있어서, 명령들(206)은 구조(102)에서 다른 비아들 및/또는 컴포넌트들과의 잠재적 오버랩(overlap) 또는 컨택을 방지하기 위해 m 및 n의 값들에 대한 한도(limit)(예컨대, 3mm)를 정의할 수 있다. 프로세서(202)는 모델(300)의 모든 코너들(예컨대, 네 개의 코너들)에 인접한 핫스팟들을 더 정의할 수 있고, 그래서 m, n, r의 대응되는 값들을 결정하고 리벳 셀 데이터(208)에서 결정된 값들을 포함한다.
[0077] 도 3b는 응력의 레벨과 반도체 디바이스(100)의 코너로부터 떨어진 간격 사이의 관계를 모델링할 수 있는 플롯(320)을 나타낸다. 플롯(320)에서, 축(321)은 반도체 디바이스(100)의 코너(예컨대, 코너(107))에서 떨어진 거리(예컨대, 밀리미터(mm))에 대응하며, 축(322)은 정규화된 응력 - 여기서는 1이 최대 응력을 나타냄 - 의 레벨을 나타낸다. 축(321)은 도 3a에 보여지는 바와 같이 코너(107)로부터 r로 표시된 반경을 따른 것일 수 있다. 곡선(323)은 상기 코너에서 떨어진 거리의 상이한 값들에 응답하는 응력 레벨에 대한 변화들을 나타낸다. 플롯(320)에 의해 보여진 바와 같이, 곡선(323)은 1.0mm 내지 1.8mm 사이에서는 상당히 증가될 수 있지만, 코너로부터 1.8mm 떨어진 이후에서는 감소한다. 도 3b에 보여진 예에 있어서, 곡선(323)은 0 내지 1의 범위에 이르는 정규화된 응력 내에 있을 수 있다. 핫스팟 영역은 상기 정규화된 응력이 응력 임계값(stress threshold)(325)(예컨대 0.6) 보다 더 큰 영역으로 정의될 수 있다. 이 경우, 상기 핫스팟 영역은 코너(107)로부터 대략 1.3mm 와 3mm 사이일 수 있다. 곡선(323)의 특징은 패키지 기하 형상과 재료 특성들에 따라 변할 수 있고 적절한 모델들을 실행시킴으로써 결정될 수 있다.
[0078] 도 4는 본 발명에 따라 에너지 방출률 모델링을 수행하는 데 사용될 수 있는 예시적인 모델들을 나타내는 다이어그램이다. 에너지 방출률(ERR) 모델링을 수행하기 위해, 프로세서(202)(도 2에 보여짐)는 모델(300)로부터 하나 또는 그 이상의 서브모델들을 추출하기 위해 명령들(206)을 실행하도록 구성될 수 있다. 도 4에 보여진 예에 있어서, 프로세서(202)는 모델(300)로부터 서브모델(410)을 추출할 수 있으며, 여기서 서브모델(410)은 한 측면의 관점(예컨대, 정면, 배면, 좌측면, 우측면)으로부터 모델(300)의 횡단면 영역(cross sectional area)을 보여주는 2차원(2D) 또는 3차원(3D) 모델일 수 있다. 여기에서 나타낸 예는 전형적인 2D 모델에 대한 것이다. 프로세서(202)는 서브모델(410)로부터 또 다른 서브모델(420)을 더 추출할 수 있으며, 여기서 서브모델(420)은 서브모델(410)의 일부분을 보여주는 또 다른 2D 모델일 수 있다. 프로세서(202)는 서브모델(420)로부터 또 다른 서브모델(430)을 더 추출할 수 있으며, 여기서 서브모델(430)은 서브모델(420)의 일부분을 보여주는 또 다른 2D 모델일 수 있다.
[0079] 프로세서(202)는 척도 인자(scale factor)에 기초한 상이한 서브모델들을 추출하도록 구성될 수 있다. 예를 들어, 서브모델(410)은 밀리미터 척도로 메쉬(mesh) 크기(예컨대, 서브모델을 만들어내는 데 사용되고 있는 그리드들(grids) 또는 노드들(nodes)의 크기)를 갖는 2D 모델일 수 있고, 서브모델(420)은 마이크로미터 척도로 메쉬 크기를 갖는 2D 모델일 수 있고, 서브모델(430)은 나노미터 척도로 메쉬 크기를 갖는 2D 모델일 수 있다. 몇몇 예들에 있어서, 프로세서(202)는, 서브모델들 사이의 척도 차이, 모델(300)의 다양한 측면들 또는 컴포넌트들의 치수들, 구조(102) 및/또는 반도체 디바이스(100)를 구성하기 위해 사용되는 재료들(및 그것들의 특성들), 및/또는 그 재료들의 특성들을 생성하기 위해, 서브모델들의 수를 나타내는 입력들(예컨대, 사용자 입력들)을 수신할 수 있다. 예를 들어, 상기 입력들은, BEOL 재료 기록의 프로세스(process of record, POR) 특성들, 재료들의 가소성(plasticity) 영향들, 경계 조건들(자유(free) 계면들 또는 고정(fixed) 계면들 등), 로딩(loading) 조건들(예컨대, 온도 범위 내에서 냉각) 등을 나타낼 수 있다.
[0080] 프로세서(202)는 모델(300) 상에, 또는 생성된 서브모델들 중 하나 상에 이상현상(anomaly)을 더 투영(projection)할 수 있다. 도 4에 보여진 예에 있어서, 프로세서(202)는 서브모델(430)에서 유전체 영역들의 쌍들 사이의 계면들 중 하나 상에 크랙(432)을 투영할 수 있다. 몇몇 예들에 있어서, 프로세서(202)는 위에서 논의된 응력 핫스팟 모델링으로부터 확인된 응력 핫스팟에 기초하여 서브모델들(410, 420, 430)을 추출할 수 있다. 예를 들어, 코너(107)에 인접한 응력 핫스팟(105)을 확인할 시, 프로세서(202)는 서브모델(410)과 같은 응력 핫스팟(105)의 위치를 포함하는 모델(300)의 일부분을 추출할 수 있다. 프로세서(202)는 서브모델들(420 및 430)과 같은 응력 핫스팟(105)의 위치를 포함하는 후속 서브모델들을 더 추출할 수 있다. 응력 핫스팟(105)의 위치에 기초하여, 프로세서(202)는 응력 핫스팟(105)의 위치에서 크랙(432)을 투영할 수 있다. 모델(300) 상에 이상현상(예컨대, 크랙(432))을 투영할 시, 프로세서(202)는 리벳 셀(110)의 길이와 반도체 디바이스(100)의 에너지 방출률 사이의 관계를 모델링하기 위해 명령들(206)을 실행할 수 있다.
[0081] 도 5는 일 실시예에 있어서 리벳 셀의 길이를 확인하는 데 사용될 수 있는 에너지 방출률 모델링의 예시적인 구현을 나타내는 다이어그램이다. 프로세서(202)는 에너지 방출률(ERR) 모델링(500)을 수행하기 위해 명령들(206)을 실행할 수 있다. ERR 모델링(500)의 출력은 리벳 셀(110)의 길이(예컨대, 리벳 셀(110)에서 스택된 비아 세트들의 수)와 반도체 디바이스(100)의 에너지 방출률(ERR) 사이의 관계를 나타내는 데이터를 포함할 수 있다. 특히, 프로세서(202)에 의해 수행되는 ERR 모델링(500)은 핫스팟(105)에서 크랙(432)과 같은 이상현상의 투영 하에서, 그리고 유전체 영역들의 쌍 사이의 특정 계면에서 수행될 수 있다. 예를 들어, 도 5에 보여진 예에 있어서, 크랙(432)은 유전체 영역들(101d 및 101e)(도 1 참조) 사이의 계면에 투영될 수 있다.
[0082] 일 예에 있어서, 유전체 영역들(101d 및 101e) 사이의 계면에 투영된 크랙(432)을 갖는 ERR 모델링(500)의 완료시, 프로세서(202)는 서브모델(430)(도 4에서)로부터 투영된 크랙(432)을 제거할 수 있고 유전체 영역들의 또 다른 쌍 사이의 또 다른 계면에 또 다른 이상현상을 투영할 수 있다. 프로세서(202)는, 반도체 디바이스(100)의 확인된 응력 핫스팟들(예컨대, 각각의 코너 가까이의)에서의 이상현상 투영들에 기초하여, 각 쌍의 유전체 영역들, 또는 선택적 수(a selective number)의 유전체 영역 쌍들 사이의 계면들 상에서, ERR 모델링(500)을 수행할 수 있다.
[0083] 또 다른 예에 있어서, 프로세서(202)는 선택된 계면들 상에서 ERR 모델링을 수행할 수 있다. 예를 들어, 참조로 도 1a를 사용하면, 유전체 영역(101f)은 k = 2.7의 유전 상수 값을 가질 수 있고, 유전체 영역(101e)은 k = 2.7의 유전 상수 값을 가질 수 있고, 유전체 영역(101d)는 k = 2.55의 유전 상수 값을 가질 수 있고, 유전체 영역(101c)은 k = 2.7의 유전 상수 값을 가질 수 있고, 유전체 영역(101b)는 k = 3.0의 유전 상수 값을 가질 수 있다. 여기에 제시되는 k의 값들은 단지 예시적인 값들이며 저(low) k, 초고(ultra low) k, 경성 유전체들 등에 해당하는 다른 k의 값들도 또한 가능하다는 것에 유의한다. 일 예에 있어서, 구조(102)의 유전체 영역들의 배열에 기초하여, 프로세서(202)는 크랙들 또는 층분리에 비교적 더 취약할 수 있는 유전체 영역을 확인할 수 있다. 예를 들어, 프로세서(202)는 인접 유전체 영역들 사이의 k의 값들을 비교할 수 있다. 유전체 영역들, 101e(k=2.7)와 101d(k=2.55)) 사이, 그리고 101d(k=2.55)와 101c(k=2.7) 사이의 비교에 기초하여, 프로세서(202)는 유전체 영역(101d)이 상대적으로 더 높은 k를 갖는 두 개의 유전체 영역들 사이에 "샌드위치"되는지를 결정할 수 있다. 취약한 영역(예컨대, 유전체 영역(101d))의 확인에 기초하여, 프로세서(202)는, 유전체 영역(101d)에 접촉하는 계면들이 크랙들에 상대적으로 더 취약할 수 있다고 결정할 수 있다. 또 다른 예에 있어서, 만약 제1 유전체 영역 및 제2 유전체 영역이 서로 간에 인접해(예컨대, 서로에게 스택됨) 있고, 제1 유전체 영역 및 제2 유전체 영역이 상이한 강성(stiffness)(예컨대, 경성 유전체 및 연성 유전체)으로 분류된다면, 프로세서(202)는 제1 유전체 영역 및 제2 유전체 영역 사이의 계면들이 크랙들에 상대적으로 더 취약할 수 있다고 결정할 수 있다. 프로세서(202)는 유전체 영역들(101e 및 101d) 사이의 계면(106)에 투영된 이상현상을 갖는 ERR 모델을 실행시킬 수 있다. 프로세서(202)는 유전체 영역들(101d 및 101c) 사이의 계면에 투영된 이상현상을 갖는 ERR 모델을 더 실행시킬 수 있다. 도 5에 보여진 예에 있어서, 프로세서(202)는 ERR 모델을 실행시키기 위해 계면(106)(도 1 참조)에서 크랙(432)을 투영할 수 있다. 또 다른 예에 있어서, 프로세서(202)는, 유전 상수들이 동일(예컨대, 유전체 영역들(101e 및 101f)이 동일한 강성을 가짐)하므로, 유전체 영역들(101e 및 101f)과 같은 두 개의 저(low) k 영역들 사이의 계면이 크랙들에 취약하지 않을 수 있다고 결정할 수 있다. 그러므로, 프로세서(202)는 유전체 영역들(101e 및 101f) 사이의 계면에서 투영 이상현상들을 갖는 모델링된 구조들 상에서 ERR 모델을 실행시킬 필요가 없을 수 있다.
[0084] 도 5에 보여진 예에 있어서, 프로세서(202)는 모델링된 구조(510)를 생성함으로써 ERR 모델링(500)을 개시할 수 있는데, 여기서 모델링된 구조(510)는 구조(102)의 복수의 유전체 영역들의 가상 프로토타입일 수 있으며, 이는 핫스팟(105)의 위치에서 투영된 크랙(432) 위치를 갖는다. ERR 모델링(500)은 스택된 비아들(508)에서 상이한 수(different number)의 비아들을 갖는 상이한 모델링된 구조들을 통해 진행될 수 있다. 예를 들어, ERR 모델링(500)은 스택된 비아들(508) 중에서 가장 적은 수의 비아들에서 시작하여 스택된 비아들(508) 중에서 가장 많은 수의 비아들까지의 순서로 진행될 수 있다. 도 5에 보여진 예에 있어서, ERR 모델링(500)은 모델링된 구조들(511, 512, 513, 514)의 순서로 진행되고, 515에서 종료될 수 있다. 비록 여기서는 순서화된 모델링된 구조들(511, 512, 513, 514, 515)가 보여지지만, 보여진 모델링된 구조들(511, 512, 513, 514, 515) 중 어느 하나의 이전에, 이후에, 또는 사이에 ERR 모델링(500)에 추가적인 모델링된 구조들이 포함될 수 있다. 모델링된 구조들(511, 512, 513, 514, 515) 중에서 최소의 ERR을 갖는 리벳 셀이 최적의 리벳 셀(예컨대, 최적이 길이를 가짐)로서 선택될 수 있다. 도 5에서의 예에 있어서, 모델링된 구조(513)는 최소의 ERR을 갖는다. 상기 ERR은 크랙 성향의 하나의 척도일 수 있다. ERR이 더 크면 클수록 크랙 가능성은 더 높다. 따라서, 예를 들어, 모델링된 구조(513)에서 리벳 셀은 최적의 선택일 수 있다. 일 예에 있어서, ERR은 크랙 전파의 단위 면적당 방출되는 에너지이다. ERR은, 수치로(Numerically), 크랙 형상, 재료 특성들, 및 자유로운 몸체(free body) 상에서 유도되는(induced) 외부 응력들에 기인한 크랙 팁(crack tip)에서 이용가능한 에너지를 나타낼 수 있다. 나아가, 각각의 재료는 "임계(critical)" 에너지 방출률로서 일컬어지는 고유의 특성을 가질 수 있다. 만약(단지 만약) 크랙 팁에서 ERR이 재료의 임계 ERR을 초과한다면, 크랙은 전파될 수 있다. 그러므로, 칩 설계 및 구성에 있어서, 주어진 크랙 형상 및 재료 조건들에 대한 가능한 가장 낮은 ERR이 바람직할 수 있다.
[0085] 일 예에 있어서, 명령들(206)은 유한 요소 분석(finite element analysis)과 관련된 알고리즘들 및/또는 코드를 포함할 수 있다. 그리하여 프로세서(202)가 구조(102)에 관한 유한 요소 분석을 수행하기 위해 명령들(206)을 수행할 수 있도록 한다. 유한 요소 분석(FEA)은, 예컨대, 진동, 열, 유체 흐름, 및/또는 다른 힘들과 같은 현실 세계의 힘들에 대해 구조(102)가 어떻게 반응할 수 있는지를 예측하기 위한 컴퓨터화된 방법으로 일컬어질 수 있다. 프로세서(202)에 의해 수행되는 유한 요소 분석은 비아들(508)에 포함되어 있는 상이한 수(the different number)의 비아들에 대해 구조(102)가 어떻게 반응할 수 있는지에 관한 예측들을 제공할 수 있다. 모니터링될 수 있는 반응들 중 하나는 ERR이다. 상기 ERR은 크랙들 또는 층분리가 존재하는 것에 응답하여 구조(102)에 대한 부정적인 영향을 나타낼 수 있다. 그러므로, 낮은 ERR을 갖는 구조를 구성하는 것이 바람직할 수 있다.
[0086] ERR 모델링(500)을 시작하기 위해, 프로세서(202)는 스택된 비아들(508)에 비아들을 점차적으로 추가할 수 있고, 추가되는 비아들에 응답하여 ERR을 계산할 수 있다. 도 5에 보여진 예에 있어서, 축(501)은 도 5에서 모델링된 구조들의 ERR의 값들을 나타낼 수 있고, 축(502)은 스택된 비아들(508)에서 비아들의 수를 나타낼 수 있다. 곡선(503)은 관측된 ERR과 스택된 비아들(508)에서의 비아들의 길이 또는 수 사이의 관계를 나타낼 수 있다. 곡선(503)은, 모델링된 구조(510)가 투영된 크랙(432)을 포함하는 조건 하에서, 관측된 ERR과 스택된 비아들(508)에서의 비아들의 길이 또는 숫자 사이의 관계를 나타낼 수 있다. 도 5에서 보여지는 바와 같이, 투영된 크랙(432)이 없는 모델링된 구조(510)의 ERR은 스택된 비아들(508)에 더 많인 비아들이 추가됨에 따라 감소하는 것으로 예상되지만, 모델링된 구조(510)가 투영된 크랙(432)을 포함할 때, 관측되는 ERR은 어떤 숫자의 비아들이 스택된 비아들(508)에 추가된 이후 증가된다. 일 예에 있어서 이러한 최소 값은, 구조의 칩 패키지(예컨대, 도 3a에서 모델(300)로서 모델링되고 있는 칩 패키지)에서 상이한 재료들의 차등 열 팽창들(differential thermal expansions)에서 오는 열-기계적 부하들에 의해 강요되는 크랙, 및 그러한 열-기계적 부하들에 의해 생성되는 칩 레벨 핫스팟들과 같은 메커니즘들에 기인하여 발생할 수 있다. 도 5에 보여진 예에 있어서, 모델링된 구조(515)는 구조의 전체를 관통하는(예컨대, 유전체들의 전체 세트를 통과하여) 리벳 셀을 포함할 수 있으며, 이는 추가적인 크랙 발생 성향을 야기할 수 있는 수직 장력(vertical tension)을 초래할 수 있다. 따라서 도 2 및 도 4에 보여진 모델링 예들은 ERR 기준이 최소가 되도록 허용하는 리벳 셀(예컨대, 모델링된 구조(513))의 최적의 크기를 시스템이 확인할 수 있도록 한다. 핫스팟들을 확인하고 크랙 및 크랙 전파를 방지하기 위해 상이한 유전체 스택들로 상이한 BEOL 두께들을 갖는 기술들은 여기에서 설명된 모델링 프로세스들을 이용할 수 있다.
[0087] 곡선(503)에 의해 모델링된 관계들에 기초하여, 프로세서(202)는 리벳 셀(110)의 최적의 길이를 확인할 수 있다. 예를 들어, 프로세서(202)는 최소 ERR에 대응되는 곡선(503) 상의 최솟점을 확인할 수 있다. 상기 최솟점을 확인하는 것에 응답하여, 프로세서(202)는 그 확인된 최소 ERR에 대응되는 모델링된 구조를 확인할 수 있다. 도 5에 보여진 예에 있어서, 모델링된 구조(513)는 양쪽 곡선(503) 상에서 최소 ERR에 대응되는 것으로 보여진다. 프로세서(202)는 모델링된 구조(513)에서 스택된 비아들(508)에 기초하여 리벳 셀(110)의 길이를 설정할 수 있다. 일 예에 있어서, 리벳 셀(110)의 길이는 모델링된 구조(513)에서 스택된 비아들(508)과 일치하는 수의 비아들을 가질 수 있다. 또 다른 예에 있어서, 프로세서(202)는, 그 기술에서 사용된 BEOL 스택, 사용된 상이한 유전체들, 및 각각의 유전체 두께들과 함께, 로컬 비아 밀도 제한들, ERR, 및 모델링 도메인에서의 열-기계적 응력들과 같은 인자들에 기초하여, 모델링된 구조(513)의 스택된 바이들(508)로부터 하나 또는 그 이상의 비아들을 제거할 수 있다. 리벳 셀(110)의 길이를 설정할 시, 프로세서(202)는 리벳 셀 데이터(208)에서 리벳 셀(110)의 길이를 포함할 수 있다.
[0088] 도 6은 일 일시예에서 칩 핫스팟들의 스택된 비아 리벳들과 관련된 플로우 다이어그램을 나타낸다. 도 6에서 프로세스(600)는, 예를 들어, 위에서 논의된 컴퓨터 시스템(200)을 사용하여 구현될 수 있다. 일 예의 프로세스는 하나 또는 그 이상의 블록들(602, 604, 606, 608, 및/또는 610)로 나타낸 하나 또는 그 이상의 작업들, 동작들, 또는 기능들을 포함할 수 있다. 비록 이산 블록들로 나타내었지만, 여러가지 블록들은, 원하는 구현에 의존하여, 추가적인 블록들로 분할될 수 있고, 더 적은 수의 블록들로 결합될 수 있고, 제거될 수 있고, 또는 병렬로 수행될 수도 있다.
[0089] 프로세스(600)는 구조에서 리벳 셀의 위치를 결정하는 프로세스일 수 있다. 프로세스(600)는 블록 602에서 시작할 수 있는데, 이 블록 602에서 하나의 디바이스는 구조에서 응력 핫스팟의 위치를 모델링할 수 있다. 몇몇 예들에 있어서, 상기 디바이스는 구조의 3차원 모델을 생성할 수 있고, 3D 모델에 관한 응력 분석을 수행하기 위해 명령을 실행할 수 있으며, 응력 분석의 출력으로부터 응력 핫스팟의 위치를 확인할 수 있다.
[0090] 프로세스(600)는 블록 602에서 블록 604로 진행될 수 있다. 블록 604에서, 디바이스는 리벳 셀의 길이와 구조의 에너지 방출률 사이의 관계를 모델링할 수 있다. 몇몇 예들에 있어서, 상기 디바이스는 응력 핫스팟의 위치에서 이상현상(anomaly)의 투영에 기초하여 그리고 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면 상에서 관계를 모델링할 수 있다. 몇몇 예들에 있어서, 구조의 에너지 방출률은 투영된 이상현상의 위치에 기초할 수 있다. 몇몇 예들에 있어서, 투영된 이상현상은 제1 유전체 영역과 제2 유전체 영역 사이의 인터페이스에서의 크랙일 수 있다. 몇몇 예들에 있어서, 제1 유전체 영역 및 제2 유전체 영역은 상이한 유전 상수 값들을 가질 수 있다. 몇몇 예들에 있어서, 리벳 셀은 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나일 수 있고, 리벳 셀들의 수는 응력 핫스팟의 크기에 기초할 수 있다.
[0091] 프로세스(600)는 블록 604에서 블록 606으로 진행될 수 있다. 블록 606에서, 상기 디바이스는 모델링된 관계에 기초하여 리벳 셀의 최적의 길이를 확인할 수 있다. 몇몇 예들에 있어서, 상기 디바이스는 가장 낮은 에너지 방출률의 결과를 가져오는 리벳 셀의 길이를 확인함으로써 리벳 셀의 최적의 길이를 확인할 수 있다.
[0092] 프로세스(600)는 블록 606에서 블록 608로 진행될 수 있다. 블록 608에서, 상기 디바이스는 리벳 셀의 삽입 위치를 지시하는 리벳 셀 데이터를 생성할 수 있다. 삽입 위치는 응력 핫스팟의 위치에 기초할 수 있다. 몇몇 예들에 있어서, 리벳 셀 데이터는 다수의 리벳 셀들의 다수의 위치들을 더 지시할 수 있다. 다수의 삽입 위치들은 응력 핫스팟 내에 있을 수 있다. 몇몇 예들에 있어서, 상기 삽입 위치는 상기 구조의 코너로부터 측방향 거리에 위치할 수 있다.
[0093] 프로세스(600)는 블록 608에서 블록 610으로 진행될 수 있다. 블록 610에서, 상기 디바이스는, 상기 리벳 셀 데이터에 따라 상기 구조를 구성하도록 다른 디바이스에게 명령하기 위해 상기 다른 디바이스로 상기 리벳 셀 데이터를 전송할 수 있다. 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축될 수 있다. 상기 리벳 셀은 상기 구조의 응력 핫스팟을 통해 연장될 수 있고, 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통할 수 있다. 몇몇 예들에 있어서, 상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분 및 상기 제2 유전체 영역의 적어도 일부분을 관통할 수 있다.
[0094] 도 7은 일 실시예에 있어서 칩 핫스팟들에서 스택된 비아 리벳들의 생성을 구현할 수 있는 예시적인 컴퓨터 또는 처리 시스템의 개략도를 나타낸다. 상기 컴퓨터 시스템은 적절한 처리 시스템의 단지 일 예일 뿐인 것이며 여기에 설명되는 방법론의 실시예들의 사용의 범위 또는 기능에 관한 어떠한 제한을 제시하려고 의도된 것은 아니다. 도면에 보여진 처리 시스템은 많은 다른 범용(general purpose) 또는 전용(special purpose) 컴퓨팅 시스템 환경들 또는 구성들에서 동작가능할 수 있다. 도 7에 보여진 처리 시스템에 사용하기에 적합할 수 있는 잘 알려진 컴퓨팅 시스템들, 환경들, 및/또는 구성들은, 개인용 컴퓨터 시스템들, 서버 컴퓨터 시스템들, 씬(thin) 클라이언트들, 씨크(thick) 클라이언트들, 핸드헬드 또는 랩탑 디바이스들, 모바일 전화기들, 태블릿 컴퓨터들, 웨어러블 디바이스들, 가상 현실 디바이스들, 멀티프로세서 시스템들, 마이크로프로세서-기반 시스템들, 셋톱 박스들, 프로그램가능한 소비자 전제제품들, 네트워크 PC들, 미니컴퓨터 시스템들, 메인프레임 컴퓨터 시스템들, 수퍼컴퓨터들, 및 상기 시스템들 또는 디바이스들 중 어떤 것을 포함하는 분산된 클라우드 컴퓨팅 환경들 등을 포함할 수 있으나, 이러한 것들로 한정되는 것은 아니다.
[0095] 컴퓨터 시스템은, 컴퓨터 시스템에 의해 실행가능한, 프로그램 모듈들과 같은 컴퓨터 시스템 실행가능 명령들의 일반적인 맥락에서 설명될 수 있다. 일반적으로, 프로그램 모듈들은, 특정 작업들 또는 특정 추상적 데이터 유형들을 수행하는, 루틴들, 프로그램들, 객체들, 컴포넌트들, 로직, 데이터 구조들 등등을 포함할 수 있다. 상기 컴퓨터 시스템은 분산된 클라우드 컴퓨팅 환경들에서 실행될 수 있는데, 이러한 분산된 클라우드 컴퓨팅 환경들에서 작업들은 통신 네트워크를 통해 연결된 원격 처리 디바이스들에 의해 수행된다. 분산된 클라우드 컴퓨팅 환경에 있어서, 프로그램 모듈은, 메모리 스토리지 디바이스들을 포함하는 로컬 및 원격 컴퓨터 시스템 스토리지 매체 둘 모두에 위치할 수 있다.
[0096] 컴퓨터 시스템의 컴포넌트들은, 하나 또는 그 이상의 프로세서들 또는 처리 유닛들(12), 시스템 메모리(16), 및 버스(14)를 포함할 수 있으나, 이러한 것들로 한정되는 것은 아니다. 버스(14)는 시스템 메모리(16)를 포함하는 여러가지 시스템 컴포넌트들을 프로세서(12)에 결합한다. 프로세서(12)는 여기에 설명되는 방법들을 수행하는 모듈(30)(예컨대, 리벳 셀 모듈(30))을 포함할 수 있다. 모듈(30)은 프로세서(12)의 집적회로들 내에 프로그래밍될 수 있고, 또는 시스템 메모리(16), 스토리지 디바이스(18), 또는 네트워크(24) 또는 이것들의 조합으로부터 로딩될 수 있다.
[0097] 버스(14)는, 버스 구조들의 몇가지 유형들 중 어떤 것의 하나 또는 그 이상을 나타낼 수 있는데, 이러한 버스 구조들은, 메모리 버스 또는 메모리 컨트롤러 주변 버스, 가속 그래픽 포트(accelerated graphics port), 및 다양한 버스 아키텍쳐들 중 어떤 것을 허용하는 프로세서 또는 로컬 버스를 포함한다. 예를 들어(이러한 예로 한정되는 것은 아님), 그러한 아키텍쳐들은, 산업 표준 아키텍쳐(Industry Standard Architecture, ISA) 버스, 마이크로 채널 아키텍쳐(Micro Channel Architecture, MCA) 버스, 향상된 ISA(Enhanced ISA, EISA) 버스, 비디오 전자공학 표준 협회(Video Electonics Standards Association, VESA) 로벌 커스, 및 주변 컴포넌트 배선(Peripheral Component Interconnects, PCI) 버스, 및 범용 직렬 버스(universal serial bus, USB)를 포함한다.
[0098] 컴퓨터 시스템은 다양한 컴퓨터 시스템 판독가능 매체를 포함할 수 있다. 이러한 매체는 컴퓨터 시스템에 의해 접근가능한 모든 가용 매체일 수 있으며, 그것은 휘발성(volatile) 및 비휘발성(non-volatile) 매체, 착탈식(removable) 및 비착탈식(non-removable) 매체를 포함할 수 있다.
[0099] 시스템 메모리(16)는 임의 접근 메모리(random access memory, RAM) 및/또는 캐시 메모리 또는 기타 등등과 같은 휘발성 메모리의 형태로 된 컴퓨터 시스템 판독가능 매체를 포함할 수 있다. 컴퓨터 시스템은 다른 착탈식/비착탈식, 휘발성/비휘발성 컴퓨터 시스템 스토리지 매체를 더 포함할 수 있다. 단지 예로서, 스토리지 디바이스(18)는 비착탈식, 비휘발성 자기 매체(예컨대, "하드 드라이브")로부터 읽기 위해 그리고 그러한 비착탈식, 비휘발 자기 매체에 쓰기 위해 제공될 수 있다. 비록 도면으로 보여지지는 않았지만, 착탈식, 비휘발성 자기 디스크(예컨대, "플로피 디스크")로부터 읽기 위해 그리고 그러한 착탈식, 비휘발성 자기 디스크에 쓰기 위한 자기 디스크 드라이브, CD-ROM, DVD-ROM 또는 기타 광학 매체와 같은 착탈식, 비휘발성 광 디스크로부터 읽기 위해 그리고 그러한 착탈식, 비휘발성 광 디스크에 쓰기 위한 광 디스크 드라이브가 제공될 수 있다. 비휘발성 메모리 또는 스토리지 매체의 그 밖의 예들은, 예를 들어, 플래쉬 메모리, 자기저항 임의 접근 메모리(magnetoresistive random-access memory, MRAM)를 포함할 수 있다.이러한 예들에 있어서, 각각은 하나 또는 그 이상의 데이터 매체 인터페이스들에 의해 버스(14)에 연결될 수 있다.
[0100] 컴퓨터 시스템은 또한 키보드, 포인팅 디바이스, 디스플레이(28) 등과 같은 하나 또는 그 이상의 외부 디바이스들(26); 사용자에게 컴퓨터 시스템과 상호작용할 수 있게 하는 하나 또는 그 이상의 디바이스들; 및/또는 컴퓨터 시스템에게 하나 또는 그 이상의 다른 컴퓨팅 디바이스들과 통신할 수 있게 하는 어떤 디바이스들(예컨대, 네트워크 카드, 모뎀 등)와 통신할 수 있다. 이러한 통신은 입력/출력(I/O) 인터페이스들(20)을 통해 일어날 수 있다.
[0101] 또한, 컴퓨터 시스템은 네트워크 어댑터(22)를 통해, 근거리 통신망(local area network, LAN), 일반적인 광역 통신망(wide area network, WAN), WI-FI, 셀룰러 통신망(예컨대, 3G, 4G, 5G, 롱텀 에볼루션(Long-Term Evolutio, LTE)), 및/또는 공중망(public network)(예컨대, 인터넷) 등과 같은 하나 또는 그 이상의 네트워크들(24)과 통신할 수 있다. 도시된 바와 같이, 네트워크 어댑터(22)는 버스(14)를 통해 컴퓨터 시스템의 다른 컴포넌트들과 통신한다. 비록 도면에 보여지지는 않았지만, 컴퓨터 시스템과 함께 다른 하드웨어 및/또는 소프트웨어 컴포넌트들이 사용될 수 있다는 것을 이해하여야 한다. 예들은, 마이크로코드, 디바이스 드라이버들, 리던던트 처리 유닛들, 외부 디스크 드라이브 어레이들, RAID 시스템들, 테이프 드라이브들, 및 데이터 보관 스토리지 시스템들 등을 포함한다.
[0102] 도면들에서 플로우챠트 및 블록 다이어그램들은, 본 발명의 여러가지 실시예들에 따른 시스템들, 방법들, 및 컴퓨터 프로그램 제품들의 가능한 구현들의 구조, 기능, 및 동작을 나타낸다. 이러한 점에서, 플로우챠트 또는 블록 다이어그램들에서 각각의 블록은 모듈, 세그먼트, 또는 명령들의 일부를 나타낼 수 있는데, 이는 특정된 논리적 기능(들)을 구현하기 위한 하나 또는 그 이상의 실행가능 명령들을 포함한다. 몇몇 다른 구현들에 있어서, 블록에 언급된 기능들은 도면들에 언급된 그 순서를 벗어나서 일어날 수 있다. 예를 들어, 관련되는 기능에 의존하여, 연속적으로 보여지는 두 개의 블록들은 사실상 실질적으로 동시에 실행될 수도 있고, 또는 그 블록들은 때로는 역순으로 실행될 수도 있다. 또한 블록 다이어그램들 및/또는 플로우챠트 도면의 각각의 블록, 및 블록 다이어그램들 및/또는 플로우챠트 도면에서의 블록들의 조합들은, 전용 하드웨어 기반 시스템들 - 이는 특정 기능들 또는 동작들을 수행하거나 전용 하드웨어 및 컴퓨터 명령들의 조합을 수행함 - 에 의해 구현될 수 있다는 것에 주목하여야 할 것이다.
[0103] 여기에서 사용된 용어는 단지 특정 실시예들을 설명하기 위한 목적으로 사용된 것이지 발명의 범위를 한정하려고 의도된 것은 아니다. 여기에서 사용된 바와 같이, "하나의", "한", 및 "상기" 라는 단수 형태들은, 그 문맥에서 다르게 나타내지 않는다면, 복수 형태들도 또한 포함하는 것으로 의도된다. 또한, "포함하다" 및/또는 "포함하는" 이라는 용어는, 본 명세서에서 사용될 때, 언급된 특징들, 정수들, 단계들, 동작들, 구성요소들, 및/또는 컴포넌튿르을 명시하지만, 하나 또는 그 이사아의 다른 특징들, 정수들, 단계들, 동작들, 구성요소들, 컴포넌트들, 및/또는 이것들의 그룹들의 존재 또는 추가를 배제하는 것은 아니라는 것이 더 이해될 것이다.
[0104] 본 발명은 그것의 바람직한 실시예들에 관해 구체적으로 보여지고 설명되었지만, 본 발명의 범위를 벗어나지 않고서 형태들 및 상세 내용들에서의 앞서 설명된 변화들 및 기타 변화들이 이뤄질 수 있다는 것을 당해 기술 분야에서 숙련된 자라면 이해할 것이다. 그러므로, 본 발명은 설명되고 예시된 정확한 그 형태 및 상세 내용들로 한정되는 것이 아니라 첨부되는 청구항들의 범위 내에 들어오는 것으로 의도된다.

Claims (25)

  1. 구조(A structure)에 있어서, 상기 구조는:
    복수의 유전체 영역들(dielectric regions); 및
    스택된 비아들(vias)의 세트를 포함하는 리벳 셀(rivet cell)을 포함하고, 상기 리벳 셀은 상기 구조의 응력 핫스팟(stress hotspot)을 통해 연장되고, 상기 리벳 셀의 길이는 상기 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통하는(threads through),
    구조.
  2. 청구항 1에 있어서,
    상기 리벳 셀은 상기 구조의 제1 코너로부터 제1 측방향 거리(lateral distance)에 위치하는 제1 리벳 셀이고, 상기 구조는 상기 구조의 제2 코너로부터 제2 측방향 거리에 위치하는 제2 리벳셀을 더 포함하는,
    구조.
  3. 청구항 1에 있어서,
    상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나이고, 리벳 셀들의 수는 상기 응력 핫스팟의 크기에 기초하는,
    구조.
  4. 청구항 1에 있어서, 상기 응력 핫스팟은 상기 구조를 가로지르는(across) 복수의 응력 핫스팟들 중 하나이고, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함하는,
    구조.
  5. 청구항 1에 있어서, 상기 리벳 셀의 길이는 상기 리벳 셀의 길이와 상기 구조의 에너지 방출률(energy release rate) 사이의 관계 모델에 기초하는,
    구조.
  6. 청구항 1에 있어서, 상기 구조는 반도체 디바이스의 후공정(back-end-of-line, BEOL) 구조인,
    구조.
  7. 청구항 1에 있어서, 상기 리벳 셀은 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면(interface)을 관통하고, 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수들(dielectric constants)을 갖는,
    구조.
  8. 청구항 7에 있어서, 상기 제1 유전체 영역은 경성(hard) 유전체 재료들을 포함하고 상기 제2 유전체 영역은 연성(soft) 유전체 재료를 포함하는,
    구조.
  9. 구조(a structure)에서 리벳 셀의 위치를 결정하는 방법에 있어서, 상기 방법은:
    구조에서 응력 핫스팟의 위치를 모델링하는 단계;
    리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계;
    상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계(identifying);
    상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계 - 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초함 - ; 및
    상기 리벳 셀 데이터에 따라 상기 구조를 구축(construct)하도록 디바이스(a device)에 명령하기 위해 상기 리벳 셀 데이터를 상기 디바이스로 전송하는 단계를 포함하고, 이에 따라, 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축되고, 상기 리벳 셀은 상기 구조의 상기 응력 핫스팟을 통해 연장되며, 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통하는,
    방법.
  10. 청구항 9에 있어서, 상기 리벳 셀 데이터는 다수의(multiple) 리벳 셀들의 다수의 삽입 위치들을 더 나타내고, 상기 다수의 삽입 위치들은 상기 응력 핫스팟 내에 위치하는,
    방법.
  11. 청구항 9에 있어서,
    상기 관계를 모델링하는 단계는, 상기 응력 핫스팟의 위치에서 이상현상(anomaly)의 투영(projection)에 그리고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행되고,
    상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분 및 상기 제2 유전체 영역의 적어도 일부분을 관통하며,
    상기 구조의 에너지 방출률은 상기 투영된 이상현상의 위치에 기초하는,
    방법.
  12. 청구항 11에 있어서, 상기 투영된 이상현상은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에서의 크랙(crack)인,
    방법.
  13. 청구항 11에 있어서, 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수 값들을 갖는,
    방법.
  14. 청구항 9에 있어서, 상기 응력 핫스팟은 상기 구조를 가로지르는 복수의 응력 핫스팟들 중 하나이고, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함하는,
    방법.
  15. 청구항 9에 있어서, 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나이고, 리벳 셋들의 수는 상기 응력 핫스팟의 크기에 기초하는,
    방법.
  16. 청구항 9에 있어서, 상기 리벳 셀의 최적의 길이를 확인하는 단계는, 가장 낮은 에너지 방출률의 결과를 가져오는 리벳 셀의 길이를 확인하는 단계를 포함하는,
    방법.
  17. 청구항 9에 있어서, 상기 응력 핫스팟을 모델링하는 단계는,
    상기 구조의 3차원(3D) 모델을 생성하는 단계,
    상기 3D 모델에 관한 응력 분석을 수행하기 위해 명령을 실행하는 단계, 및
    상기 응력 분석의 출력으로부터 상기 응력 핫스팟의 위치를 확인하는 단계를 포함하는,
    방법.
  18. 구조(a structure)에서 리벳 셀의 위치를 결정하는 컴퓨터 프로그램 제품에 있어서, 상기 컴퓨터 프로그램 제품은 컴퓨터 판독가능 스토리지 매체를 포함하고, 상기 컴퓨터 판독가능 스토리지 매체는 상기 컴퓨터 판독가능 스토리지 매체로 구현된 프로그램 명령들을 가지며, 상기 프로그램 명령들은 디바이스(a device)의 처리 소자(processing element)에 의해 실행가능 하고, 상기 디바이스가:
    구조에서 응력 핫스팟의 위치를 모델링하는 단계;
    리벳 셀의 길이와 상기 구조의 에너지 방출률 사이의 관계를 모델링하는 단계;
    상기 모델링된 관계에 기초하여 상기 리벳 셀의 최적의 길이를 확인하는 단계(identifying);
    상기 리벳 셀의 삽입 위치를 나타내는 리벳 셀 데이터를 생성하는 단계 - 상기 삽입 위치는 상기 응력 핫스팟의 위치에 기초함 - ; 및
    상기 리벳 셀 데이터에 따라 상기 구조를 구축(construct)하도록 디바이스(a device)에 명령하기 위해 상기 리벳 셀 데이터를 상기 디바이스로 전송하는 단계를 수행하게 하며, 이에 따라, 상기 구조는 상기 삽입 위치에서 상기 리벳 셀을 포함하도록 구축되고, 상기 리벳 셀은 상기 구조의 상기 응력 핫스팟을 통해 연장되며, 상기 구조의 복수의 유전체 영역들 중에서 적어도 하나의 유전체 영역을 관통하는,
    컴퓨터 프로그램 제품.
  19. 청구항 18에 있어서,
    상기 관계의 모델링은, 상기 응력 핫스팟의 위치에서의 이상현상의 투영에 그리고 상기 복수의 유전체 영역들 중에서 제1 유전체 영역과 제2 유전체 영역 사이의 계면에 기초하여 수행되고,
    상기 리벳 셀의 삽입시, 상기 리벳 셀은 상기 제1 유전체 영역의 적어도 일부분 및 상기 제2 유전체 영역의 적어도 일부분을 관통하며,
    상기 구조의 상기 에너지 방출률은 상기 투영된 이상현상의 위치에 기초하는,
    컴퓨터 프로그램 제품.
  20. 청구항 19에 있어서, 상기 투영된 이상현상은 상기 제1 유전체 영역과 상기 제2 유전체 영역 사이의 계면에서의 크랙(crack)인,
    컴퓨터 프로그램 제품.
  21. 청구항 19에 있어서, 상기 제1 유전체 영역 및 상기 제2 유전체 영역은 상이한 유전 상수 값들을 갖는,
    컴퓨터 프로그램 제품.
  22. 청구항 18에 있어서, 상기 리벳 셀은 상기 응력 핫스팟에 삽입된 다수의 리벳 셀들 중 하나이고, 리벳 셋들의 수는 상기 응력 핫스팟의 크기에 기초하는,
    컴퓨터 프로그램 제품.
  23. 청구항 18에 있어서, 상기 응력 핫스팟은 상기 구조를 가로지르는 복수의 응력 핫스팟들 중 하나이고, 각각의 응력 핫스팟은 리벳 셀들의 각각의 세트를 포함하는,
    컴퓨터 프로그램 제품.
  24. 청구항 18에 있어서, 상기 프로그램 명령들은, 상기 디바이스가, 상기 리벳 셀의 최적의 길이를 확인하기 위해 가장 낮은 에너지 방출률의 결과를 가져오는 리벳 셀의 길이를 확인하는 단계를 수행하도록, 상기 디바이스의 처리 소자에 의해 더 실행가능한, 컴퓨터 프로그램
    제품.
  25. 청구항 18에 있어서, 상기 프로그램 명령들은,
    상기 구조의 3차원(3D) 모델을 생성하는 단계,
    상기 3D 모델에 관한 응력 분석을 수행하기 위해 명령을 실행하는 단계, 및
    상기 응력 분석의 출력으로부터 상기 응력 핫스팟의 위치를 확인하는 단계를
    수행하도록,
    상기 디바이스의 처리 소자에 의해 더 실행가능한,
    컴퓨터 프로그램 제품.
KR1020237016647A 2020-12-15 2021-11-02 칩 핫스팟에서 스택된 비아 리벳 KR20230093454A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/122,550 US11308257B1 (en) 2020-12-15 2020-12-15 Stacked via rivets in chip hotspots
US17/122,550 2020-12-15
PCT/CN2021/128169 WO2022127412A1 (en) 2020-12-15 2021-11-02 Stacked via rivets in chip hotspots

Publications (1)

Publication Number Publication Date
KR20230093454A true KR20230093454A (ko) 2023-06-27

Family

ID=81187294

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237016647A KR20230093454A (ko) 2020-12-15 2021-11-02 칩 핫스팟에서 스택된 비아 리벳

Country Status (7)

Country Link
US (1) US11308257B1 (ko)
JP (1) JP2024501131A (ko)
KR (1) KR20230093454A (ko)
CN (1) CN116601746A (ko)
DE (1) DE112021006470T5 (ko)
GB (1) GB2616579A (ko)
WO (1) WO2022127412A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11404379B2 (en) * 2020-11-17 2022-08-02 International Business Machines Corporation Structure and method for bridge chip assembly with capillary underfill

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6586839B2 (en) 2000-08-31 2003-07-01 Texas Instruments Incorporated Approach to structurally reinforcing the mechanical performance of silicon level interconnect layers
US6495918B1 (en) 2000-09-05 2002-12-17 Infineon Technologies Ag Chip crack stop design for semiconductor chips
KR100906056B1 (ko) 2002-03-19 2009-07-03 파나소닉 주식회사 정보 기록매체와 그 제조 방법
US7692315B2 (en) 2002-08-30 2010-04-06 Fujitsu Microelectronics Limited Semiconductor device and method for manufacturing the same
US20050026397A1 (en) 2003-07-28 2005-02-03 International Business Machines Corporation Crack stop for low k dielectrics
EP1519411A3 (en) 2003-09-26 2010-01-13 Panasonic Corporation Semiconductor device and method for fabricating the same
US7067902B2 (en) 2003-12-02 2006-06-27 International Business Machines Corporation Building metal pillars in a chip for structure support
JP5197961B2 (ja) 2003-12-17 2013-05-15 スタッツ・チップパック・インコーポレイテッド マルチチップパッケージモジュールおよびその製造方法
JP2005285801A (ja) 2004-03-26 2005-10-13 Kyocera Corp 積層型電子部品の製法
JP4401874B2 (ja) 2004-06-21 2010-01-20 株式会社ルネサステクノロジ 半導体装置
US7180187B2 (en) 2004-06-22 2007-02-20 International Business Machines Corporation Interlayer connector for preventing delamination of semiconductor device
US7371676B2 (en) * 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US7572738B2 (en) 2005-05-23 2009-08-11 Sony Corporation Crack stop trenches in multi-layered low-k semiconductor devices
US7224069B2 (en) 2005-07-25 2007-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structures extending from seal ring into active circuit area of integrated circuit chip
US20070051773A1 (en) 2005-09-02 2007-03-08 Ruchert Brian D Thermal interface materials, methods of preparation thereof and their applications
US8368220B2 (en) 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
JP2007213269A (ja) 2006-02-08 2007-08-23 Toshiba Corp 応力解析方法、配線構造設計方法、プログラム及び半導体装置の製造方法
US7543254B2 (en) 2006-09-08 2009-06-02 Synopsys, Inc. Method and apparatus for fast identification of high stress regions in integrated circuit structure
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
KR20100039425A (ko) 2007-07-26 2010-04-15 엔엑스피 비 브이 보강 구조체, 반도체 소자, 조립체, 오디오 회로, 전자 장치 및 보강 구조체 제조 방법
WO2009016531A2 (en) 2007-07-30 2009-02-05 Nxp B.V. Reduced bottom roughness of stress buffering element of a semiconductor component
US8056027B2 (en) 2008-06-11 2011-11-08 International Business Machines Corporation Characterizing thermomechanical properties of an organic substrate using three-dimensional finite element analysis
US20100038120A1 (en) 2008-08-13 2010-02-18 Tdk Corporation Layered ceramic electronic component and manufacturing method therefor
US8710629B2 (en) 2009-12-17 2014-04-29 Qualcomm Incorporated Apparatus and method for controlling semiconductor die warpage
US8304867B2 (en) 2010-11-01 2012-11-06 Texas Instruments Incorporated Crack arrest vias for IC devices
JP5587230B2 (ja) 2011-03-25 2014-09-10 富士フイルム株式会社 太陽電池用バックシート及びその製造方法、並びに太陽電池モジュール
US8569129B2 (en) 2011-05-31 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics
US8659156B2 (en) 2011-10-18 2014-02-25 International Business Machines Corporation Interconnect structure with an electromigration and stress migration enhancement liner
US8778737B2 (en) 2011-10-31 2014-07-15 International Business Machines Corporation Flattened substrate surface for substrate bonding
US8860185B2 (en) 2012-01-25 2014-10-14 Globalfoundries Singapore Pte Ltd Crack-arresting structure for through-silicon vias
US8941089B2 (en) 2012-02-22 2015-01-27 Adesto Technologies Corporation Resistive switching devices and methods of formation thereof
US9466666B2 (en) 2012-05-03 2016-10-11 Analog Devices Global Localized strain relief for an integrated circuit
US8941215B2 (en) 2012-09-24 2015-01-27 LuxVue Technology Corporation Micro device stabilization post
US10193211B2 (en) 2014-08-10 2019-01-29 Féinics Amatech Teoranta Smartcards, RFID devices, wearables and methods
US9343411B2 (en) 2013-01-29 2016-05-17 Intel Corporation Techniques for enhancing fracture resistance of interconnects
US9245826B2 (en) 2013-03-11 2016-01-26 Newport Fab, Llc Anchor vias for improved backside metal adhesion to semiconductor substrate
US9236301B2 (en) 2013-07-11 2016-01-12 Globalfoundries Inc. Customized alleviation of stresses generated by through-substrate via(S)
US9424384B2 (en) 2014-06-20 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of density-controlled floorplan design for integrated circuits and integrated circuits
KR102294323B1 (ko) 2014-07-09 2021-08-26 삼성전자주식회사 스트레스 검출 방법, 컴팩트 모델 트레이닝 방법, 스트레스 완화 방법 및 컴퓨팅 시스템
US9490312B2 (en) 2014-12-22 2016-11-08 Lg Display Co., Ltd. Organic light emitting diode display device with flexible printed circuit film
BR112017025609A2 (pt) 2015-06-01 2018-08-07 The Board Of Trustees Of The University Of Illinois sistemas eletrônicos miniaturizados com potência sem fio e capacidades de comunicação de campo próximo
DE102015212492A1 (de) 2015-07-03 2017-01-05 Bundesdruckerei Gmbh Sicherheits- oder Wertdokument mit einem Lumineszenzmerkmal und Verfahren zum Prüfen der Echtheit des Sicherheits- oder Wertdokuments
US20170162501A1 (en) 2015-12-02 2017-06-08 Globalfoundries Singapore Pte. Ltd. Crack stop layer in inter metal layers
US9793334B2 (en) 2015-12-31 2017-10-17 Lg Display Co., Ltd. Electronic device with flexible display panel including polarization layer with undercut portion and micro-coating layer
CN109417855A (zh) 2016-06-29 2019-03-01 奥特斯奥地利科技与系统技术有限公司 通过介电壳体内的碳结构冷却部件承载件材料
US11094554B2 (en) 2017-03-31 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing process for forming semiconductor device structure
US10535680B2 (en) 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
US20200221969A1 (en) 2017-09-05 2020-07-16 Epitronic Holdings Pte. Ltd. Microelectronic sensors for sensing electrical signals from a human body in a sub-terahertz range
EP3679648B1 (en) 2017-09-05 2021-06-02 Epitronic Holdings Pte. Ltd. Microelectronic sensor for non-invasive monitoring of blood glucose levels
JP6384979B1 (ja) 2018-05-31 2018-09-05 株式会社半導体熱研究所 高熱伝導性絶縁樹脂複合部材及び半導体モジュール
US11004794B2 (en) 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof
US10923393B2 (en) 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US11532561B2 (en) * 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
US11276670B2 (en) * 2020-04-17 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method of semiconductor device

Also Published As

Publication number Publication date
CN116601746A (zh) 2023-08-15
GB202309422D0 (en) 2023-08-09
DE112021006470T5 (de) 2023-09-28
GB2616579A (en) 2023-09-13
US11308257B1 (en) 2022-04-19
WO2022127412A1 (en) 2022-06-23
JP2024501131A (ja) 2024-01-11

Similar Documents

Publication Publication Date Title
US11675954B2 (en) Method of designing a device
US8445918B2 (en) Thermal enhancement for multi-layer semiconductor stacks
EP2956962B1 (en) Semiconductor device having stacked memory elements and method of stacking memory elements on a semiconductor device
US9373557B2 (en) Enhanced modularity in heterogeneous 3D stacks
US8421500B2 (en) Integrated circuit with stacked computational units and configurable through vias
US20130334711A1 (en) Copper Feature Design for Warpage Control of Substrates
US6548902B2 (en) Semiconductor integrated circuit device, circuit design apparatus, and circuit design method
KR20210071818A (ko) 재구성된 웨이퍼 조립체
KR20230093454A (ko) 칩 핫스팟에서 스택된 비아 리벳
KR101735767B1 (ko) 전자 패키지 및 전자 패키지의 형성을 위한 제 1 다이와 제 2 다이의 접속 방법
US11869874B2 (en) Stacked die circuit routing system and method
Kubo et al. Global routing by iterative improvements for two-layer ball grid array packages
US20240105633A1 (en) Wafer-scale chip structure and method and system for designing the structure
US20220310450A1 (en) Stacked semiconductor, wafer stack, method of manufacturing stacked semiconductor, assistance device, and program
TW201637085A (zh) 減輕由不均勻的內部金屬化層所致使之一半導體晶粒中之應力的系統、方法及裝置
US20230139346A1 (en) Additional silicide layer on top of staircase for 3d nand wl contact connection
US11916384B2 (en) Region-based power grid generation through modification of an initial power grid based on timing analysis
KR101918961B1 (ko) 집단 고장을 고려한 불균형 배치된 tsv의 수리 구조를 결정하는 장치 및 방법
KR102714620B1 (ko) 기능적으로 중복된 반도체 다이들 및 패키지
US20220367428A1 (en) Electronic device including thermal interface material layer and semiconductor package
US20230164986A1 (en) Selective removal of sidewall material for 3d nand integration
CN117637740A (zh) 芯片中的硅通孔确定方法、装置、电子设备及存储介质
US10141297B1 (en) Integrated device comprising device level cells with variable sizes for heat dissipation around hotspots
WO2024083507A1 (en) Modified internal clearance(s) at connector pin aperture(s) of a circuit board
CN117794256A (zh) 集成式芯片和用于集成式芯片的制作方法

Legal Events

Date Code Title Description
A201 Request for examination