KR20230065616A - Loadlock module and substrate processing system having the same - Google Patents

Loadlock module and substrate processing system having the same Download PDF

Info

Publication number
KR20230065616A
KR20230065616A KR1020210151369A KR20210151369A KR20230065616A KR 20230065616 A KR20230065616 A KR 20230065616A KR 1020210151369 A KR1020210151369 A KR 1020210151369A KR 20210151369 A KR20210151369 A KR 20210151369A KR 20230065616 A KR20230065616 A KR 20230065616A
Authority
KR
South Korea
Prior art keywords
substrate
inner space
load lock
module
gas
Prior art date
Application number
KR1020210151369A
Other languages
Korean (ko)
Other versions
KR102625679B1 (en
Inventor
조재현
Original Assignee
프리시스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프리시스 주식회사 filed Critical 프리시스 주식회사
Priority to KR1020210151369A priority Critical patent/KR102625679B1/en
Publication of KR20230065616A publication Critical patent/KR20230065616A/en
Application granted granted Critical
Publication of KR102625679B1 publication Critical patent/KR102625679B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

The present invention relates to a load lock module and a substrate processing system having the same. The present invention relates to a load lock module (200) which delivers substrates between the outside at atmospheric pressure and a process module (100) where substrate processing is performed. The load lock module (200) comprises: a load lock chamber (210) forming a sealed internal space (S) and having at least one pair of gates (T) for a substrate to enter and exit; a substrate support unit (220) installed in the load lock chamber (210) and configured to support a substrate (G) introduced into the internal space (S); a gas injection unit (230) for injecting an inert gas into the internal space (S); a gas exhaust unit (240) for exhausting gas from the internal space (S); a heat exchange unit (270) for controlling the temperature of the substrate (G) introduced into the internal space (S); and a gate valve unit (250) which opens and closes the gate (T). According to the present invention, the load lock module includes a guide block which can improve gas flow in the internal space of the load lock module, and thus, productivity of the load lock module can be improved.

Description

로드락모듈 및 이를 포함하는 기판처리시스템{Loadlock module and substrate processing system having the same}Loadlock module and substrate processing system including the same

본 발명은 로드락모듈 및 이를 포함하는 기판처리시스템에 관한 것이다. The present invention relates to a load lock module and a substrate processing system including the same.

반도체 제조공정 등을 수행하는 반도체 기판처리장치는 일반적으로, 기판처리공정을 수행하는 복수 개의 프로세스 챔버(Process Chamber)와, 해당 프로세스 챔버로 기판이 진입되기 전에 기판이 프로세스 챔버로 진입할 수 있도록 환경을 조성하는 로드락챔버(Load lock Chamber)와, 프로세스 챔버와 로드락챔버를 연결하며 로드락챔버 내의 기판을 해당 프로세스 챔버로 이송하거나 해당 프로세스 챔버 내의 기판을 로드락챔버로 이송하는 로봇 아암이 설치되는 트랜스퍼 챔버(Transfer Chamber)를 포함한다.A semiconductor substrate processing apparatus that performs a semiconductor manufacturing process, etc., generally includes a plurality of process chambers for performing a substrate processing process, and an environment so that the substrate can enter the process chamber before the substrate enters the corresponding process chamber. A load lock chamber that creates a load lock chamber and a robot arm that connects the process chamber and the load lock chamber and transfers the substrate in the load lock chamber to the corresponding process chamber or transfers the substrate in the corresponding process chamber to the load lock chamber is installed. It includes a transfer chamber to be.

프로세스 챔버는, 일반적으로 고온 및 진공에 가까운 공정압 상태에서 기판처리공정을 진행한다. 이 때 대기압 상태에 있는 기판을 고온 및 공정압 상태인 프로세스 챔버로 진입시키는 과정이 어려움이 있기 때문에, 기판을 해당 프로세스 챔버로 이송하기 전에 프로세스 챔버와 동일한 환경을 조성해 주어야 하는데, 이러한 역할을 담당하는 것이 로드락챔버다. In a process chamber, a substrate processing process is generally performed at a high temperature and a process pressure close to vacuum. At this time, since it is difficult to enter the substrate under atmospheric pressure into the process chamber under high temperature and process pressure, it is necessary to create the same environment as the process chamber before transferring the substrate to the corresponding process chamber. It is a road lock chamber.

즉, 로드락챔버는 외부로부터 기판이 프로세스 챔버로 인입되기 전 또는 프로세스 챔버로부터 기판이 외부로 인출되기 전에 프로세스 챔버의 환경 또는 외부의 환경과 실질적으로 동일한 상태로 기판을 수용하는 챔버를 가리킨다.That is, the load lock chamber refers to a chamber accommodating a substrate in a state substantially the same as an environment of the process chamber or an external environment before a substrate is introduced into the process chamber from the outside or before a substrate is taken out of the process chamber.

종래의 기판처리장치의 경우, 프로세스 챔버에서의 병목현상(bottle neck)을 제거하여 생산성을 향상시키기 위하여 프로세스 챔버의 갯수를 늘이는 등의 방법을 통하여 노력을 기울이고 있다. In the case of a conventional substrate processing apparatus, efforts are being made through methods such as increasing the number of process chambers in order to improve productivity by removing bottlenecks in the process chamber.

그러한, 어떠한 방법이 되었든 프로세스 챔버에서의 병목현상이 해소된다면, 그 다음은 로드락챔버의 생산성이 시스템 전체의 병목지점이 된다.However, if the bottleneck in the process chamber is resolved in any way, then the productivity of the load lock chamber becomes the bottleneck in the entire system.

한편, 로드락챔버 내에서의 기판 처리라 함은 단시간에 진행되는 트랜스퍼 챔버로부터의 기판 반입 게이트 열림, 기판 반입, 기판 반입 게이트 닫힘, 벤팅 가스 주입, 대기 반송으로의 기판 반출 게이트 열림, 기판 반출, 기판 반출 게이트 닫힘으로 이어지는 일련의 과정을 말한다.On the other hand, the substrate processing in the load-lock chamber means opening the substrate loading gate from the transfer chamber, loading the substrate, closing the board loading gate, injecting a venting gas, opening the gate for transporting the substrate to atmospheric transport, transporting the substrate, Refers to a series of processes that lead to the closing of the substrate transport gate.

이를 위해, 로드락챔버의 기판 반입 게이트 및 기판 반출 게이트에는 게이트 개폐를 위한 게이트밸브가 결합될 수 있다.To this end, gate valves for opening and closing the gates may be coupled to the substrate carrying-in gate and the substrate carrying-out gate of the load lock chamber.

충분히 냉각되지 못한 기판을 트랜스퍼하는 동안 열손상(Thermal damage)의 영향을 받은 부재들은 가공 형태가 변형되거나 멜팅(melting)되어 본래 기능을 수행하기 어렵게 되거나 기판 상에 흔적을 묻힘으로써 칩을 제조하는데 파티클(오염물)로 작용하여 반도체 제품의 불량을 야기시킬 수 있는 요인이 될 수 있다.Members affected by thermal damage during transfer of substrates that are not sufficiently cooled are deformed or melted, making it difficult to perform their original functions, or leaving traces on the substrate, resulting in particles in manufacturing chips. (contaminants) and can be a factor that can cause defects in semiconductor products.

또한, 종래 로드락챔버는, 게이트밸브의 밸브하우징이 로드락챔버의 일측 외벽에 결합되고 게이브밸브의 밸브플레이트가 밸브하우징에 구비되는 밸브시트에 밀착됨으로써 게이트 개폐가 이루어지도록 구성된다.In addition, the conventional load lock chamber is configured such that the gate is opened and closed by a valve housing of a gate valve being coupled to one outer wall of the load lock chamber and a valve plate of the gauge valve being brought into close contact with a valve seat provided in the valve housing.

한편, 로드락챔버는 공정압 형성을 위한 펌핌과 대기압 형성을 위한 벤팅을 반복하며 압력차에 의해 챔버 외벽이 변형될 수 있고, 이러한 변형은 로드락챔버가 대형화될수록 크게 나타날 수 있다.Meanwhile, the load lock chamber repeats pumping for forming process pressure and venting for forming atmospheric pressure, and the outer wall of the chamber may be deformed due to the pressure difference, and such deformation may appear larger as the load lock chamber becomes larger.

로드락챔버의 외벽이 변형되면 기판 반입 게이트 및 기판 반출 게이트에 결합되는 밸브하우징과 로드락챔버의 외벽 사이에 접촉(metal contact)가 발생되고 이는 로드락챔버 내부에 파티클 이슈를 야기하는 문제점이 있다.When the outer wall of the load lock chamber is deformed, a metal contact occurs between the valve housing coupled to the substrate loading gate and the substrate carrying gate and the outer wall of the load lock chamber, which causes a particle issue inside the load lock chamber. .

본 발명의 목적은, 상기와 같은 문제점을 인식하여, 로드락모듈에 게이트밸브를 일체화 함으로써, 로드락모듈 내부공간에 파티클이 발생되는 것을 방지할 수 있는 로드락모듈 및 이를 포함하는 기판처리시스템을 제공하는데 있다.An object of the present invention is to recognize the above problems and to provide a load-lock module capable of preventing particles from being generated in the internal space of the load-lock module and a substrate processing system including the same by integrating a gate valve into the load-lock module. is in providing

또한, 본 발명의 다른 목적은, 로드락모듈 내부공간의 체적을 감소시키고, 로드락모듈 내부공간에서의 가스유동을 개선함으로써 생산성을 향상시킬 수 있는 로드락모듈 및 이를 포함하는 기판처리시스템을 제공하는데 있다.In addition, another object of the present invention is to provide a load-lock module capable of improving productivity by reducing the volume of the internal space of the load-lock module and improving gas flow in the internal space of the load-lock module, and a substrate processing system including the same. are doing

본 발명은 상기와 같은 본 발명의 목적을 달성하기 위하여 창출된 것으로서, 대기압상태의 외부 및 기판처리가 이루어지는 공정모듈(100) 사이에서 기판을 전달하는 로드락모듈(200)을 개시한다.The present invention has been created to achieve the object of the present invention as described above, and discloses a load lock module 200 that transfers a substrate between the outside of the atmospheric pressure and the process module 100 in which substrate processing is performed.

상기 로드락모듈(200)은, 밀폐된 내부공간(S)을 형성하며 기판출입을 위한 적어도 한 쌍 이상의 게이트(T)를 구비하는 로드락챔버(210)와; 상기 로드락챔버(210)에 설치되어 상기 내부공간(S)에 도입된 기판(G)을 지지하는 기판지지부(220)와; 상기 내부공간(S)으로 불활성가스를 주입하기 위한 가스주입부(230)과; 상기 내부공간(S)의 기체를 배기하기 위한 가스배기부(240)와; 상기 내부공간(S)에 도입된 기판(G)의 온도조절을 위한 열교환부(270)와; 상기 게이트(T)를 개폐하는 게이트밸브부(250)를 포함할 수 있다.The load lock module 200 includes a load lock chamber 210 forming a sealed inner space S and having at least one pair of gates T for entering and exiting a substrate; a substrate support 220 installed in the load lock chamber 210 to support the substrate G introduced into the inner space S; a gas injection unit 230 for injecting an inert gas into the inner space (S); a gas exhaust unit 240 for exhausting gas in the inner space (S); a heat exchange unit 270 for temperature control of the substrate G introduced into the inner space S; It may include a gate valve unit 250 that opens and closes the gate (T).

상기 로드락챔버(210)는, 상면이 개방된 챔버본체(212)와 상기 챔버본체(212)의 상면에 결합되어 상기 내부공간(S)을 형성하는 상부리드(214)를 포함할 수 있다.The load lock chamber 210 may include a chamber body 212 having an open upper surface and an upper lid 214 coupled to the upper surface of the chamber body 212 to form the inner space S.

상기 챔버본체(212)는 상기 게이트(T)로부터 기판이송방향(D1)을 따라 외측으로 연장 형성되는 챔버연장부(216)를 구비할 수 있다.The chamber body 212 may include a chamber extension part 216 extending outward from the gate T along the substrate transfer direction D1.

상기 게이트밸브부(250)는, 상기 기판이송방향에 수직한 방향(D2)을 따라 상기 챔버연장부(216)를 관통하며, 상기 게이트(T)의 가장자리 둘레를 형성하는 밸브시트(219)에 밀착되거나 이격되도록 이동가능하게 설치되는 밸브플레이트(252)를 포함할 수 있다.The gate valve part 250 penetrates the chamber extension part 216 along the direction D2 perpendicular to the substrate transport direction and is attached to the valve seat 219 forming the edge circumference of the gate T. It may include a valve plate 252 movably installed so as to be in close contact or spaced apart.

상기 챔버본체(212)는, 상기 내부공간(S)을 상하로 구획하여 제1내부공간(S1)과 제2내부공간(S2)을 형성하는 구획벽(218)을 포함할 수 있다.The chamber body 212 may include a partition wall 218 that divides the inner space S vertically to form a first inner space S1 and a second inner space S2.

상기 기판지지부(220) 및 상기 열교환부(270)는, 상기 제1내부공간(S1) 및 상기 제2내부공간(S2)에 각각 구비될 수 있다.The substrate support part 220 and the heat exchange part 270 may be provided in the first inner space S1 and the second inner space S2, respectively.

상기 챔버본체(212)는, 상기 제1내부공간(S1) 및 상기 제2내부공간(S2)에 각각 대응되는 두 쌍의 게이트(T)들을 포함할 수 있다.The chamber body 212 may include two pairs of gates T respectively corresponding to the first inner space S1 and the second inner space S2.

상기 챔버연장부(216)에는 상기 밸브플레이트(252)가 관통설치되는 관통구(217)가 형성될 수 있다.A through hole 217 through which the valve plate 252 is installed may be formed in the chamber extension part 216 .

상기 게이트밸브부(250)는, 상기 밸브플레이트(252)에 결합되는 밸브로드(254)와, 상기 밸브로드(254)에 결합되는 밸브구동부(256)와, 상기 밸브플레이트(252)를 수용하며 상기 관통구(217)를 복개하는 커버부(258)를 포함할 수 있다.The gate valve unit 250 accommodates a valve rod 254 coupled to the valve plate 252, a valve driving unit 256 coupled to the valve rod 254, and the valve plate 252, A cover part 258 covering the through hole 217 may be included.

상기 가스주입부(230)는, 내부에 가스유로(F)를 구비하는 밸브블럭(232)과, 상기 가스유로(F)를 개폐하기 위하여 상기 밸브블럭(232)에 설치되는 적어도 하나 이상의 가스밸브(234)와, 상기 가스유로(F)와 연통되어 불활성가스를 공급받아 상기 내부공간(S)으로 가스를 분사하는 디퓨저(236)를 포함할 수 있다.The gas injection unit 230 includes a valve block 232 having a gas flow path F therein, and at least one or more gas valves installed on the valve block 232 to open and close the gas flow path F. 234 and a diffuser 236 communicating with the gas flow path F to receive inert gas and injecting gas into the inner space S.

상기 디퓨저(236)는, 상기 챔버본체(212)의 측벽이 관통되어 형성되는 측벽내부공간(IS)에 설치될 수 있다.The diffuser 236 may be installed in a side wall inner space IS formed by penetrating the side wall of the chamber body 212 .

상기 가스주입부(230)는, 상기 밸브블럭(232)과 상기 챔버본체(212)의 측벽 사이에 상기 내부공간(S)을 밀폐하기 위한 커버플레이트(238)를 추가로 포함할 수 있다.The gas injection unit 230 may further include a cover plate 238 for sealing the inner space S between the valve block 232 and the sidewall of the chamber body 212 .

상기 커버플레이트(238)에는, 뷰포트(V)가 설치될 수 있다.A viewport V may be installed on the cover plate 238 .

다른 측면에서, 본 발명은, 미리 설정된 공정압상태에서 기판(G)에 대한 기판처리를 수행하는 하나 이상의 공정모듈(100)과; 대기압상태의 외부 및 상기 공정모듈(100) 사이에서 기판(G)을 전달하는 로드락모듈(200)과; 상기 공정모듈(100) 및 상기 로드락모듈(200)를 사이에서 기판(G)을 반송하는 반송모듈(300)을 포함하는 것을 특징으로 하는 기판처리시스템을 개시한다.In another aspect, the present invention, one or more process modules 100 for performing a substrate treatment for the substrate (G) in a preset process pressure state; a load lock module 200 for transferring the substrate G between the outside of the atmospheric pressure and the process module 100; Disclosed is a substrate processing system comprising a transport module 300 for transporting a substrate G between the process module 100 and the load lock module 200.

본 발명에 따른 로드락모듈 및 이를 포함하는 기판처리시스템은, 로드락모듈에 게이트밸브를 일체화 함으로써, 챔버본체의 변형이 발생되는 경우에도 챔버본체의 변형 시 다른 구조물과 접촉되지 않아 챔버본체 변형에 따른 파티클 형성을 방지할 수 있는 이점이 있다.The load-lock module and the substrate processing system including the same according to the present invention integrate a gate valve into the load-lock module, so that even when the chamber body is deformed, it does not come into contact with other structures and prevents deformation of the chamber body. There is an advantage in preventing the formation of particles according to the method.

또한, 본 발명에 따른 로드락모듈 및 이를 포함하는 기판처리시스템은, 챔버본체 변형 시 챔버본체와 접촉될 수 있는 구조물을 제거함으로써, 로드락모듈 내부공간의 체적을 감소시킬 수 있고, 그에 따라 펌핑/벤팅 시간을 최소화하고 벤팅을 위한 가스사용량을 감소시킴으로써, 로드락모듈의 생산성을 향상시킬 수 있는 이점이 있다.In addition, the load-lock module and the substrate processing system including the same according to the present invention can reduce the volume of the internal space of the load-lock module by removing a structure that can come into contact with the chamber body when the chamber body is deformed, and thus pumping / By minimizing the venting time and reducing the amount of gas used for venting, there is an advantage of improving the productivity of the load lock module.

또한, 본 발명에 따른 로드락모듈 및 이를 포함하는 기판처리시스템은, 내부공간의 불필요한 공간을 점유하여 로드락모듈 내부공간의 체적을 감소시키며 로드락모듈 내부공간에서의 가스유동을 개선할 수 있는 가이드블록을 포함함으로써, 로드락모듈의 생산성을 향상시킬 수 있는 이점이 있다.In addition, the load-lock module and the substrate processing system including the same according to the present invention occupy unnecessary space in the internal space to reduce the volume of the internal space of the load-lock module and improve gas flow in the internal space of the load-lock module. By including the guide block, there is an advantage of improving the productivity of the load lock module.

도 1은, 본 발명의 일 실시예에 따른 기판처리시스템을 보여주는 평면도이다.
도 2는, 도 1의 기판처리시스템의 로드락모듈의 측면도이다.
도 3은, 도 2의 로드락모듈의 챔버본체를 보여주는 사시도이다.
도 4는, 도 1의 로드락모듈에 설치되는 열교환부를 보여주는 측면도이다.
도 5a 내지 도 5b는, 도 4의 열교환부를 보여주는 저면도이다.
도 6a 내지 도 6b는, 도 3의 챔버본체의 게이트 개페구조 및 동작을 설명하는 단면도이다.
도 7은, 도 1의 로드락모듈에 설치되는 가스주입부를 보여주는 사시도이다.
도 8은, 도 3의 로드락모듈의 챔버본체를 보여주는 측면도이다.
도 9는, 도 1의 로드락모듈에 설치되는 가이드블록의 설치위치를 보여주는 도면이다.
도 10은, 도 9의 가이드블록의 가이드공간을 보여주는 도면이다.
1 is a plan view showing a substrate processing system according to an embodiment of the present invention.
FIG. 2 is a side view of the load lock module of the substrate processing system of FIG. 1 .
3 is a perspective view showing a chamber body of the load lock module of FIG. 2;
4 is a side view showing a heat exchange unit installed in the load lock module of FIG. 1 .
5A to 5B are bottom views illustrating the heat exchanger of FIG. 4 .
6A to 6B are cross-sectional views explaining the gate opening/closing structure and operation of the chamber body of FIG. 3 .
7 is a perspective view showing a gas injection unit installed in the load lock module of FIG. 1;
8 is a side view showing the chamber body of the load lock module of FIG. 3;
FIG. 9 is a view showing installation positions of guide blocks installed in the load-lock module of FIG. 1 .
10 is a view showing a guide space of the guide block of FIG. 9 .

이하 본 발명에 따른 로드락모듈 및 이를 포함하는 기판처리시스템에 관하여 첨부된 도면을 참조하여 설명하면 다음과 같다.Hereinafter, a load-lock module according to the present invention and a substrate processing system including the same will be described with reference to the accompanying drawings.

본 발명에 따른 기판처리시스템은, 도 1 내지 도 10에 도시된 바와 같이, 미리 설정된 공정압상태에서 기판(G)에 대한 기판처리를 수행하는 하나 이상의 공정모듈(100)과, 대기압상태의 외부 및 상기 공정모듈(100) 사이에서 기판(G)을 전달하는 로드락모듈(200)과, 상기 공정모듈(100) 및 상기 로드락모듈(200)를 사이에서 기판(G)을 반송하는 반송모듈(300)을 포함할 수 있다.As shown in FIGS. 1 to 10, the substrate processing system according to the present invention includes one or more process modules 100 for performing substrate processing on a substrate G under a preset process pressure, and an external atmosphere under atmospheric pressure. and a load-lock module 200 that transfers the substrate G between the process modules 100 and a transfer module that transfers the substrate G between the process module 100 and the load-lock module 200. (300).

상기 기판처리시스템은, 도 1에 도시된 바와 같이, 적어도 하나 이상의 공정을 수행하는 복수개의 개별챔버(101,102)로 된 공정모듈(100)와, 복수의 개별 챔버(101,102)로 이루어진 공정모듈(100)을 공통으로 연결하는 반송모듈(300)을 포함하여 클러스터(cluster) 타입으로 구성 수 있으나, 이는 하나의 실시예일뿐 이에 한정되는 것은 아니다.As shown in FIG. 1, the substrate processing system includes a process module 100 composed of a plurality of individual chambers 101 and 102 performing at least one process, and a process module 100 composed of a plurality of individual chambers 101 and 102. ) may be configured in a cluster type including the transport module 300 that commonly connects, but this is only one embodiment and is not limited thereto.

상기 반송모듈(300)와 각 개별챔버(101,102) 사이에는 제어부(미도시)의 제어에 의해 개폐 동작되는 게이트밸브가 설치될 수 있다.Gate valves may be installed between the transfer module 300 and the individual chambers 101 and 102 to be opened and closed under the control of a controller (not shown).

또한, 상기 반송모듈(300)에는, 로드락모듈(200)로부터 기판(G)을 인출하여 요구되는 소정 위치로 이송시키도록 하는 기판이송로봇(310)이 구비될 수 있다.In addition, the transfer module 300 may be provided with a substrate transfer robot 310 that takes out the substrate G from the load lock module 200 and transfers it to a desired location.

상기 공정모듈(100)은, 플라즈마 반응 또는 화학기상방법을 이용한 식각 공정 또는 증착 공정과 같은 각종 공정이 진행되는 곳으로, 공정이 진행되기 전에 예비 동작으로 진공 펌프(미도시)에 의한 펌핑에 의해 진공 상태를 갖도록 설정한 후, 실제 공정이 수행될 경우 진공압을 조절하기 위한 압력조절밸브를 포함할 수 있다.The process module 100 is a place where various processes such as an etching process or a deposition process using a plasma reaction or a chemical vapor method are performed, and pumping by a vacuum pump (not shown) as a preliminary operation before the process proceeds. After setting to have a vacuum state, a pressure control valve for adjusting the vacuum pressure may be included when an actual process is performed.

구체적으로, 상기 공정모듈(100)은, 기판처리가 이루어지는 밀폐된 처리공간을 형성하는 챔버본체(미도시)와, 기판(G)을 지지하는 기판지지부(미도시)와, 공정가스를 분사하는 공정가스 분사부(미도시)와, 공정모듈(100)의 온도를 제어하는 가열재킷(미도시)을 포함할 수 있다.Specifically, the process module 100 includes a chamber body (not shown) for forming a closed processing space in which substrate processing is performed, a substrate support unit (not shown) for supporting a substrate G, and a process gas spraying It may include a process gas injection unit (not shown) and a heating jacket (not shown) for controlling the temperature of the process module 100 .

한편, 상기 공정모듈(100)은, 복수의 개별챔버(101, 102)를 포함하여 기판 증착, 기판 식각 등의 기판처리가 이루어지는 기판처리공간을 복수개 구비할 수 있다.Meanwhile, the process module 100 may include a plurality of substrate processing spaces including a plurality of individual chambers 101 and 102 to perform substrate processing such as substrate deposition and substrate etching.

상기 복수의 개별챔버(101, 102)는, 나란히 배치되어 반송모듈(300)과 연결되는 복수개의 기판처리공간이 나란히 붙어서 배치될 수 있다.The plurality of individual chambers 101 and 102 may be arranged side by side with a plurality of substrate processing spaces connected to the transfer module 300 attached side by side.

여기서, 상기 공정모듈(100)은, 복수개의 기판 처리 공간을 구현하기 위하여 복수개의 개별 챔버(101,102)로서 이루어질 수 있지만, 하나의 챔버 내에 각각 독립된 2개의 기판 처리 공간을 가질 수 있으며, 이와 달리, 하나의 공정모듈(100)이 하나의 기판(S)을 처리하도록 구성될 수도 있음은 물론이다.Here, the process module 100 may be formed as a plurality of individual chambers 101 and 102 to implement a plurality of substrate processing spaces, but may have two independent substrate processing spaces in one chamber. Unlike this, Of course, one process module 100 may be configured to process one substrate (S).

상기 반송모듈(300)은, 로드락모듈(200)과 공정모듈(100) 사이에 위치하여 공정모듈(100)의 각 기판처리공간으로 기판(G)을 이송하는 구성으로 다양한 구성이 가능하다.The transfer module 300 is positioned between the load lock module 200 and the process module 100 to transfer the substrate G to each substrate processing space of the process module 100, and various configurations are possible.

상기 반송모듈(300)은, 기판(G)이 통과되는 복수개의 게이트가 형성되며, 로드락모듈(200)과 공정모듈(100) 사이에서 기판(G)이 이송되는 공간을 형성하는 챔버본체를 포함할 수 있다.The transport module 300 has a chamber body in which a plurality of gates through which the substrate G passes are formed and a space in which the substrate G is transported is formed between the load lock module 200 and the process module 100. can include

상기 반송모듈(300)은, 기판 처리를 위하여 로드락모듈(200)로부터 이송되는 기판(G)을 공정모듈(100)로 이송하며, 반대로 기판 처리가 완료되어 공정모듈(100)로부터 이송되어 오는 기판(G)을 로드락모듈(200)로 이송할 수 있다.The transfer module 300 transfers the substrate G transferred from the load lock module 200 to the process module 100 for substrate processing, and conversely, the substrate processing is completed and transferred from the process module 100. The substrate G may be transferred to the load lock module 200 .

구체적으로, 상기 반송모듈(300)은, 로드락모듈(200)로부터 기판(G)을 인출하여 요구되는 소정 위치로 이송시키고, 공정모듈(100)로부터 기판(G)을 인출하여 로드락모듈(200)로 이송시키는 기판이송로봇(310)이 구비될 수 있다.Specifically, the transfer module 300 takes out the substrate G from the load lock module 200 and transfers it to a desired location, and takes out the substrate G from the process module 100 to the load lock module ( A substrate transfer robot 310 for transferring to 200 may be provided.

상기 기판이송로봇(310)은, 반송모듈(300)에 마련되며, 복수의 게이트를 통해 각 공정모듈(100)과 반송모듈(300)간에 기판(G)을 이송하는 구성으로 다양한 구성이 가능하다.The substrate transfer robot 310 is provided in the transfer module 300, and various configurations are possible for transferring the substrate G between each process module 100 and the transfer module 300 through a plurality of gates. .

상기 기판이송로봇(310)은, 로드락모듈(30)로부터 이송되는 기판(G)을 게이트를 통하여 각 개별챔버(101,102)로 이송시키며, 게이트를 통해 공정모듈(100)의 각 개별챔버(101,102)로부터 이송되어 오는 기판(G)을 로드락모듈(200)로 이송한다.The substrate transfer robot 310 transfers the substrate G transferred from the load lock module 30 to each individual chamber 101 and 102 through a gate, and transfers the substrate G transferred from the load lock module 30 to each individual chamber 101 and 102 of the process module 100 through the gate. The substrate (G) transferred from ) is transferred to the load lock module 200.

상기 반송모듈(300)는, 항상 진공에 가까운 공정압상태를 유지할 수 있다.The transfer module 300 can always maintain a process pressure state close to vacuum.

다만, 상기 공정모듈(100)에서 반송모듈(200)로 또는 반송모듈(200)에서 공정모듈(100)로 기판(G) 이송 시에 공정모듈(100) 내부의 파티클이 반송모듈(300)로 인입되는 것을 최소화하기 위하여 반송모듈(300)의 내부 압력은 공정모듈(100)의 압력보다 상대적으로 높은 상태(저진공)로 형성될 수 있다.However, when the substrate (G) is transferred from the process module 100 to the transfer module 200 or from the transfer module 200 to the process module 100, particles inside the process module 100 are transported to the transfer module 300. In order to minimize the introduction, the internal pressure of the transfer module 300 may be formed in a state (low vacuum) relatively higher than the pressure of the process module 100 .

상기 로드락모듈(200)(load lock module)는, 반송모듈(200) 내의 환경 조건에 근접한 환경 조건을 접할 수 있도록 하고, 반송모듈(200) 내의 환경 조건이 외부로부터 영향을 받지 않도록 차단하는 구성으로 다양한 구성이 가능하다.The load lock module 200 enables access to environmental conditions close to those within the transport module 200 and blocks the environmental conditions within the transport module 200 from being influenced by the outside. Various configurations are possible.

즉, 상기 로드락모듈(200)은, 진공에 가까운 공정압상태에서 대기압상태로, 또는 대기압상태에서 공정압상태로 변화 가능하다.That is, the load-lock module 200 can change from a process pressure state close to vacuum to an atmospheric pressure state, or from an atmospheric pressure state to a process pressure state.

또한 상기 로드락모듈(200)은, 대기압 상태의 외부, 예로서, 기판보관용기(미도시)에 연결된 로더부(미도시)로부터 기판(G)을 제공받을 수 있다.In addition, the load lock module 200 may receive a substrate G from an outside of atmospheric pressure, for example, from a loader unit (not shown) connected to a substrate storage container (not shown).

상기 로드락모듈(200)의 일면은 로더부(400)와 결합되며 다른 일면은 반송모듈(300)와 결합될 수 있다.One side of the load lock module 200 may be coupled to the loader unit 400 and the other side may be coupled to the transport module 300.

상기 로더부(400)를 통해 기판(G)이 대기 상태에서 기판 보관용기(FOUP)로부터 이송되어 온 후에는 로드락모듈(200)의 내부는 반송모듈(300)와 마찬가지의 진공에 가까운 공정압상태로 변화된다.After the substrate G is transported from the substrate storage container (FOUP) in the standby state through the loader unit 400, the inside of the load lock module 200 is subjected to a process pressure close to vacuum similar to that of the transfer module 300. change to state

또한 상기 공정모듈(100)에서 처리된 기판(G)이 반송모듈(300)을 거쳐 로드락모듈(200)로 이송되어 오면, 로더부 (400)를 거쳐서 외부의 기판 보관 용기(FOUP)로 기판(G)이 이송되기 위하여 로드락모듈(200) 내부가 대기압상태로 변화된다.In addition, when the substrate (G) processed in the process module 100 is transferred to the load lock module 200 through the transfer module 300, the substrate is transferred to the external substrate storage container (FOUP) through the loader unit 400 In order for (G) to be transported, the inside of the load lock module 200 is changed to atmospheric pressure.

구체적으로, 상기 로드락모듈(200)은, 밀폐된 내부공간(S)을 형성하며 기판출입을 위한 적어도 한 쌍 이상의 게이트(T)를 구비하는 로드락챔버(210)와, 상기 로드락챔버(210)에 설치되어 상기 내부공간(S)에 도입된 기판(G)을 지지하는 기판지지부(220)와, 상기 내부공간(S)으로 불활성가스를 주입하기 위한 가스주입부(230)과, 상기 내부공간(S)의 기체를 배기하기 위한 가스배기부(240)와, 상기 내부공간(S)에 도입된 기판(G)의 온도조절을 위한 열교환부(270)와, 상기 게이트(T)를 개폐하는 게이트밸브부(250)를 포함할 수 있다.Specifically, the load lock module 200 includes a load lock chamber 210 forming a sealed inner space S and having at least one pair of gates T for entering and exiting a substrate; and the load lock chamber ( 210) and a substrate support 220 for supporting the substrate G introduced into the inner space S, a gas injection part 230 for injecting an inert gas into the inner space S, A gas exhaust unit 240 for exhausting gas in the inner space (S), a heat exchanger 270 for temperature control of the substrate (G) introduced into the inner space (S), and the gate (T) It may include a gate valve unit 250 that opens and closes.

상기 로드락모듈(200)은, 도 1 내지 도 3에 도시된 바와 같이, 한 쌍으로 구성될 수 있고, 한 쌍의 로드락모듈(200)은 반송모듈(300)의 일 측면에 나란히 배치될 수 있다.As shown in FIGS. 1 to 3 , the load lock modules 200 may be configured as a pair, and the pair of load lock modules 200 may be disposed side by side on one side of the transport module 300. can

상기 로드락챔버(210)는, 밀폐된 내부공간(S)을 형성하며 기판출입을 위한 적어도 한 쌍 이상의 게이트(T)를 구비하는 하우징으로 다양한 구성이 가능하다.The load lock chamber 210 is a housing that forms an airtight inner space S and includes at least one pair of gates T for entering and exiting a substrate, and various configurations are possible.

상기 한 쌍 이상의 게이트(T)는, 기판(G)의 기판이송방향(D1, 기판반송방향)을 따라서 형성될 수 있다.The one or more pairs of gates T may be formed along the substrate transport direction D1 (substrate transport direction) of the substrate G.

상기 기판이송방향(D1)은 로드락챔버(210)로 출입되는 기판(G)이 이동하는 이동경로에 평행한 방향으로 정의될 수 있다.The substrate transfer direction D1 may be defined as a direction parallel to a movement path through which the substrate G moving in and out of the load lock chamber 210 moves.

상기 한 쌍 이상의 게이트(T)는, 후술하는 게이트밸브부(250)에 의해 개폐되는 개구로서, 로더부(400) 측에 대응되는 제1게이트(T1) 및 반송모듈(300) 측에 대응되는 제2게이트(T2)를 포함할 수 있다.The one or more pairs of gates T are openings opened and closed by a gate valve unit 250 to be described later, and a first gate T1 corresponding to the side of the loader unit 400 and a side corresponding to the transfer module 300 A second gate T2 may be included.

상기 로드락챔버(210)가 육면체 형상으로 형성되는 경우, 상기 한 쌍 이상의 게이트(T)는 로드락챔버(210)의 대향하는 한 쌍의 측벽에 구비될 수 있다.When the load lock chamber 210 is formed in a hexahedral shape, the one or more pairs of gates T may be provided on a pair of opposite sidewalls of the load lock chamber 210 .

상기 한 쌍의 게이트(T)는, 로드락챔버(210)가 독립된 복수의 기판처리영역으로 이루어지는 경우, 기판처리영역에 대응되어 복수로 형성될 수 있음은 물론이다.When the load lock chamber 210 consists of a plurality of independent substrate processing areas, the pair of gates T may be formed in plural to correspond to the substrate processing areas.

도 1 내지 도 10에 도시된 로드락챔버(210)는, 상하 간격을 두고 두 쌍의 게이트(T)가 형성된 실시예를 도시한 것이다.The load lock chamber 210 shown in FIGS. 1 to 10 shows an embodiment in which two pairs of gates T are formed at a vertical interval.

상기 로드락챔버(210)는, 평면형상이 직사각형(육면체 형상)으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.The load lock chamber 210 may have a rectangular (hexahedral shape) shape in plan, but is not limited thereto.

이때, 상기 로드락챔버(210)는, 복수의 공정모듈(100)에 대응되어 복수의 독립적인 기판처리영역을 형성하거나 또는 하나의 기판처리영역에서 복수의 기판(G)들을 처리하도록 구성될 수 있다.At this time, the load lock chamber 210 may be configured to form a plurality of independent substrate processing areas corresponding to the plurality of process modules 100 or to process a plurality of substrates G in one substrate processing area. there is.

상기 로드락챔버(210)는, 상면이 개방된 챔버본체(212)와 상기 챔버본체(212)의 상면에 결합되어 상기 내부공간(S)을 형성하는 상부리드(214)를 포함할 수 있다.The load lock chamber 210 may include a chamber body 212 having an open upper surface and an upper lid 214 coupled to the upper surface of the chamber body 212 to form the inner space S.

상기 챔버본체(212)는, 단일부재로 일체로 형성될 수 있다.The chamber body 212 may be integrally formed as a single member.

상기 챔버본체(212)의 저면이 개방된 경우, 상기 로드락챔버(210)는 챔버본체(212)의 저면에 결합되는 하부리드(213)를 추가로 포함할 수 있다.When the lower surface of the chamber body 212 is opened, the load lock chamber 210 may further include a lower lid 213 coupled to the lower surface of the chamber body 212 .

예로서, 상기 챔버본체(212)는, 내부공간(S)을 상하로 구획하여 제1내부공간(S1)과 제2내부공간(S2)을 형성하는 구획벽(218)을 포함할 수 있다.For example, the chamber body 212 may include a partition wall 218 that divides the inner space S vertically to form a first inner space S1 and a second inner space S2.

상기 제1내부공간(S1)과 제2내부공간(S2)는 서로 독립된 기판처리영역일 수 있다.The first inner space S1 and the second inner space S2 may be substrate processing areas independent of each other.

이때, 상기 챔버본체(212)는, 상기 제1내부공간(S1) 및 상기 제2내부공간(S2)에 각각 대응되는 두 쌍의 게이트(T)들을 포함할 수 있다.At this time, the chamber body 212 may include two pairs of gates T respectively corresponding to the first inner space S1 and the second inner space S2.

상기 기판지지부(220)는, 상기 로드락챔버(210)에 설치되어 상기 내부공간(S)에 도입된 기판(G)을 지지하는 구성으로 다양한 구성이 가능하다.The substrate support part 220 is installed in the load lock chamber 210 to support the substrate G introduced into the inner space S, and various configurations are possible.

상기 기판지지부(220)는, 로드락챔버(210)가 독립된 복수의 기판처리영역으로 이루어지는 경우, 기판처리영역에 대응되어 복수로 구비될 수 있다.When the load lock chamber 210 consists of a plurality of independent substrate processing areas, a plurality of substrate support units 220 may be provided to correspond to the substrate processing areas.

즉, 상기 기판지지부(220)는, 상기 제1내부공간(S1) 및 상기 제2내부공간(S2)에 각각 구비될 수 있다.That is, the substrate support part 220 may be provided in each of the first inner space S1 and the second inner space S2.

또한, 상기 기판지지부(220)는, 도 2에 도시된 바와 같이, 하나의 기판처리영역에서 상하로 이격되어 적층된 복수의 기판(G)을 지지하도록 구성될 수도 있다.In addition, as shown in FIG. 2 , the substrate support part 220 may be configured to support a plurality of substrates G spaced vertically and stacked in one substrate processing area.

이를 위해, 상기 기판지지부(220)는, 상하 간격을 두고 설치되며 기판(G)의 저면을 지지하는 복수의 기판지지부재들(222)과, 상기 복수의 기판지지부재들의 상하이동을 구동하는 상하구동부(224)를 포함할 수 있다.To this end, the substrate support unit 220 includes a plurality of substrate support members 222 installed at a vertical interval and supporting the lower surface of the substrate G, and a vertical movement of the plurality of substrate support members. A drive unit 224 may be included.

상기 제1내부공간(S1)에 설치되는 기판지지부(220)의 상하구동부(224)는, 상부리드(214) 측에 설치되어 상부리드(214)를 관통하는 로드가 기판지지부재에 결합됨으로써 기판지지부재(222)가 상하이동될 수 있다.The up-and-down driving part 224 of the substrate support part 220 installed in the first inner space S1 is installed on the side of the upper lead 214, and a rod passing through the upper lead 214 is coupled to the substrate support member, so that the substrate The support member 222 may move up and down.

반대로, 제1내부공간(S1) 하부에 위치되는 제2내부공간(S2)에 설치되는 기판지지부(220)의 상하구동부(224)는, 하부리드(213) 측에 설치되어 하부리드(213)를 관통하는 로드가 기판지지부재(222)에 결합됨으로써 기판지지부재가 상하이동될 수 있다.Conversely, the vertical driving part 224 of the substrate support 220 installed in the second inner space S2 located below the first inner space S1 is installed on the side of the lower lead 213 to move the lower lead 213 As the rod passing through is coupled to the substrate support member 222, the substrate support member can move up and down.

상기 가스주입부(230)는, 상기 내부공간(S)으로 불활성가스를 주입하기 위한 구성으로 다양한 구성이 가능하다.The gas injection unit 230 is a configuration for injecting an inert gas into the inner space (S), and various configurations are possible.

상기 가스주입부(230)는, 내부공간(S)으로 불활성가스를 주입함으로써 챔버본체(212)에 설치되어 내부공간(S)을 공정압상태에서 대기압상태로 변화시킬 수 있으며, 기판(G)을 냉각시키는 냉각기능도 수행할 수 있다.The gas injection unit 230 is installed in the chamber body 212 by injecting an inert gas into the inner space (S) to change the inner space (S) from a process pressure state to an atmospheric pressure state, and the substrate (G) It can also perform a cooling function of cooling.

상기 불활성가스는, 내부공간(S)을 벤팅/퍼지하기 위한 가스로, 예로서, N2가스일 수 있다.The inert gas is a gas for venting/purging the inner space S, and may be, for example, N2 gas.

상기 불활성가스는, 로드락챔버(210)의 내부공간의 압력변화/퍼지를 목적으로 하나 이와 함께 공정모듈(100)에서 도입되어 가열된 기판(G)이 그대로 배출되는 경우 발생할 수 있는 부재들의 열손상(thermal damage) 등을 방지하기 위하여 기판(G)을 미리 설정된 온도까지 냉각시키는 목적도 갖는다.The inert gas is for the purpose of pressure change/purging of the internal space of the load lock chamber 210, but at the same time, heat of members that may occur when the heated substrate G is discharged as it is introduced from the process module 100. It also has the purpose of cooling the substrate (G) to a preset temperature in order to prevent damage (thermal damage) and the like.

예로서, 상기 가스주입부(230)는, 내부에 가스유로(F)를 구비하는 밸브블럭(232)과, 상기 가스유로(F)를 개폐하기 위하여 상기 밸브블럭(232)에 설치되는 적어도 하나 이상의 가스밸브(234)와, 상기 가스유로(F)와 연통되어 불활성가스를 공급받아 상기 내부공간(S)으로 가스를 분사하는 디퓨저(236)를 포함할 수 있다.For example, the gas injection unit 230 includes a valve block 232 having a gas flow path F therein, and at least one installed on the valve block 232 to open and close the gas flow path F. The above gas valve 234 and a diffuser 236 communicating with the gas flow path F to receive inert gas and injecting gas into the inner space S may be included.

상기 밸브블록(232)은, 내부에 가스유로(F)를 구비하는 몸체부로 다양한 구성이 가능하다.The valve block 232 is a body portion having a gas flow path F therein, and various configurations are possible.

상기 밸브블록(232)에는 외부의 가스소스(미도시)로부터 불활성가스(벤팅가스)가 공급되기 위한 하나 이상의 가스공급라인(PL)과, 불활성가스(퍼지가스)가 공급되기 위한 하나 이상의 가스공급라인(SL)이 결합될 수 있다.The valve block 232 includes one or more gas supply lines PL for supplying an inert gas (venting gas) from an external gas source (not shown) and one or more gas supply lines for supplying an inert gas (purge gas). A line SL may be coupled.

예로서, 상기 가스공급라인(PL, SL)은, 제1내부공간(S1)과 연통되는 제1가스공급라인과 제2내부공간(S2)과 연통되는 제2가스공급라인을 포함할 수 있다.For example, the gas supply lines PL and SL may include a first gas supply line communicating with the first inner space S1 and a second gas supply line communicating with the second inner space S2. .

다른 예로서, 상기 가스공급라인(PL, SL)은, 제1내부공간(S1) 및 제2내부공간(S2) 모두에 연통되도록, 단일라인으로 구성될 수 있다.As another example, the gas supply lines PL and SL may be configured as a single line to communicate with both the first inner space S1 and the second inner space S2.

상기 가스유로(F)는 밸브블록(232) 내에서 불활성가스가 흐르는 유로로서, 단일경로를 형성하거나 또는 다양한 방식으로 분기되어 형성되는 유로일 수 있다.The gas flow path F is a flow path through which the inert gas flows in the valve block 232, and may be a flow path formed by forming a single path or branching in various ways.

로드락챔버(210)의 내부공간(S)이 제1내부공간(S1) 및 제2내부공간(S2)으로 구획되는 경우, 상기 가스유로(F)는 제1내부공간(S1)으로 가스를 주입하기 위한 가스유로와 제2내부공간(S2)으로 가스를 주입하기 위한 가스유로로 구성될 수 있다.When the internal space (S) of the load lock chamber 210 is partitioned into a first internal space (S1) and a second internal space (S2), the gas flow path (F) supplies gas to the first internal space (S1). It may consist of a gas flow path for injecting gas and a gas flow path for injecting gas into the second inner space (S2).

상기 가스밸브(234)는 가스유로(F)를 개폐하기 위하여 상기 밸브블럭(232)에 설치되는 개폐밸브로서, 다양한 구성이 가능하다.The gas valve 234 is an on-off valve installed on the valve block 232 to open and close the gas flow path F, and various configurations are possible.

로드락챔버(210)의 내부공간(S)이 제1내부공간(S1) 및 제2내부공간(S2)으로 구획되는 경우, 상기 가스밸브(234)는 제1내부공간(S1) 및 제2내부공간(S2)으로의 가스주입을 독립적으로 제어하기 위하여, 제1내부공간(S1) 및 제2내부공간(S2)에 대응되어 복수로 구비될 수 있다.When the internal space (S) of the load lock chamber 210 is partitioned into a first internal space (S1) and a second internal space (S2), the gas valve 234 operates in the first internal space (S1) and the second internal space (S1). In order to independently control gas injection into the inner space (S2), a plurality may be provided to correspond to the first inner space (S1) and the second inner space (S2).

즉, 제1내부공간(S1)으로 가스를 주입하기 위한 가스유로에 하나 또는 복수의 가스밸브(234)가 설치됨으로써, 제1내부공간(S1)으로의 가스주입이 제어될 수 있다. That is, by installing one or a plurality of gas valves 234 in the gas passage for injecting gas into the first inner space S1, the gas injection into the first inner space S1 can be controlled.

마찬가지로, 제2내부공간(S2)으로 가스를 주입하기 위한 가스유로에 하나 또는 복수의 가스밸브(234)가 설치됨으로써, 제1내부공간(S1)으로의 가스주입이 제어될 수 있다.Similarly, by installing one or a plurality of gas valves 234 in the gas passage for injecting gas into the second inner space S2, gas injection into the first inner space S1 can be controlled.

상기 디퓨저(236)는, 내부공간(S)으로 가스를 분사하기 위한 구성으로 다양한 구성이 가능하며, 가스유로(F)와 연통되어 불활성가스를 공급받아 상기 내부공간(S)으로 가스를 분사할 수 있다.The diffuser 236 can have various configurations for injecting gas into the inner space (S), communicates with the gas flow path (F), receives inert gas, and injects gas into the inner space (S). can

상기 디퓨저(236)는, 내부에 가스확산공간이 형성되며, 가스확산공간은 디퓨저(236) 끝단 커넥터(236a)를 통해 밸브블록(232)의 가스유로(F)와 연통될 수 있다.The diffuser 236 has a gas diffusion space formed therein, and the gas diffusion space may communicate with the gas flow path F of the valve block 232 through the end connector 236a of the diffuser 236.

상기 디퓨저(236)는, 길이를 가지는 바 형상으로, 각형, 원통형 등 다양한 형상으로 형성될 수 있다. 또한, 상기 디퓨저(236)의 외주면에는 내부 가스확산공간으로부터 가스가 분사되도록 복수의 가스분사공들이 형성될 수 있다.The diffuser 236 may be formed in various shapes such as a bar shape having a length, an angular shape, and a cylindrical shape. In addition, a plurality of gas ejection holes may be formed on an outer circumferential surface of the diffuser 236 to eject gas from an internal gas diffusion space.

이때, 상기 디퓨저(236)는, 챔버본체(212)의 게이트(T)가 형성되지 않는 챔버본체(212)의 측면에 기판이송방향(D1)에 평행하게 설치될 수 있다.At this time, the diffuser 236 may be installed parallel to the substrate transfer direction D1 on a side surface of the chamber body 212 where the gate T of the chamber body 212 is not formed.

예로서, 상기 챔버본체(212)가 육면체 형상으로 형성되고 한 쌍의 게이트(T)가 챔버본체(212)의 대향하는 한 쌍의 측면에 구비되는 경우, 상기 디퓨저(236)는 게이트(T)가 구비된 측면에 이웃하는 측면에 설치될 수 있다.For example, when the chamber body 212 is formed in the shape of a hexahedron and a pair of gates T are provided on a pair of opposite side surfaces of the chamber body 212, the diffuser 236 has a gate T Can be installed on the side adjacent to the side provided with.

보다 구체적으로, 상기 디퓨저(236)는, 챔버본체(212)의 측벽이 관통되어 형성되는 측벽내부공간(IS)에 설치될 수 있다.More specifically, the diffuser 236 may be installed in the side wall inner space IS formed by penetrating the side wall of the chamber body 212 .

이를 위해, 상기 챔버본체(212)의 측벽에는 디퓨저(236)가 설치되기 위한 측벽내부공간(IS)을 형성하는 측벽개구(212a)가 형성될 수 있다.To this end, a side wall opening 212a forming a side wall inner space IS for installing the diffuser 236 may be formed in the side wall of the chamber body 212 .

상기 측벽개구(212a)는 디퓨저(236)의 설치방향 및 형상에 따라 다양한 형상 및 크기로 형성될 수 있다.The sidewall opening 212a may be formed in various shapes and sizes according to the installation direction and shape of the diffuser 236 .

이때, 상기 가스주입부(230)는, 상기 밸브블럭(232)과 상기 챔버본체(212)의 측벽 사이에 상기 내부공간(S)을 밀폐하기 위한 커버플레이트(238)를 추가로 포함할 수 있다.At this time, the gas injection unit 230 may further include a cover plate 238 for sealing the inner space S between the valve block 232 and the sidewall of the chamber body 212. .

상기 커버플레이트(238)는, 챔버본체(212)의 측벽에 형성된 측벽개구(212a)를 밀폐하기 위한 밀폐판일 수 있다.The cover plate 238 may be a sealing plate for sealing the side wall opening 212a formed in the side wall of the chamber body 212 .

상기 커버플레이트(238)에 밸브블럭(232)이 고정설치될 수 있다.A valve block 232 may be fixedly installed on the cover plate 238 .

또한, 상기 커버플레이트(238)에는 로드락챔버(210) 내부공간(S)을 모니터링하기 위한 뷰포트(V)가 설치될 수 있다.In addition, a viewport V for monitoring the inner space S of the load lock chamber 210 may be installed on the cover plate 238 .

상기 뷰포트(V) 및 측벽개구(212a)를 통해 로드락챔버(210) 외측에서 내부공간(S) 확인이 가능해질 수 있다.Through the view port V and the side wall opening 212a, it is possible to check the inner space S from the outside of the load lock chamber 210.

상기 디퓨저(236)은 챔버본체(212)의 측벽이 관통되어 형성되는 측벽내부공간(IS)에 위치됨으로써, 내부공간(S)에 설치되는 다른 구조물들에 대한 간섭없이 편리한 설치와 유지보수가 가능하고, 디퓨저(236)로부터 분사된 불활성가스가 디퓨저(236)를 둘러싸는 챔버본체(212) 측벽 및 후방 커버플레이트(238)에 의해 기판(G)을 향하는 방향으로 보다 효과적으로 확산(가스유동개선)될 수 있으므로 불활성가스를 이용한 기판냉각효과(냉각속도, 냉각시간, 온도균일도)를 보다 향상시킬 수 있는 이점이 있다.The diffuser 236 is located in the side wall inner space IS formed by penetrating the side wall of the chamber body 212, so that convenient installation and maintenance can be performed without interfering with other structures installed in the inner space S. And, the inert gas injected from the diffuser 236 is more effectively diffused in the direction toward the substrate G by the side wall of the chamber body 212 and the rear cover plate 238 surrounding the diffuser 236 (gas flow improvement) Therefore, there is an advantage in that the substrate cooling effect (cooling speed, cooling time, temperature uniformity) using an inert gas can be further improved.

상기 가스배기부(240)는, 내부공간(S)의 기체를 배기하기 위한 구성으로 다양한 구성이 가능하며, 내부공간(S)을 대기압상태에서 공정압상태로 변화시키기 위하여 내부공간에 가스를 배기할 수 있다.The gas exhaust unit 240 has various configurations for exhausting the gas in the internal space (S), and exhausts the gas in the internal space (S) to change the atmospheric pressure state to a process pressure state. can do.

상기 가스배기부(240)는, 로드락챔버(210)에 결합되는 가스배기라인(242)과, 상기 가스배기라인(242)에 연결되는 진공펌프(244)를 포함할 수 있다.The gas exhaust unit 240 may include a gas exhaust line 242 coupled to the load lock chamber 210 and a vacuum pump 244 connected to the gas exhaust line 242 .

도 2에 도시된 바와 같이, 기판처리시스템이 한 쌍의 로드락모듈(200)을 포함하는 경우, 상기 가스배기부(240)는 한 쌍의 로드락모듈(200)을 하나의 진공펌프(244)를 이용해 배기하기 위한 공통배기라인을 포함할 수 있다.As shown in FIG. 2 , when the substrate processing system includes a pair of load-lock modules 200, the gas exhaust unit 240 connects the pair of load-lock modules 200 to one vacuum pump 244. ) may include a common exhaust line for exhausting.

상기 열교환부(270)는, 내부공간(S)에 도입된 기판(G)의 온도조절(냉각 또는 히팅)을 위한 구성으로 다양한 구성이 가능하다.The heat exchanging unit 270 is a configuration for temperature control (cooling or heating) of the substrate G introduced into the inner space S, and various configurations are possible.

상기 로드락챔버(210)의 내부공간(S)이 제1내부공간(S1)과 제2내부공간(S2)으로 구획된 경우, 상기 열교환부(270)는, 도 4에 도시된 바와 같이, 상기 제1내부공간(S1) 및 상기 제2내부공간(S2)에 각각 구비될 수 있다.When the internal space (S) of the load lock chamber 210 is partitioned into a first internal space (S1) and a second internal space (S2), the heat exchange unit 270, as shown in FIG. 4, The first inner space (S1) and the second inner space (S2) may be provided respectively.

예로서, 상기 열교환부(270)는, 도 5a 내지 도 5b를 참조하면, 기판(G)과 대응되는 평면 형상으로 형성되는 열교환플레이트(272)와, 열교환플레이트(272) 내부에 매립되며 외부로부터 공급된 열매체가 흐르는 열매체유로(274)와, 상기 열매체유로(274)로의 열매체공급/배출을 위한 열매체포트(276)를 포함할 수 있다.For example, referring to FIGS. 5A and 5B , the heat exchange unit 270 is embedded in a heat exchange plate 272 formed in a planar shape corresponding to the substrate G and the heat exchange plate 272, and can be accessed from the outside. A heat medium passage 274 through which the supplied heat medium flows, and a heat medium port 276 for supplying/discharging the heat medium to the heat medium passage 274 may be included.

상기 열교환플레이트(272)는, 기판(G)과 대응되는 평면형상으로 형성될 수 있으며, 예로서 원판형상으로 형성되는 열전도플레이트일 수 있다.The heat exchange plate 272 may be formed in a planar shape corresponding to the substrate G, and may be, for example, a heat conducting plate formed in a disk shape.

상기 열교환플레이트(272)에는 기판(G)이 안착되기 위한 안착면이 구비될 수 있다.The heat exchange plate 272 may have a seating surface on which the substrate G is seated.

상기 열교환플레이트(272) 내부공간(S)에 고정설치되며, 기판지지부(220)의 상하운동에 의해 기판지지부(220)에 지지된 기판(G)과 열교환플레이트(272) 사이의 거리가 가변될 수 있다.It is fixed in the inner space (S) of the heat exchange plate 272, and the distance between the substrate G supported by the substrate support 220 and the heat exchange plate 272 is variable by the vertical movement of the substrate support 220. can

상기 열매체유로(274)는 열교환플레이트(272) 내부에 형성되는 유로로서, 열매체유로(274)를 따라 열매체가 흐르며 기판(G)을 냉각 또는 히팅시킬 수 있다.The heat medium passage 274 is a passage formed inside the heat exchange plate 272, and the heat medium flows along the heat medium passage 274 to cool or heat the substrate G.

상기 열매체포트(276)는 열매체유로(274)로 열매체를 공급하기 위한 인렛포트(276a)와 열매체유로(274)를 따라 흘러나오는 열매체를 배출하기 위한 아웃렛포트(276b)를 포함할 수 있다.The heat medium port 276 may include an inlet port 276a for supplying the heat medium to the heat medium passage 274 and an outlet port 276b for discharging the heat medium flowing out along the heat medium passage 274.

상기 인렛포트(276a) 및 아웃렛포트(276b)는, 열교환플레이트(272) 외곽부 영역에 서로 이웃하게 나란히 배치될 수 있다.The inlet port 276a and the outlet port 276b may be arranged next to each other in an outer region of the heat exchange plate 272 .

한편, 도 4에 도시된 바와 같이, 상기 열교환부(270)가 상기 제1내부공간(S1) 및 상기 제2내부공간(S2)에 각각 구비되는 경우, 제1내부공간(S1)에 설치되는 열교환부(270)와 제2내부공간(S2)에 설치되는 열교환부(270)의 열매체포트(276)는 서로 평면 상 간섭되지 않는 영역에 설치될 수 있다. On the other hand, as shown in FIG. 4, when the heat exchanger 270 is provided in the first inner space S1 and the second inner space S2, respectively, it is installed in the first inner space S1. The heat exchanger 270 and the heat medium port 276 of the heat exchanger 270 installed in the second inner space S2 may be installed in an area where they do not interfere with each other on a plane.

예로서, 도 5a에 도시된 열교환부(270)는 제1내부공간(S1)에 설치되는 열교환부(270)이고, 도 5b에 도시된 열교환부(270)는 제1내부공간(S1)의 하측에 위치되는 제2내부공간(S2)에 설치되는 열교환부(270)일 수 있다.For example, the heat exchanger 270 shown in FIG. 5A is a heat exchanger 270 installed in the first inner space S1, and the heat exchanger 270 shown in FIG. 5B is the first inner space S1. It may be the heat exchange unit 270 installed in the second inner space (S2) located on the lower side.

도 5a에 도시된 바와 같이, 제1내부공간(S1)에 설치되는 열교환부(270)는 열매체포트(276)가 제2내부공간(S2)에 설치되는 열교환부(270)의 열매체포트(276)와 간섭되지 않기 위하여, 열매체유로(274)가 외곽으로 더 연장된 연장유로(275)를 포함할 수 있다.As shown in FIG. 5A, the heat exchanger port 276 of the heat exchanger 270 installed in the first inner space S1 has a heat transfer port 276 of the heat exchanger 270 installed in the second inner space S2. ), the heat medium passage 274 may include an extension passage 275 further extended to the outside.

한편, 상기 챔버본체(212)는 게이트(T)로부터 기판이송방향(D1)을 따라 외측으로 연장 형성되는 챔버연장부(216)를 구비할 수 있다.Meanwhile, the chamber body 212 may include a chamber extension part 216 extending outward from the gate T along the substrate transfer direction D1.

상기 챔버본체(212)는 일체로 형성되는 단일부재이므로, 챔버연장부(216) 또한 챔버본체(212)와 일체로 형성되는 영역으로 이해되어야 한다.Since the chamber body 212 is a single member integrally formed, the chamber extension 216 should also be understood as an area integrally formed with the chamber body 212 .

상기 챔버연장부(216)는, 게이트(T)로부터 기판이송방향(D1)을 따라 외측으로 연장 형성됨으로써, 후술하는 게이트밸브부(250)와 함께 게이트(T) 개폐를 위한 게이트모듈을 구성할 수 있다.The chamber extension part 216 is formed to extend outward from the gate T along the substrate transfer direction D1, thereby forming a gate module for opening and closing the gate T together with the gate valve part 250 to be described later. can

상기 챔버연장부(216)는 기판이송방향(D1)을 따라 연장되며 기판(G)이 이동하는 통로를 형성할 수 있다.The chamber extension part 216 extends along the substrate transfer direction D1 and may form a passage through which the substrate G moves.

상기 게이트밸브부(250)는, 게이트(T)를 개폐하는 밸브로서, 로드락모듈(200)의 구성일부이며, 로드락챔버(210)와 일체로 형성될 수 있다.The gate valve unit 250 is a valve that opens and closes the gate T, is a component of the load lock module 200, and may be integrally formed with the load lock chamber 210.

구체적으로, 상기 게이트밸브부(250)는, 상기 기판이송방향(D1)에 수직한 방향(D2)을 따라 상기 챔버연장부(216)를 관통하며, 상기 게이트(T)의 가장자리 둘레를 형성하는 밸브시트(219)에 밀착되거나 이격되도록 이동가능하게 설치되는 밸브플레이트(252)를 포함할 수 있다.Specifically, the gate valve part 250 penetrates the chamber extension part 216 along a direction D2 perpendicular to the substrate transport direction D1, and forms an edge circumference of the gate T. It may include a valve plate 252 movably installed so as to come into close contact with or spaced apart from the valve seat 219 .

상기 밸브플레이트(252)는 기판이송방향(D1)에 수직한 방향(D2)을 따라 상기 챔버연장부(216)를 관통하는 밸브판으로서 다양한 구성이 가능하다.The valve plate 252 is a valve plate penetrating the chamber extension part 216 along a direction D2 perpendicular to the substrate transfer direction D1, and various configurations are possible.

이를 위해, 상기 챔버연장부(216)에는 상기 밸브플레이트(252)가 관통설치되는 관통구(217)가 형성될 수 있다.To this end, a through hole 217 through which the valve plate 252 is installed may be formed in the chamber extension part 216 .

상기 챔버본체(212)에 상하 두 쌍의 게이트(T)가 구비되는 경우, 상기 게이트밸브부(250) 또한 게이트(T) 마다 대응되어 설치될 수 있으며, 상기 관통구(217) 또한 도 6a 내지 도 6b에 도시된 바와 같이, 챔버연장부(216)의 상면 및 저면에 각각 형성될 수 있다.When the chamber body 212 is provided with two pairs of upper and lower gates T, the gate valve unit 250 may also be installed to correspond to each gate T, and the through hole 217 is also shown in FIGS. 6A to 6A. As shown in FIG. 6B, it may be formed on the upper and lower surfaces of the chamber extension 216, respectively.

상기 챔버연장부(216)의 관통구(217)를 관통하여 설치된 밸브플레이트(252)가 밀착되는 밸브시트(219)는 게이트(T)의 가장자리 둘레이므로, 본 발명에서 밸브시트(219)는 챔버본체(212)에 구비될 수 있다.Since the valve seat 219 to which the valve plate 252 installed through the through-hole 217 of the chamber extension 216 is in close contact is around the edge of the gate T, in the present invention, the valve seat 219 is a chamber It may be provided on the main body 212 .

즉, 본 발명에서 밸브플레이트(252)는 별도의 밸브하우징이나 커넥터부재가 아니라 로드락챔버(210)의 챔버본체(212)에 직접 밀착되어 게이트(T)를 개폐하도록 구성될 수 있다.That is, in the present invention, the valve plate 252 may be configured to open and close the gate T by being directly attached to the chamber body 212 of the load lock chamber 210, rather than a separate valve housing or connector member.

또한, 상기 게이트밸브부(250)는, 상기 밸브플레이트(252)에 결합되는 밸브로드(254)와, 상기 밸브로드(254)에 결합되는 밸브구동부(256)와, 상기 밸브플레이트(252)를 수용하며 상기 관통구(217)를 복개하는 커버부(258)를 포함할 수 있다.In addition, the gate valve unit 250 includes a valve rod 254 coupled to the valve plate 252, a valve driving unit 256 coupled to the valve rod 254, and the valve plate 252. A cover part 258 accommodating and covering the through hole 217 may be included.

상기 밸브구동부(256)는, 커버부(258)을 경계로 챔버본체(210) 외부에 설치되며 구동로드(357)을 통해 밸브플레이트(252)와 결합되어 밸브플레이트(252)로 게이트(T) 개폐를 위한 구동력을 전달할 수 있다.The valve driving unit 256 is installed outside the chamber body 210 with the cover unit 258 as a boundary, and is coupled to the valve plate 252 through the driving rod 357 to form a gate (T) to the valve plate 252. A driving force for opening and closing can be transmitted.

상기 커버부(258)은 밸브플레이트(252)의 이동공간을 형성하며 챔버본체(210) 내부공간(S)을 밀폐하는 밀폐플레이트로서 다양한 구성이 가능하다.The cover part 258 forms a moving space of the valve plate 252 and is a sealing plate that seals the inner space S of the chamber body 210, and various configurations are possible.

본 발명은 밸브플레이트(252)가 밀착되는 밸브시트를 별도의 밸브하우징이나 커넥터부재가 아니라, 로드락모듈(200)의 챔버본체(212)에 형성함으로써, 별도의 밸브하우징이나 커넥터부재로 인한 문제점(밸브하우징(커넥터부재)와 챔버본체 사이의 마찰, 파티클, 변형, 챔버본체 내부체적 증가)을 개선할 수 있는 이점이 있다.In the present invention, the valve seat to which the valve plate 252 is in close contact is formed on the chamber body 212 of the load-lock module 200, not on a separate valve housing or connector member, thereby solving problems due to a separate valve housing or connector member. There is an advantage of improving (friction between the valve housing (connector member) and the chamber body, particles, deformation, increase in the internal volume of the chamber body).

한편, 상기 로드락모듈(200)은, 상기 내부공간(S)을 점유하며 상기 디퓨저(236)에서 분사된 가스가 상기 기판지지부(220)에 안착된 기판(G)을 향하도록 가이드하는 가이드공간(GS)을 형성하는 가이드블록(260)을 추가로 포함할 수 있다.Meanwhile, the load lock module 200 occupies the inner space S and guides the gas injected from the diffuser 236 toward the substrate G seated on the substrate support 220. (GS) may further include a guide block 260 forming.

상기 가이드블록(260)은, 기판출입을 위하여 평면 상 기판(G)이 이동되는 이동영역과 간섭되지 않는 위치에 설치될 수 있다.The guide block 260 may be installed in a position where it does not interfere with a moving area in which the substrate G is moved on a flat surface for substrate entry and exit.

또한, 상기 가이드블록(260)은, 기판지지부(220)의 상하이동 및 그를 위한 구조물과 간섭되지 않는 위치에 설치될 수 있다.In addition, the guide block 260 may be installed at a position where the substrate support 220 does not interfere with the vertical movement and the structure therefor.

예로서, 상기 가이드블록(260)은, 도 9에 도시된 바와 같이, 디퓨저(236)가 설치되는 챔버본체(212)의 측벽을 따라 길이를 가지는 블록부재일 수 있다.For example, as shown in FIG. 9 , the guide block 260 may be a block member having a length along the sidewall of the chamber body 212 on which the diffuser 236 is installed.

상기 가이드블록(260)은 로드락챔버(210)의 내부공간(S)을 점유함으로써, 내부공간(S)의 체적을 감소시킬 수 있고, 결과적으로 로드락챔버(210)에서의 기판처리에 소요되는 펌핑/벤팅 시간, 필요한 불활성기체의 양을 감소시켜 생산성을 향상시킬 수 있다.The guide block 260 occupies the inner space (S) of the load lock chamber 210, thereby reducing the volume of the inner space (S), and as a result, substrate processing in the load lock chamber 210 is required. Productivity can be improved by reducing the required pumping/venting time and the amount of inert gas required.

이때, 상기 가이드블록(260)은 기판지지부(220)와 디퓨저(236) 사이에 설치되고, 열교환플레이트(272) 상면에 고정결합될 수 있다.At this time, the guide block 260 may be installed between the substrate support 220 and the diffuser 236 and fixedly coupled to the upper surface of the heat exchange plate 272 .

상기 가이드블록(260)은, 디퓨저(236)에서 분사된 가스가 상기 기판지지부(220)에 안착된 기판(G)을 향하도록 가이드하는 가이드공간(GS)을 형성함으로써, 내부공간(S)에서 기판(G)을 향하는 가스유동도 개선할 수 있다.The guide block 260 forms a guide space GS for guiding the gas injected from the diffuser 236 toward the substrate G seated on the substrate support 220, Gas flow toward the substrate G can also be improved.

상기 가이드공간(GS)은, 기판지지부(220)와 디퓨저(236) 사이에 가스이동경로가 형성될 수 있다면 다양한 방식으로 형성될 수 있다.The guide space GS may be formed in various ways as long as a gas movement path can be formed between the substrate support 220 and the diffuser 236 .

예로서, 상기 가이드공간(GS)는, 도 10/에 도시된 바와 같이, 가이드블록(260)이 열교환플레이트(272)에 결합되는 결합부(262)를 제외한 가이드블록(260)의 하부 일부영역을 제거하여 함몰시킴으로써 형성될 수 있으나, 이는 가능한 실시예를 도시한 것일 뿐, 본 발명이 이에 한정되는 것이 아님은 명백하다.For example, as shown in FIG. 10/, the guide space GS is a part of the lower portion of the guide block 260, excluding the coupling portion 262 where the guide block 260 is coupled to the heat exchange plate 272. It may be formed by removing and sinking, but this is only showing possible embodiments, and it is clear that the present invention is not limited thereto.

이상은 본 발명에 의해 구현될 수 있는 바람직한 실시예의 일부에 관하여 설명한 것에 불과하므로, 주지된 바와 같이 본 발명의 범위는 위의 실시예에 한정되어 해석되어서는 안 될 것이며, 위에서 설명된 본 발명의 기술적 사상과 그 근본을 함께하는 기술적 사상은 모두 본 발명의 범위에 포함된다고 할 것이다. Since the above has only been described with respect to some of the preferred embodiments that can be implemented by the present invention, as noted, the scope of the present invention should not be construed as being limited to the above embodiments, and the scope of the present invention described above It will be said that the technical idea and the technical idea together with the root are all included in the scope of the present invention.

100: 공정모듈
200: 로드락모듈
300: 반송모듈
100: process module
200: load lock module
300: transport module

Claims (10)

대기압상태의 외부 및 기판처리가 이루어지는 공정모듈(100) 사이에서 기판을 전달하는 로드락모듈(200)로서,
밀폐된 내부공간(S)을 형성하며 기판출입을 위한 적어도 한 쌍 이상의 게이트(T)를 구비하는 로드락챔버(210)와; 상기 로드락챔버(210)에 설치되어 상기 내부공간(S)에 도입된 기판(G)을 지지하는 기판지지부(220)와; 상기 내부공간(S)으로 불활성가스를 주입하기 위한 가스주입부(230)과; 상기 내부공간(S)의 기체를 배기하기 위한 가스배기부(240)와; 상기 내부공간(S)에 도입된 기판(G)의 온도조절을 위한 열교환부(270)와; 상기 게이트(T)를 개폐하는 게이트밸브부(250)를 포함하며,
상기 로드락챔버(210)는, 상면이 개방된 챔버본체(212)와 상기 챔버본체(212)의 상면에 결합되어 상기 내부공간(S)을 형성하는 상부리드(214)를 포함하며,
상기 챔버본체(212)는 상기 게이트(T)로부터 기판이송방향(D1)을 따라 외측으로 연장 형성되는 챔버연장부(216)를 구비하며,
상기 게이트밸브부(250)는, 상기 기판이송방향에 수직한 방향(D2)을 따라 상기 챔버연장부(216)를 관통하며, 상기 게이트(T)의 가장자리 둘레를 형성하는 밸브시트(219)에 밀착되거나 이격되도록 이동가능하게 설치되는 밸브플레이트(252)를 포함하는 것을 특징으로 하는 로드락모듈(200).
As a load lock module 200 that transfers a substrate between the outside of the atmospheric pressure and the process module 100 in which substrate processing is performed,
a load lock chamber 210 forming a sealed inner space S and having at least one pair of gates T for entering and exiting a substrate; a substrate support 220 installed in the load lock chamber 210 to support the substrate G introduced into the inner space S; a gas injection unit 230 for injecting an inert gas into the inner space (S); a gas exhaust unit 240 for exhausting gas in the inner space (S); a heat exchange unit 270 for temperature control of the substrate G introduced into the inner space S; It includes a gate valve unit 250 for opening and closing the gate (T),
The load lock chamber 210 includes a chamber body 212 having an open upper surface and an upper lid 214 coupled to the upper surface of the chamber body 212 to form the inner space S,
The chamber body 212 has a chamber extension part 216 extending outward from the gate T along the substrate transfer direction D1,
The gate valve part 250 penetrates the chamber extension part 216 along the direction D2 perpendicular to the substrate transport direction and is attached to the valve seat 219 forming the edge circumference of the gate T. The load-lock module 200, characterized in that it includes a valve plate 252 movably installed so as to be in close contact or spaced apart.
청구항 1에 있어서,
상기 챔버본체(212)는, 상기 내부공간(S)을 상하로 구획하여 제1내부공간(S1)과 제2내부공간(S2)을 형성하는 구획벽(218)을 포함하며,
상기 기판지지부(220) 및 상기 열교환부(270)는, 상기 제1내부공간(S1) 및 상기 제2내부공간(S2)에 각각 구비되는 것을 특징으로 하는 로드락모듈(200).
The method of claim 1,
The chamber body 212 includes a partition wall 218 that divides the inner space S vertically to form a first inner space S1 and a second inner space S2,
The load-lock module (200), characterized in that the substrate support part (220) and the heat exchange part (270) are respectively provided in the first inner space (S1) and the second inner space (S2).
청구항 1에 있어서,
상기 챔버본체(212)는, 상기 제1내부공간(S1) 및 상기 제2내부공간(S2)에 각각 대응되는 두 쌍의 게이트(T)들을 포함하는 것을 특징으로 하는 로드락모듈(200).
The method of claim 1,
The chamber body 212 includes two pairs of gates T respectively corresponding to the first inner space S1 and the second inner space S2.
청구항 1에 있어서,
상기 챔버연장부(216)에는 상기 밸브플레이트(252)가 관통설치되는 관통구(217)가 형성되는 것을 특징으로 하는 로드락모듈(200).
The method of claim 1,
The load-lock module (200), characterized in that a through-hole (217) through which the valve plate (252) is installed is formed in the chamber extension (216).
청구항 4에 있어서,
상기 게이트밸브부(250)는, 상기 밸브플레이트(252)에 결합되는 밸브로드(254)와, 상기 밸브로드(254)에 결합되는 밸브구동부(256)와, 상기 밸브플레이트(252)를 수용하며 상기 관통구(217)를 복개하는 커버부(258)를 포함하는 것을 특징으로 하는 로드락모듈(200).
The method of claim 4,
The gate valve unit 250 accommodates a valve rod 254 coupled to the valve plate 252, a valve driving unit 256 coupled to the valve rod 254, and the valve plate 252, The load lock module 200, characterized in that it comprises a cover portion 258 covering the through hole 217.
청구항 1에 있어서,
상기 가스주입부(230)는, 내부에 가스유로(F)를 구비하는 밸브블럭(232)과, 상기 가스유로(F)를 개폐하기 위하여 상기 밸브블럭(232)에 설치되는 적어도 하나 이상의 가스밸브(234)와, 상기 가스유로(F)와 연통되어 불활성가스를 공급받아 상기 내부공간(S)으로 가스를 분사하는 디퓨저(236)를 포함하는 것을 특징으로 하는 로드락모듈(200).
The method of claim 1,
The gas injection unit 230 includes a valve block 232 having a gas flow path F therein, and at least one or more gas valves installed on the valve block 232 to open and close the gas flow path F. (234) and a diffuser (236) communicating with the gas flow path (F) to receive inert gas and injecting gas into the internal space (S).
청구항 6에 있어서,
상기 디퓨저(236)는, 상기 챔버본체(212)의 측벽이 관통되어 형성되는 측벽내부공간(IS)에 설치되는 것을 특징으로 하는 로드락모듈(200).
The method of claim 6,
The diffuser 236 is a load lock module (200), characterized in that installed in the side wall inner space (IS) formed by penetrating the side wall of the chamber body (212).
청구항 7에 있어서,
상기 가스주입부(230)는, 상기 밸브블럭(232)과 상기 챔버본체(212)의 측벽 사이에 상기 내부공간(S)을 밀폐하기 위한 커버플레이트(238)를 추가로 포함하는 것을 특징으로 하는 로드락모듈(200).
The method of claim 7,
The gas injection unit 230 further comprises a cover plate 238 for sealing the inner space S between the valve block 232 and the sidewall of the chamber body 212. Load lock module (200).
청구항 8에 있어서,
상기 커버플레이트(238)에는, 뷰포트(V)가 설치되는 것을 특징으로 하는 로드락모듈(200).
The method of claim 8,
The load lock module (200), characterized in that the viewport (V) is installed on the cover plate (238).
미리 설정된 공정압상태에서 기판(G)에 대한 기판처리를 수행하는 하나 이상의 공정모듈(100)과; 대기압상태의 외부 및 상기 공정모듈(100) 사이에서 기판(G)을 전달하는 청구항 1 내지 청구항 9 중 어느 하나의 항에 따른 로드락모듈(200)과; 상기 공정모듈(100) 및 상기 로드락모듈(200)를 사이에서 기판(G)을 반송하는 반송모듈(300)을 포함하는 것을 특징으로 하는 기판처리시스템.one or more process modules 100 for performing substrate processing on a substrate G in a preset process pressure state; A load lock module 200 according to any one of claims 1 to 9 for transferring the substrate G between the outside of the atmospheric pressure and the process module 100; A substrate processing system comprising a transport module 300 for transporting the substrate G between the process module 100 and the load lock module 200.
KR1020210151369A 2021-11-05 2021-11-05 Loadlock module and substrate processing system having the same KR102625679B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210151369A KR102625679B1 (en) 2021-11-05 2021-11-05 Loadlock module and substrate processing system having the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210151369A KR102625679B1 (en) 2021-11-05 2021-11-05 Loadlock module and substrate processing system having the same

Publications (2)

Publication Number Publication Date
KR20230065616A true KR20230065616A (en) 2023-05-12
KR102625679B1 KR102625679B1 (en) 2024-01-17

Family

ID=86385780

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210151369A KR102625679B1 (en) 2021-11-05 2021-11-05 Loadlock module and substrate processing system having the same

Country Status (1)

Country Link
KR (1) KR102625679B1 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101564583B1 (en) * 2008-08-14 2015-10-30 주성엔지니어링(주) Load Lock Chamber And Substrate Processing Apparatus Including The Same
KR20160066555A (en) * 2007-05-18 2016-06-10 브룩스 오토메이션 인코퍼레이티드 Compact substrate transport system with fast swap robot
KR20170058014A (en) * 2015-11-18 2017-05-26 주식회사 더셀머트리얼즈 The loadlock-chamber having dual dispensing module
KR20180051914A (en) * 2016-11-09 2018-05-17 주식회사 원익아이피에스 Loadlock chamber and substrate processing apparatus having the same
KR20180101139A (en) * 2017-03-03 2018-09-12 어플라이드 머티어리얼스, 인코포레이티드 Ambient controlled transfer module and process system
KR102135409B1 (en) * 2016-11-09 2020-07-17 주식회사 원익아이피에스 Loadlock chamber and substrate processing apparatus having the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160066555A (en) * 2007-05-18 2016-06-10 브룩스 오토메이션 인코퍼레이티드 Compact substrate transport system with fast swap robot
KR101564583B1 (en) * 2008-08-14 2015-10-30 주성엔지니어링(주) Load Lock Chamber And Substrate Processing Apparatus Including The Same
KR20170058014A (en) * 2015-11-18 2017-05-26 주식회사 더셀머트리얼즈 The loadlock-chamber having dual dispensing module
KR20180051914A (en) * 2016-11-09 2018-05-17 주식회사 원익아이피에스 Loadlock chamber and substrate processing apparatus having the same
KR102135409B1 (en) * 2016-11-09 2020-07-17 주식회사 원익아이피에스 Loadlock chamber and substrate processing apparatus having the same
KR20180101139A (en) * 2017-03-03 2018-09-12 어플라이드 머티어리얼스, 인코포레이티드 Ambient controlled transfer module and process system

Also Published As

Publication number Publication date
KR102625679B1 (en) 2024-01-17

Similar Documents

Publication Publication Date Title
KR101220790B1 (en) Vaccum processing apparatus, method of operating the same, and storage medium
US10325794B2 (en) Purge device and purge method
US9349620B2 (en) Apparatus and method for pre-baking substrate upstream of process chamber
JP2013151720A (en) Vacuum film forming apparatus
US20150255257A1 (en) Substrate cooling member, substrate processing device, and substrate processing method
US9748124B2 (en) Vacuum processing apparatus and operating method thereof
KR20100055358A (en) Vacuum processing system
KR20020013710A (en) Heating and cooling apparatus, and vacuum processing apparatus equipped with this apparatus
KR20180051914A (en) Loadlock chamber and substrate processing apparatus having the same
US10964565B2 (en) Substrate processing apparatus and method
KR20230065616A (en) Loadlock module and substrate processing system having the same
KR20230065617A (en) Loadlock module and substrate processing system having the same
KR20080011903A (en) Apparatus for transfering substrates, apparatus for treating substrates, and method for cooling substrates
KR102649817B1 (en) Substrate treating system with substrate transporting apparatus
KR102135409B1 (en) Loadlock chamber and substrate processing apparatus having the same
KR20130074416A (en) Substrate processing apparatus
KR100749546B1 (en) Apparatus for transfering substrates, apparatus for treating substrates and method for cooling substrates using the same
KR20240067406A (en) Loadlock module and substrate processing system having the same
KR102141748B1 (en) A substrate processing apparatus, a method for manufacturing a semiconductor apparatus, and a program recorded in a computer-readable recording medium
JP7441905B2 (en) Substrate processing equipment
CN111916369B (en) Apparatus for treating substrate
US20240134292A1 (en) Apparatus for treating a substrate and method for improving cooling efficiency thereof
KR101939221B1 (en) Process tube and substrate treating apparatus of furnace type
US20220403517A1 (en) Apparatus for treating substrate
KR20080071682A (en) Loadlock chamber and semiconductor manufacturing apparatus using the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right