KR20230036050A - 약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치의 오염 상태 확인 방법 - Google Patents

약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치의 오염 상태 확인 방법 Download PDF

Info

Publication number
KR20230036050A
KR20230036050A KR1020220111886A KR20220111886A KR20230036050A KR 20230036050 A KR20230036050 A KR 20230036050A KR 1020220111886 A KR1020220111886 A KR 1020220111886A KR 20220111886 A KR20220111886 A KR 20220111886A KR 20230036050 A KR20230036050 A KR 20230036050A
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
defects
defect
resist composition
chemical solution
Prior art date
Application number
KR1020220111886A
Other languages
English (en)
Inventor
아키히코 오츠
마사히로 요시도메
유키히사 카와다
료 사이토
Original Assignee
후지필름 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2022133289A external-priority patent/JP2023038168A/ja
Application filed by 후지필름 가부시키가이샤 filed Critical 후지필름 가부시키가이샤
Publication of KR20230036050A publication Critical patent/KR20230036050A/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/62Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode
    • G01N27/622Ion mobility spectrometry
    • G01N27/623Ion mobility spectrometry combined with mass spectrometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/94Investigating contamination, e.g. dust
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/62Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode
    • G01N27/68Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode using electric discharge to ionise a gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/0027Methods for using particle spectrometers
    • H01J49/0036Step by step routines describing the handling of the data generated during a measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/04Arrangements for introducing or extracting samples to be analysed, e.g. vacuum locks; Arrangements for external adjustment of electron- or ion-optical components
    • H01J49/0422Arrangements for introducing or extracting samples to be analysed, e.g. vacuum locks; Arrangements for external adjustment of electron- or ion-optical components for gaseous samples
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/26Mass spectrometers or separator tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/04Arrangements for introducing or extracting samples to be analysed, e.g. vacuum locks; Arrangements for external adjustment of electron- or ion-optical components
    • H01J49/0459Arrangements for introducing or extracting samples to be analysed, e.g. vacuum locks; Arrangements for external adjustment of electron- or ion-optical components for solid samples
    • H01J49/0463Desorption by laser or particle beam, followed by ionisation as a separate step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Sampling And Sample Adjustment (AREA)
  • Molecular Biology (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

약액 중의 미소 이물의 분석이 가능한 약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물 중의 미소 이물의 분석이 가능한 레지스트 조성물 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치 중의 미소 이물의 관리가 가능한 반도체 제조 장치의 오염 상태 확인 방법을 제공한다. 약액의 검사 방법은, 약액을 준비하는 공정 1X와, 약액을 반도체 기판 상에 도포하는 공정 2X와, 반도체 기판의 표면 상의 결함의 유무를 측정하고, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여, 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3X를 포함한다.

Description

약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치의 오염 상태 확인 방법{METHOD FOR INSPECTING CHEMICAL SOLUTION, METHOD FOR PRODUCING CHEMICAL SOLUTION, METHOD FOR CONTROLLING CHEMICAL SOLUTION, METHOD FOR PRODUCING SEMICONDUCTOR DEVICE, METHOD FOR INSPECTING RESIST COMPOSITION, METHOD FOR PRODUCING RESIST COMPOSITION, METHOD FOR CONTROLLING RESIST COMPOSITION, AND METHOD FOR CHECKING CONTAMINATION STATUS OF SEMICONDUCTOR MANUFACTURING APPARATUS}
본 발명은, 레이저 절단 유도 결합 플라즈마 질량 분석법(LA-ICP-MS)을 이용한, 약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법 , 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치의 오염 상태 확인 방법에 관한 것이다.
현재, 실리콘 기판 등의 반도체 기판을 이용하여 각종 반도체 디바이스가 제조되고 있다. 반도체 기판의 표면에 이물 등의 결함이 있으면, 반도체 디바이스의 제조시에, 트랜지스터의 게이트의 형성이 불충분하게 되거나, 배선이 단선되거나 하는 등, 제조되는 반도체 디바이스가 불량품으로 되는 경우가 있다. 이와 같이 반도체 기판의 표면에 이물 등의 결함이 있으면, 반도체 디바이스의 수율에 영향을 미친다.
반도체 기판의 결함에 대해서는, 예를 들면, 특허문헌 1에 기재된 실리콘 웨이퍼의 실리콘 결정 내부의 잔류 금속 불순물을 평가하는 수법을 이용하여 평가할 수 있다. 특허문헌 1의 실리콘 웨이퍼의 실리콘 결정 내부의 잔류 금속 불순물을 평가하는 수법은, 열처리를 행하고, 실리콘 결정 내부의 금속 불순물을 실리콘 웨이퍼 표면에 모으고, 그 후, 기상 분해 유도 결합 플라즈마 질량 분석(VPD -ICP-MS)를 실시하여 실리콘 웨이퍼 표면에 모인 금속 불순물 농도를 측정한다. 실리콘 웨이퍼의 표면 결함의 개수는 KLA 주식회사제의 SurfScanSP5를 사용하여 측정한다.
특허문헌 1: 일본 특허 공개 공보 제2019-195020호 특허문헌 2: 일본 특허 공개 공보 제2020-027920호
상기 특허 문헌 1의 기상 분해 유도 결합 플라즈마 질량 분석은 실리콘 웨이퍼를 용해시켜 버려, 반도체 기판의 결함을 비파괴적으로 평가할 수 없다. 반도체 기판의 결함을 비파괴적으로 평가하는 방법으로서, 특허문헌 2의 웨이퍼의 금속 오염의 평가 방법이 있다.
특허문헌 2의 웨이퍼의 금속 오염의 평가 방법에는, 이물 검사 장치로서, 웨이퍼 표면을 레이저광에 의해 주사하고 이물로부터의 광산란 강도를 측정함으로써 이물을 검출하는 광산란 방식의 미립자 카운터 (예를 들면, KLA 주식회사제의 SurfScanSP5 등), 웨이퍼 표면으로부터의 반사광의 차이를 검출함으로써 이물을 검출하는 컨포컬 광학계의 레이저 현미경(예를 들면, 레이저텍(Lasertec)사제의 MAGICS 등)을 사용하는 것이 기재되어 있다 있다. 제1 공정에서 취득한 좌표에 기초하여 휘점의 SEM(Scanning Electron Microscope) 관찰을 행하고, 전자선 조사에 의해 발생한 특성 X선에 기초하여, EDX(Energy dispersive X-ray spectroscopy) 분석을 한다고 기재되어 있다.
여기서, 상술한 바와 같이, 반도체 기판의 표면에 이물 등의 결함이 있는 경우, 특히, 반도체 디바이스의 미세화 및 반도체 디바이스의 고집적화의 발전과 더불어, 반도체 기판의 표면 상의 결함이 , 반도체 디바이스의 불량품을 발생시켜 수율을 악화시키는 영향이 커진다. 따라서, 반도체 기판의 표면 상의 결함을 측정하는 것이 중요하고, 반도체 기판의 결함 중 미소 이물의 측정이 더욱 중요해진다. 그러나, 특허문헌 2에 기재된 웨이퍼의 금속 오염의 평가 방법을, 반도체 기판 표면 상의 20nm 정도의 미소 이물의 분석에 이용한 경우, EDX에서는 원소 분석을 할 수 없는 가능성이 높다.
상술한 반도체 기판과 마찬가지로, 약액 및 레지스트 조성물에 있어서도, 품질 관리 및 제조 등의 관점에서 이물 등의 결함이 없는 것이 바람직하기 때문에, 이물의 측정이 요망되며, 특히 미소 이물의 측정 및 그 원소 분석이 요구된다.
또한, 반도체 제조 장치에 있어서도, 오염 상황은, 제조하는 제품의 성능이나 품질 등에 영향을 미치기 때문에 미소 이물을 관리하는 것이 요망되고 있다. 반도체 제조 장치의 미소 이물을 관리하기 위해, 특히 미세화 및 고집적화가 진행된 반도체 디바이스의 불량품을 발생시키는 미소 이물의 측정 및 그 원소 분석이 요망되고 있다.
본 발명의 목적은, 약액 중의 미소 이물의 분석이 가능한, 약액의 검사 방법, 약액의 제조 방법, 약액 중에 포함되는 미소 이물이 미량인 경우에도 약액의 품질 관리가 가능한, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물 중의 미소 이물의 분석이 가능한 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물 중에 포함되는 미소 이물이 미량인 경우에도 레지스트 조성물의 품질 관리가 가능한 레지스트 조성물의 관리 방법 및 반도체 디바이스의 제조 방법, 반도체 제조 장치 중의 미소 이물의 관리가 가능한 반도체 제조 장치의 오염 상태 확인 방법을 제공하는 것에 있다.
상기 목적을 달성하기 위해, 본 발명의 일 형태는, 약액을 준비하는 공정 1X와, 약액을 반도체 기판 상에 도포하는 공정 2X와, 반도체 기판의 표면 상의 결함의 유무를 측정하고, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3X를 포함하는, 약액의 검사 방법을 제공하는 것이다.
공정 3X에서 얻어진 결함 중의 질량 분석 데이터로부터, 결함 중의 금속 원소의 유무를 판정하는 공정 4X를 갖는 것이 바람직하다.
공정 4X 후에, 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 갖는 것이 바람직하다.
공정 3X에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 갖는 것이 바람직하다.
약액은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 금속 원소의 합계 함유량이 약액의 총 질량에 대하여 10 질량 ppb 이하인 것이 바람직하다.
캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인 것이 바람직하다.
본 발명의 일 형태는, 약액의 검사 방법을 포함하는, 약액의 제조 방법을 제공하는 것이다.
본 발명의 일 형태는, 약액을 준비하는 공정 1X와, 약액을 반도체 기판 상에 도포하는 공정 2X와, 반도체 기판의 표면 상의 결함의 유무를 측정하고, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3X와, 공정 3X에서 얻어진 결함 중의 질량 분석 데이터로부터, 결함 중의 금속 원소의 유무를 판정하는 공정 4X와, 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 가지거나, 또는 공정 3X에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 갖고, 공정 5X에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6X를 포함하는, 약액의 관리 방법을 제공하는 것이다.
약액은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 금속 원소의 합계 함유량 가 약액의 총 질량에 대하여 10 질량 ppb 이하인 것이 바람직하다.
캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인 것이 바람직하다.
본 발명의 일 형태는, 약액을 준비하는 공정 1X와, 약액을 반도체 기판 상에 도포하는 공정 2X와, 반도체 기판의 표면 상의 결함의 유무를 측정하고, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3X와, 공정 3X에서 얻어진 결함 중의 질량 분석 데이터로부터, 결함 중의 금속 원소의 유무를 판정하는 공정 4X와, 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 가지거나, 또는 공정 3X에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 갖고, 공정 5X에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6X와, 공정 6X에서 허용 범위 내로 판정된 약액을 이용하여, 반도체 디바이스의 제조를 행하는 공정 7X를 포함하는, 반도체 디바이스의 제조 방법을 제공하는 것이다.
약액은 프리웨트액, 현상액, 린스 액 또는 세정 액인 것이 바람직하다.
약액은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 금속 원소의 합계 함유량 가 약액의 총 질량에 대하여 10 질량 ppb 이하인 것이 바람직하다.
캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인 것이 바람직하다.
본 발명의 일 형태는, 레지스트 조성물을 준비하는 공정 1Y와, 레지스트 조성물을 반도체 기판 상에 도포하는 공정 2Y와, 레지스트 조성물의 도막 중의 결함의 유무를 측정하고, 레지스트 조성물의 도막 중의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3Y를 포함하는, 레지스트 조성물의 검사 방법을 제공하는 것이다.
공정 3Y에서 얻어진 결함 중의 질량 분석 데이터로부터, 결함 중의 금속 원소의 유무를 판정하는 공정 4Y를 갖는 것이 바람직하다.
공정 4Y 후에, 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 갖는 것이 바람직하다.
공정 3Y에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 갖는 것이 바람직하다.
레지스트 조성물은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 금속 원소의 합계 함유량이 레지스트 조성물의 총 질량에 대하여 10 질량 ppb 이하인 것이 바람직하다.
캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인 것이 바람직하다.
본 발명의 일 양태는 레지스트 조성물의 검사 방법을 포함하는 레지스트 조성물의 제조 방법을 제공하는 것이다.
본 발명의 일 형태는, 레지스트 조성물을 준비하는 공정 1Y와, 레지스트 조성물을 반도체 기판 상에 도포하는 공정 2Y와, 레지스트 조성물의 도막 중의 결함의 유무를 측정하고, 레지스트 조성물의 도막 중의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3Y와, 공정 3Y에서 얻어진 결함 중의 질량 분석 데이터로부터, 결함 중의 금속 원소의 유무를 판정하는 공정 4Y와, 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 가지거나, 또는 공정 3Y에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 갖고, 공정 5Y에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6Y를 포함하는, 레지스트 조성물의 관리 방법을 제공하는 것이다.
레지스트 조성물은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 금속 원소의 합계 함유량이 레지스트 조성물의 총 질량에 대하여 10 질량 ppb 이하인 것이 바람직하다.
캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인 것이 바람직하다.
본 발명의 일 형태는, 레지스트 조성물을 준비하는 공정 1Y와, 레지스트 조성물을 반도체 기판 상에 도포하는 공정 2Y와, 레지스트 조성물의 도막 중의 결함의 유무를 측정하고, 레지스트 조성물의 도막 중의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여, 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3Y와, 공정 3Y에서 얻어진 결함 중의 질량 분석 데이터로부터, 결함 중의 금속 원소의 유무를 판정하는 공정 4Y와, 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 가지거나, 또는 공정 3Y에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 갖고, 공정 5Y에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6Y와, 공정 6Y에서 허용 범위 내로 판정된 레지스트 조성물을 이용하여, 반도체 디바이스의 제조를 행하는 공정 7Y를 포함하는, 반도체 디바이스의 제조 방법을 제공하는 것이다.
레지스트 조성물은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 금속 원소의 합계 함유량이 레지스트 조성물의 총 질량에 대하여 10 질량 ppb 이하인 것이 바람직하다.
캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인 것이 바람직하다.
본 발명의 일 형태는, 약액을 준비하는 공정 1Z와, 약액을 이용하여 반도체 제조 장치를 세정하는 공정 2Z와, 공정 2Z의 세정 후의 약액을 반도체 기판 상에 도포하는 공정 3Z와, 반도체 기판의 표면 상의 결함의 유무를 측정하고, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 4Z와, 공정 4Z에서 얻어진 결함 중의 질량 분석 데이터로부터 결함 중의 금속 원소의 유무를 판정하는 공정 5Z를 갖는, 반도체 제조 장치의 오염 상태 확인 방법을 제공하는 것이다.
금속 원소를 포함하는 결함의 개수를 측정하는 공정 6Z를 포함하는 것이 바람직하다.
본 발명의 일 형태는, 약액을 준비하는 공정 1Z와, 약액을 이용하여 반도체 제조 장치를 세정하는 공정 2Z와, 공정 2Z의 세정 후의 약액을 반도체 기판 상에 도포하는 공정 3Z와, 반도체 기판의 표면 상의 결함의 유무를 측정하고, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻고, 위치 정보에 기초하여 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 4Z와, 공정 4Z에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 6Z를 갖는, 반도체 제조 장치의 오염 상태 확인 방법을 제공하는 것이다.
캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인 것이 바람직하다.
본 발명에 의하면, 약액 중의 미소 이물의 분석이 가능한 약액의 검사 방법, 약액의 제조 방법, 약액 중에 포함되는 미소 이물이 미량인 경우에도 약액의 품질 관리가 가능한 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물 중의 미소 이물의 분석이 가능한 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물 중에 포함되는 미소 이물이 미량인 경우에도 레지스트 조성물의 품질 관리가 가능한 레지스트 조성물의 관리 방법 및 반도체 디바이스의 제조 방법, 반도체 제조 장치 중의 미소 이물의 관리가 가능한 반도체 제조 장치의 오염 상태 확인 방법을 제공할 수 있다.
도 1은 본 발명의 실시형태의 약액 검사 방법의 일 례를 나타내는 흐름도이다.
도 2는 본 발명의 실시형태의 약액 관리 방법의 일 례를 나타내는 흐름도이다.
도 3은 본 발명의 실시형태의 반도체 디바이스의 제조 방법의 제1 예를 나타내는 흐름도이다.
도 4는 본 발명의 실시형태의 레지스트 조성물의 검사 방법의 일 례를 나타내는 흐름도이다.
도 5는 본 발명의 실시형태의 레지스트 조성물의 관리 방법의 일 례를 나타내는 흐름도이다.
도 6은 본 발명의 실시 예에 따른 반도체 디바이스의 제조 방법의 제2 예를 나타내는 흐름도이다.
도 7은 본 발명의 실시형태의 반도체 제조 장치의 오염 상태 확인 방법의 일 례를 나타내는 흐름도이다.
도 8은 본 발명의 실시형태의 분석 장치의 제1 예를 나타내는 모식도이다.
도 9는 본 발명의 실시형태의 분석 장치의 제1 예의 분석 유닛의 일 례를 나타내는 모식도이다.
도 10은 본 발명의 실시형태의 분석 방법의 제1 예를 설명하는 모식도이다.
도 11은 본 발명의 실시형태의 분석 방법의 제1 예를 설명하는 모식적인 단면도이다.
도 12는 본 발명의 실시형태의 분석 장치의 제2 예를 나타내는 모식도이다.
도 13은 본 발명의 실시형태의 분석 장치의 제3 예를 나타내는 모식도이다.
도 14는 본 발명의 실시형태의 분석 장치의 분석부의 변형 예를 나타내는 모식도이다.
이하에, 첨부 도면에 나타내는 바람직한 실시형태에 기초하여, 본 발명의 약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법 및 반도체 제조 장치의 오염 상태 확인 방법을 상세하게 설명한다.
또한, 이하에 설명하는 도면은, 본 발명을 설명하기 위한 예시적인 것이며, 이하에 나타내는 도면에 본 발명이 한정되는 것은 아니다.
또한, 이하에서 수치 범위를 나타내는 「~」이란 양측에 기재된 수치를 포함한다. 예를 들면, ε이 수치 εa~수치 εb라는 뜻인 즉, ε의 범위는 수치 εa와 수치 εb를 포함하는 범위이며, 수학 기호로 나타내면 εa≤ε≤εb이다.
"구체적인 수치로 표현된 각도", "평행", "수직" 및 "직교" 등의 각도는 특별히 명시되지 않는 한, 해당 기술 분야에서 일반적으로 허용되는 오차 범위를 포함한다.
또한, "동일"은 해당 기술 분야에서 일반적으로 허용되는 오차 범위를 포함한다. 또한, "전면" 등은 해당 기술 분야에서 일반적으로 허용되는 오차 범위를 포함한다.
「준비」라고 할 때에는, 특정의 재료를 합성 내지 조합 등 방법으로 마련하는 것 외에, 구입 등에 의해 소정의 물질을 조달하는 것을 포함하는 의미이다.
또한, "ppm"은 "parts-per-million(10-6)"을 의미하고, "ppb"는 "parts-per-billion(10-9)"을 의미하고, "ppt"는 "parts-per -trillion (10-12)"을 의미하고, "ppq"는 "parts-per-quadrillion (10-15)"를 의미한다.
약액 및 레지스트 조성물에 대해서는 후술한다. 또한, 후술하는 바와 같이 유도 결합 플라즈마 질량 분석을 실시하지만, 유도 결합 플라즈마 질량 분석을 실시하기 위한 구체적인 장치 구성에 대해서는 후술한다.
후술하는 반도체 제조 장치는 특별히 한정되지 않지만, 반도체 제조 장치로서는 예를 들면 코터 디벨로퍼, 스핀 코터, 반도체 웨이퍼의 세정 장치 및 현상 장치 등을 들수 있다.
반도체 디바이스의 제조 공정에 사용되는 현상액, 린스액, 프리웨트액 및 박리액 등의 각종 약액은 고순도인 것이 요망되고 있다. 이 때문에, 각종의 약액에 대하여 검사 및 관리가 중요해지고 있고, 약액 중의 미소 이물을 분석할 수 있는 것이 요망되고 있다. 이하, 약액의 검사 방법 및 약액의 관리 방법에 대해 설명한다.
[약액의 검사 방법]
도 1은 본 발명의 실시형태의 약액의 검사 방법의 일 례를 나타내는 흐름도이다.
약액의 검사 방법은 우선 검사 대상인 약액을 준비한다 (공정 1X, 단계 S10).
다음에, 약액을 반도체 기판(도시하지 않음) 상에 도포한다(공정 2X, 단계 S12).
반도체 기판으로의 약액의 도포는 특별히 한정되는 것은 아니지만, 예를 들면 코터 디벨로퍼가 사용된다.
또한 반도체 기판은 특별히 한정되지 않지만, 예를 들면 실리콘 기판이 사용된다. 또한, 반도체 기판의 사이즈는 특별히 한정되는 것은 아니지만, 반도체 기판에 약액을 도포하는 도포 장치의 사양, 및 유도 결합 플라즈마 질량 분석을 실시하는 장치의 사양 등, 측정하는 약액의 양에 따라 적절하게 결정된다.
다음으로, 반도체 기판의 표면 상의 결함의 유무를 측정하여, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻는다(단계 S14). 위치 정보에 기초하여, 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 한다(공정 3X, 단계 S16) . 단계 S16(공정 3X)의 유도 결합 플라즈마 질량 분석에 의해, 미소한 결함의 원소를 특정한다. 또한, 미소한 결함의 사이즈도 특정된다. 유도 결합 플라즈마 질량 분석에 의해, 약액에서의 결함 중의 질량 분석 데이터를 얻을 수 있다. 약액의 질량 분석 데이터는, 유도 결합 플라즈마 질량 분석에 의해 특정되는 결함의 원소의 정보와, 결함의 사이즈의 정보를 포함한다. 이와 같이 하여 약액을 검사할 수 있고, 약액의 검사 방법에서는, 약액 중의 미소 이물의 분석이 가능하다.
약액을 반도체 기판에 도포한 후, 상술한 유도 결합 플라즈마 질량 분석을 하는 일련의 공정(공정 3X, 단계 S14 및 S16)에 대해서는 후술한다.
또한, 약액의 검사 방법에서는, 약액을 반도체 기판에 도포하기 전에, 사용하는 반도체 기판의 표면 상의 결함의 유무를 측정하는 공정을 갖는 것이 바람직하다. 이런 경우, 결함의 위치 정보와 사이즈를 측정한다. 이에 의해, 측정된 결함이 반도체 기판 유래인가 아니면 약액 유래인가를 구별할 수 있다.
유도 결합 플라즈마 질량 분석(공정 3X, 단계 S14 및 S16)에 의해, 미소한 결함의 원소를 특정할 수 있고, 이에 의해, 미소 이물을 측정할 수 있으며, 약액을 검사할 수 있다.
유도 결합 플라즈마 질량 분석을 위해, 약액을 반도체 기판에 도포하여 약액 중의 미소 이물을 분석할 수 있다. 유도 결합 플라즈마 질량 분석에서는, 약액이 반도체 기판 상에 있는 상태여도 되고, 약액을 반도체 기판에 도포한 후에 약액 중에 포함되는 용매를 휘발 또는 증발시켜 약액 중에 포함되는 용매가 반도체 기판 상에 없는 상태에서 유도 결합 플라즈마 질량 분석을 하여도 된다.
약액의 검사 방법은, 공정 3X에서 얻어진 결함 중의 질량 분석 데이터로부터, 결함 중의 금속 원소의 유무를 판정하는 공정 4X(단계 S18)를 더 가져도 된다. 금속 원소의 유무에 의해 약액을 검사할 수 있다.
더 나아가서, 공정 4X(단계 S18) 후에, 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X(단계 S20)를 가져도 된다. 금속 원소를 포함하는 결함의 개수를 이용하여 약액을 검사할 수 있다.
약액의 검사 방법에서는, 유도 결합 플라즈마 질량 분석(공정 3X, 단계 S16)에 의해, 결함의 원소를 특정하고 있다. 단계 S18에서는, 특정한 결함의 원소의 정보를 포함하는 질량 분석 데이터로부터 금속 원소를 선택하는 것을 시도한다. 질량 분석 데이터로부터 금속 원소가 선택되지 않는 경우, 금속 원소가 없다고 판정한다. 한편, 질량 분석 데이터로부터 금속 원소가 선택되는 경우에는 금속 원소가 있다고 판정한다.
단계 S20에서는, 단계 S18에서 금속 원소가 있다고 판정된 경우, 금속 원소를 포함하는 결함의 개수를 측정한다. 예를 들면, 금속 원소를 포함하는 결함의 개수를 카운트함으로써, 금속 원소를 포함하는 결함의 개수를 측정한다.
또한, 금속 원소의 유무를 판정하는 공정 4X(단계 S18)를 실시하지 않고, 공정 3X(단계 S16)에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 수를 측정 (공정 5X, 단계 S20) 하여도 된다. 이런 경우, 단계 S20에서는, 특정한 결함의 원소의 정보를 포함하는 질량 분석 데이터로부터, 금속 원소를 선택하고, 선택된 금속 원소의 수를 카운트함으로써, 금속 원소를 포함하는 결함의 개수를 측정한다. 이에 의해서도, 금속 원소를 포함하는 결함의 개수를 이용하여 약액을 검사할 수 있다.
[약액의 제조 방법]
상술한 약액의 검사 방법을 약액의 제조 방법에 이용할 수 있다. 약액의 제조 방법에 유도 결합 플라즈마 질량 분석 결과를 이용한다.
또한, 예를 들면, 약액의 제조 방법에서는, 미리 약액의 결함의 개수의 임계치 또는 허용 범위를 설정한다. 제조한 약액에 대하여, 상술한 약액의 검사 방법에 의해 약액의 결함의 개수를 측정한다. 측정된 약액의 결함의 개수와 임계치 또는 허용 범위를 비교하여, 결함의 개수가 임계치 이하 또는 허용 범위 내인 경우에 합격으로 하며 제품으로 한다. 한편, 결함의 개수가 임계치를 초과하거나 허용 범위를 벗어난 경우에 불합격으로 하며 제품으로 하지 않는다. 약액의 결함의 개수의 허용 범위는, 예를 들면, 0.07개/㎠ 이하이다. 약액의 결함의 개수의 허용 범위로서는, 0.0001~10개/㎠가 바람직하고, 0.0002~1개/㎠가 보다 바람직하고, 0.0005~0.5개/㎠가 더욱 바람직하다.
[약액의 관리 방법]
도 2는 본 발명의 실시형태의 약액의 관리 방법의 일 례를 나타내는 흐름도이다. 또한, 약액의 관리 방법에 있어서, 상술한 약액의 검사 방법과 동일한 공정에 대해서는 그 상세한 설명은 생략한다.
도 2에 나타내는 약액의 관리 방법은, 약액의 검사 방법에 비해, 관리 대상인 약액을 준비하는(공정 1X, 단계 S10) 점과, 상술한 공정 5X(단계 S20)에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6X(단계 S22)를 갖추는 점 이외는, 약액의 검사 방법과 동일한 공정을 갖는다.
약액을 준비하는(공정 1X, 단계 S10) 공정은 검사 대상인지 관리 대상인지의 차이는 있지만, 실시적으로 약액으로서는 동일하다. 따라서, 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6X (단계 S22)에 대해 설명한다.
약액의 관리 방법에서는, 미리 약액의 결함의 개수의 임계치 또는 허용 범위를 설정해 둔다. 약액의 결함의 개수의 임계치는, 예를 들면, 대상이 되는 약액의 이전의 제조 로트의 약액의 결함의 개수에 기초하여 설정되지만, 이것에 한정되는 것은 아니고, 목표치여도 설정치여도 되고, 복수의 제조 로트의 평균치여도 된다. 약액의 결함의 개수의 허용 범위는, 예를 들면, 0.07개/㎠ 이하이다. 약액의 결함의 개수의 허용 범위로서는, 0.0001~10개/㎠가 바람직하고, 0.0002~1개/㎠가 보다 바람직하고, 0.0005~0.5개/㎠가 더욱 바람직하다.
단계 S22(공정 6X)는, 상술한 단계 S20에서 얻어진 금속 원소를 포함하는 결함의 개수와, 약액의 결함의 개수의 임계치 또는 허용 범위를 비교한다. 예를 들면, 측정된 약액의 결함의 개수가 임계치 이하 또는 허용 범위 내인 경우, 약액을 합격품으로 한다(단계 S23). 한편, 약액의 결함의 개수가 임계치를 초과하거나 허용 범위를 벗어나면, 약액을 불합격품으로 한다(단계 S24). 이와 같이 약액 중의 결함의 개수에 의해, 약액의 품질을 관리할 수 있다. 약액의 관리 방법에서는, 약액 중에 포함되는 미소 이물이 미량인 경우에도, 약액의 품질 관리가 가능하다.
[반도체 디바이스의 제조 방법의 제1 예]
도 3은 본 발명의 실시형태에 따른 반도체 디바이스의 제조 방법의 제1 예를 나타내는 흐름도이다. 반도체 디바이스의 제조 방법의 제1 예에서, 약액의 검사 방법과 동일한 공정에 대해서는 그 상세한 설명을 생략한다.
도 3에 도시하는 반도체 디바이스의 제조 방법의 제1 예는, 약액의 검사 방법에 비해, 반도체 디바이스의 제조에 사용하는 약액을 준비하는(공정 1X, 단계 S10) 점과, 상술한 공정 5X(단계 S20)에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6X(단계 S26)과, 공정 6X에서 허용 범위 내로 판정된 약액을 이용하여 반도체 디바이스의 제조를 행하는 공정 7X (단계 S27)을 포함하는 점 이외는, 약액의 검사 방법과 동일한 공정을 갖는다.
약액을 준비하는(공정 1X, 단계 S10) 공정은, 검사 대상인지, 반도체 디바이스의 제조에 사용하는지의 차이는 있지만, 실시적으로 약액으로서는 동일하다. 따라서, 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6X (단계 S22)에 대해 설명한다.
반도체 디바이스의 제조 방법에서는, 미리 약액의 결함의 개수의 임계치 또는 허용 범위를 설정해 둔다. 약액의 결함의 개수의 임계치 또는 허용 범위는, 예를 들면, 대상이 되는 약액의 이전 제조 로트의 약액의 결함의 개수에 기초하여 설정되지만, 이것에 한정되는 것은 아니고, 목표치여도 설정치여도 되고, 복수의 제조 로트의 평균치여도 된다. 약액의 결함의 개수의 허용 범위는, 예를 들면, 0.07개/㎠ 이하이다. 약액의 결함의 개수의 허용 범위로서는, 0.0001~10개/㎠가 바람직하고, 0.0002~1개/㎠가 보다 바람직하고, 0.0005~0.5개/㎠가 더욱 바람직하다.
단계 S26은 상술 단계 S20에서 얻어진 금속 원소를 포함하는 결함의 개수와 약액의 결함의 개수의 임계치 또는 허용 범위를 비교한다. 예를 들면, 측정된 약액의 결함의 개수가 임계치 이하 또는 허용 범위 내인 경우, 반도체 디바이스의 제조 방법에 이용한다 (단계 S27).
또한, 단계 S26(공정 6X)에 있어서, 측정된 약액의 결함의 개수가 임계치를 초과하는 것으로 판정된 약액, 즉, 측정된 약액의 결함의 개수가 허용 범위외로 판정된 약액은, 반도체 디바이스 제조에 사용되지 않는다(단계 S28). 이와 같이 반도체 디바이스의 제조 방법에서는, 선별된 약액이 반도체 디바이스의 제조 공정에 이용되어, 반도체 디바이스가 제조된다. 반도체 디바이스의 제조 공정은, 약액의 종류에 따른 것으로, 예를 들면, 약액이 현상액이면 리소그래피 공정에 이용된다.
반도체 디바이스의 제조 방법에 있어서, 약액은 반도체 디바이스의 제조에 관한 것이면 특별히 한정되는 것은 아니지만, 예를 들어 프리웨트액, 현상액, 린스액, 또는 세정액이다.
반도체 디바이스의 제조 공정에 사용되는 레지스트 조성물은 이물 등의 결함이 없는 것이 요망되고 있다. 이 때문에, 레지스트 조성물에 대하여 검사 및 관리가 중요해지고, 레지스트 조성물 중의 미소 이물을 분석할 수 있는 것이 요망되고 있다. 이하, 레지스트 조성물의 검사 방법 및 레지스트 조성물의 관리 방법에 대해 설명한다.
[레지스트 조성물의 검사 방법]
도 4는 본 발명의 실시형태의 레지스트 조성물의 검사 방법의 일 례를 나타내는 흐름도이다. 레지스트 조성물의 검사 방법은, 상술한 약액의 검사 방법에 비해, 검사 대상이 레지스트 조성물인 점이 다르고, 그 외의 공정은, 기본적으로 약액의 검사 방법과 동일한 공정을 갖는다 .
레지스트 조성물의 검사 방법은 검사 대상인 레지스트 조성물을 준비한다(공정 1Y, 단계 S30).
다음에, 레지스트 조성물을 반도체 기판(도시하지 않음) 상에 도포한다(공정 2Y, 단계 S32). 레지스트 조성물을 반도체 기판 (도시하지 않음) 상에 도포한 후 막이 형성되여, 반도체 기판 상에 레지스트 조성물의 도막이 형성된다.
반도체 기판으로의 레지스트 조성물의 도포는 특별히 한정되지 않지만, 예를 들면 코터 디벨로퍼가 사용된다.
또한, 반도체 기판은 특별히 한정되지 않고, 상술 약액의 검사 방법에 사용한 반도체 기판을 이용할 수 있다. 또한, 반도체 기판의 사이즈에 대해서도, 상술한 약액의 검사 방법과 마찬가지로, 특별히 한정되는 것은 아니지만, 반도체 기판에 레지스트 조성물을 도포하는 도포 장치의 사양, 및 유도 결합 플라즈마 질량 분석을 실시하는 장치의 사양 등, 측정하는 레지스트 조성물의 양에 따라 적절히 결정되는 것이다.
다음으로, 레지스트 조성물의 도막 중의 결함의 유무를 측정하여, 레지스트 조성물의 도막 중의 결함의 반도체 기판 상의 위치 정보를 얻는다(단계 S34). 위치 정보에 기초하여, 반도체 기판의 표면 상의 레지스트 조성물의 도막 중의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 한다(공정 3Y, 단계 S36). 단계 S36의 유도 결합 플라즈마 질량 분석에 의해, 미소한 결함의 원소를 특정한다. 또한, 미소한 결함의 사이즈도 특정된다. 유도 결합 플라즈마 질량 분석은 레지스트 조성물의 결함 중의 질량 분석 데이터를 제공한다. 레지스트 조성물의 질량 분석 데이터는, 유도 결합 플라즈마 질량 분석에 의해 특정되는 결함의 원소의 정보와, 결함의 사이즈의 정보를 포함한다. 이러한 방식으로 레지스트 조성물을 검사할 수 있다. 레지스트 조성물의 검사 방법에서는, 레지스트 조성물 중의 미소 이물의 분석이 가능하다.
레지스트 조성물을 반도체 기판에 도포한 후 상술한 유도 결합 플라즈마 질량 분석을 하는 일련의 공정(공정 3Y, 단계 S34 및 S36)에 대해서는 후술한다.
또한, 레지스트 조성물의 검사 방법에서는, 레지스트 조성물을 반도체 기판에 도포하기 전에, 사용하는 반도체 기판의 표면 상의 결함의 유무를 측정하는 공정을 갖추는 것이 바람직하다. 이런 경우, 결함의 위치 정보와 사이즈를 측정한다. 이에 따라, 측정된 결함이 반도체 기판 유래인지 레지스트 조성물 유래인지를 구별할 수 있다.
유도 결합 플라즈마 질량 분석(공정 3Y, 단계 S34 및 S36)에 의해, 미소한 결함의 원소를 특정할 수 있고, 이에 의해 미소 이물을 측정할 수 있고, 레지스트 조성물을 검사할 수 있다.
유도 결합 플라즈마 질량 분석을 위해, 레지스트 조성물을 반도체 기판에 도포하여 레지스트 조성물 중의 미소 이물을 분석할 수 있다. 예를 들면, 레지스트 조성물이 반도체 기판 상에 도막된 상태에서 유도 결합 플라즈마 질량 분석이 실시된다. 레지스트 조성물의 도막 중의 결함이 측정된다. 또한, 레지스트 조성물의 도막 중의 결함이란, 레지스트 조성물에 의도하지 않게 포함된 미량 이물로부터 유래하는 것이며, 레지스트 조성물의 도막 중의 결함은 레지스트 조성물의 결함과 같은 뜻이다.
레지스트 조성물의 검사 방법은, 공정 3Y에서 얻어진 결함 중의 질량 분석 데이터로부터 결함 중의 금속 원소의 유무를 판정하는 공정 4Y(단계 S38)를 가져도 된다. 금속 원소의 유무에 의해 레지스트 조성물을 검사할 수 있다.
더 나아가, 공정 4Y(단계 S38) 후에, 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y(단계 S40)를 가져도 된다. 금속 원소를 포함하는 결함의 개수를 가지고 레지스트 조성물을 검사할 수 있다.
레지스트 조성물의 검사 방법에서는, 유도 결합 플라즈마 질량 분석(공정 3Y, 단계 S36)에 의해 결함의 원소를 특정하고 있다. 단계 S38에서는, 특정된 결함의 원소의 정보를 포함하는 질량 분석 데이터로부터 금속 원소를 선택하는 것을 시도한다. 질량 분석 데이터로부터 금속 원소가 선택되지 않는 경우, 금속 원소가 없다고 판정한다. 한편, 질량 분석 데이터로부터 금속 원소가 선택되는 경우, 금속 원소가 있다고 판정한다.
단계 S40에서는, 단계 S38에서 금속 원소가 있다고 판정된 경우, 금속 원소를 포함하는 결함의 개수를 측정한다. 예를 들면, 금속 원소를 포함하는 결함의 개수를 카운트함으로써, 금속 원소를 포함하는 결함의 개수를 측정한다.
금속 원소의 유무를 판정하는 공정 4Y(단계 S38)를 실시하지 않고, 공정 3Y(단계 S36)에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정(공정 5Y, 단계 S40)해도 된다. 이런 경우, 단계 S40에서는, 특정된 결함의 원소의 정보가 포함된 질량 분석 데이터로부터 금속 원소를 선택하고, 선택된 금속 원소의 개수를 카운트함으로써, 금속 원소를 포함하는 결함의 개수를 측정한다. 이에 의해, 금속 원소를 포함하는 결함의 개수를 이용하여, 레지스트 조성물을 검사할 수 있다.
[레지스트 조성물의 제조 방법]
상술한 레지스트 조성물의 검사 방법을 레지스트 조성물의 제조 방법에 이용할 수 있다. 레지스트 조성물의 제조 방법에 유도 결합 플라즈마 질량 분석 결과를 이용한다.
또한, 예를 들면, 레지스트 조성물의 제조 방법에서는, 미리 레지스트 조성물의 결함의 개수의 임계치 또는 허용 범위를 설정해 둔다. 제조한 레지스트 조성물에 대하여, 상술한 레지스트 조성물의 검사 방법에 의해, 레지스트 조성물의 결함의 개수를 측정한다. 측정된 레지스트 조성물의 결함의 개수와 임계치 또는 허용 범위를 비교하여, 결함의 개수가 임계치 이하 또는 허용 범위 내인 경우에 합격으로 하며, 레지스트 조성물로 한다. 한편, 결함의 개수가 임계치를 초과하거나 허용 범위를 벗어난 경우에는 불합격으로 하며, 레지스트 조성물로 하지 않는다. 레지스트 조성물의 결함의 개수의 허용 범위는, 예를 들면, 0.07개/㎠ 이하이다. 레지스트 조성물의 결함의 개수의 허용 범위로서는, 0.0001~10개/㎠가 바람직하고, 0.0005~5개/㎠가 보다 바람직하고, 0.001~1개/㎠가 더욱 바람직하다.
[레지스트 조성물의 관리 방법]
도 5는 본 발명의 실시형태의 레지스트 조성물의 관리 방법의 일 례를 나타내는 흐름도이다. 레지스트 조성물의 관리 방법은, 상술한 약액의 관리 방법에 비해, 검사 대상이 레지스트 조성물인 점이 다르고, 약액의 관리 방법과 동일한 공정을 갖는다.
도 5에 나타내는 레지스트 조성물의 관리 방법은, 레지스트 조성물의 검사 방법에 비해, 관리 대상인 레지스트 조성물을 준비하는(공정 1Y, 단계 S30) 점과, 상술한 공정 5Y(단계 S40) 에서 얻어진 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6Y(단계 S42)를 갖는 점 이외는, 레지스트 조성물의 검사 방법과 동일한 공정을 갖는다.
레지스트 조성물을 준비하는(공정 1Y, 단계 S30) 공정은, 검사 대상인지 관리 대상인지의 차이는 있지만, 실시적으로 레지스트 조성물로서는 동일하다. 따라서, 결함의 개수가 허용 범위 내인지의 여부를 판정하는 단계 6Y (단계 S42)에 대해 설명한다.
레지스트 조성물의 관리 방법에서는, 미리 레지스트 조성물의 결함의 개수의 임계치 또는 허용 범위를 설정해 둔다. 레지스트 조성물의 결함의 개수의 임계치는, 예를 들면, 대상이 되는 레지스트 조성물의 이전 제조 로트의 레지스트 조성물의 결함의 개수에 기초하여 설정되지만, 이에 한정되는 것은 아니고, 목표치여도 설정치여도 되고, 복수의 제조 로트의 평균치여도 된다. 레지스트 조성물의 결함의 개수의 허용 범위는 예를 들면 0.07개/㎠ 이하이다. 레지스트 조성물의 결함의 개수의 허용 범위로서는 0.0001~10개/㎠가 바람직하고, 0.0005~5개/㎠가 보다 바람직하고, 0.001~1개/㎠가 더욱 바람직하다.
단계 S42(공정 6Y)는, 상술한 단계 S40에서 얻어진 금속 원소를 포함하는 결함의 개수와 레지스트 조성물의 결함의 개수의 임계치 또는 허용 범위를 비교한다. 예를 들어, 측정된 레지스트 조성물의 결함의 개수가 임계치 이하 또는 허용 범위 내인 경우, 레지스트 조성물을 합격품으로 한다(단계 S43). 한편, 레지스트 조성물의 결함의 개수가 임계치를 초과하거나 허용 범위를 벗어난 경우에는, 레지스트 조성물을 불합격품으로 한다(단계 S44). 이와 같이, 레지스트 조성물 중의 결함의 개수에 의해, 레지스트 조성물의 품질을 관리할 수 있다.
[반도체 디바이스의 제조 방법의 제2 예]
도 6은 본 발명의 실시형태의 반도체 디바이스의 제조 방법의 제2 예를 나타내는 흐름도이다. 반도체 디바이스의 제조 방법의 제2 예에서, 레지스트 조성물의 검사 방법과 동일한 공정에 대해서는 상세한 설명을 생략한다. 반도체 디바이스의 제조 방법의 제2 예는 반도체 디바이스의 제조 방법의 제1 예와 비교하여 약액 대신에 레지스트 조성물을 사용한 제조 방법이다.
도 6에 도시하는 반도체 디바이스의 제조 방법의 제2 예는, 레지스트 조성물의 검사 방법에 비하여, 반도체 디바이스의 제조에 사용하는 레지스트 조성물을 준비하는(공정 1Y, 단계 S30) 점과, 상술한 공정 5Y(단계 S40)에서 얻어지는 결함의 개수가 허용 범위내인지의 여부를 판정하는 공정 6Y(단계 S42)와, 공정 6Y에서 허용 범위 내로 판정된 레지스트 조성물을 이용하여, 반도체 디바이스의 제조를 행하는 공정 7Y(단계 S46)를 포함하는 점 이외는, 레지스트 조성물의 검사 방법과 동일한 공정을 갖는다.
레지스트 조성물을 준비하는(공정 1Y, 단계 S30) 공정은, 검사 대상인지 반도체 디바이스의 제조에 사용하는지의 차이는 있지만, 실시적으로 레지스트 조성물로서는 동일하다. 따라서, 결함의 개수가 허용 범위 내인지의 여부를 판정하는 단계 6Y(단계 S42)에 대해 설명한다.
반도체 디바이스의 제조 방법에서는, 미리 레지스트 조성물의 결함의 개수의 임계치 또는 허용 범위를 설정해 둔다. 레지스트 조성물의 결함의 개수의 임계치 또는 허용 범위는, 예를 들면, 대상이 되는 레지스트 조성물의 이전 제조 로트의 레지스트 조성물의 결함의 개수에 기초하여 설정되지만, 이에 한정되는 것은 아니고, 목표치여도 설정치여도 되고, 복수의 제조 로트의 평균치여도 된다. 레지스트 조성물의 결함의 개수의 허용 범위는, 예를 들면, 0.07개/㎠ 이하이다. 레지스트 조성물의 결함의 개수의 허용 범위로서는, 0.0001~10개/㎠가 바람직하고, 0.0005~5개/㎠가 보다 바람직하고, 0.001~1개/㎠가 더욱 바람직하다.
단계 S42는, 상기 단계 S40에서 얻어진 금속 원소를 포함하는 결함의 개수와 레지스트 조성물의 결함의 개수의 임계치 또는 허용 범위를 비교한다. 예를 들어, 측정된 레지스트 조성물의 결함의 개수가 임계치 이하 또는 허용 범위 내인 경우, 반도체 디바이스의 제조 방법에 이용한다 (단계 S46).
또한, 공정 6Y(단계 S42)에서, 측정된 레지스트 조성물의 결함의 개수가 임계치를 초과하는 것으로 판정, 즉 측정된 레지스트 조성물의 결함의 개수가 허용 범위외로 판정된 레지스트 조성물은 반도체 디바이스의 제조에 사용되지 않는다 (단계 S48). 이와 같이 반도체 디바이스의 제조 방법에서는, 선별된 레지스트 조성물이 반도체 디바이스의 리소그래피 공정에 이용되어 반도체 디바이스가 제조된다.
[반도체 제조 장치의 오염 상태 확인 방법]
반도체 제조 장치에 있어서도, 오염 상황은 제조하는 제품의 성능이나 품질 등에 영향을 미치기 때문에 미소 이물을 관리하는 것이 바람직하다. 반도체 제조 장치에 있어서 미소 이물을 관리하기 위해서, 특히, 미세화 및 고집적화가 진행된 반도체 디바이스의 불량품을 발생시키는, 미소 이물의 측정 및 그 원소 분석이 요망되고 있다. 이하, 반도체 제조 장치의 오염 상태 확인 방법에 대해 설명한다.
도 7은 본 발명의 실시형태의 반도체 제조 장치의 오염 상태 확인 방법의 일 례를 나타내는 흐름도이다. 도 7에 도시하는 반도체 제조 장치의 오염 상태 확인 방법은, 우선, 약액을 준비한다(단계 1Z, 단계 S50). 약액은, 반도체 제조 장치의 세정에 사용되는 것이며, 특별히 한정되는 것은 아니지만, 예를 들면, 프로필렌글리콜모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜모노메틸에테르(PGME), 이소프로판올(IPA), 아세트산부틸 (nBA), 시클로헥사논(CHN), 락트산에틸(EL), 메틸에틸케톤(MEK), 감마부티로락톤(GBL), 2-헵타논 또는 이들을 임의의 비율로 혼합한 것을 들 수 있다.
다음에, 약액을 이용하여 반도체 제조 장치를 세정한다(공정 2Z, 단계 S52). 세정 후 약액을 회수한다.
반도체 제조 장치의 세정 방법은, 특별히 한정되는 것은 아니지만, 예를 들어, 약액을 반도체 디바이스의 배관 내에 통과시키거나, 챔버 등의 용기 내에 약액을 분사하는 방법을 들 수 있다.
공정 2Z (단계 S52)의 세정 후 약액을 반도체 기판 상에 도포한다 (공정 3Z, 단계 S54).
세정 후 약액의 반도체 기판으로의 도포는 특별히 한정되는 것은 아니지만, 예를 들면 코터 디벨로퍼가 사용된다.
또한 반도체 기판은 특별히 한정되지 않지만, 예를 들면 실리콘 기판이 사용된다. 또한, 반도체 기판의 사이즈는 특별히 한정되는 것은 아니지만, 반도체 기판에 세정 후 약액을 도포하는 도포 장치의 사양 및 유도 결합 플라즈마 질량 분석을 실시하는 장치의 사양 등 측정하는 세정 후 약액의 양에 따라 적절하게 결정된다.
다음으로, 반도체 기판의 표면 상의 결함의 유무를 측정하여, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻는다(단계 S56). 위치 정보에 기초하여, 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 한다(공정 4Z, 단계 S58). 단계 S58의 유도 결합 플라즈마 질량 분석에 의해, 미소한 결함의 원소를 특정한다. 또한, 미소한 결함의 사이즈도 특정된다. 이에 의해, 반도체 제조 장치의 오염 상태를 확인할 수 있다.
세정 후 약액을 반도체 기판에 도포한 후, 상술한 유도 결합 플라즈마 질량 분석을 하는 일련의 공정(공정 4Z, 단계 S56 및 S58)에 대해서는 후술한다.
또한, 반도체 제조 장치의 오염 상태 확인 방법에서는, 세정 후 약액을 반도체 기판에 도포하기 전에, 사용하는 반도체 기판의 표면 상의 결함의 유무를 측정하는 공정을 갖는 것이 바람직하다. 이런 경우, 결함의 위치 정보와 사이즈를 측정한다. 이에 의해, 측정된 결함이 반도체 기판 유래인지 아니면 세정 후 약액 유래인지 구별할 수 있다.
다음으로, 단계 4Z (단계 S58)에서 얻어진 결함 중의 질량 분석 데이터로부터 결함 중의 금속 원소의 유무를 판정하는 공정 5Z(단계 S60)를 갖는다.
유도 결합 플라즈마 질량 분석(공정 4Z, 단계 S58)에 의해, 결함의 원소가 특정된다. 단계 S58에서는, 특정한 결함의 원소의 정보를 포함하는 질량 분석 데이터로부터 금속 원소를 선택하는 것을 시도한다. 질량 분석 데이터로부터 금속 원소가 선택되지 않는 경우, 금속 원소가 없다고 판정한다. 한편, 질량 분석 데이터로부터 금속 원소가 선택되는 경우, 금속 원소가 있다고 판정한다.
단계 S60(공정 5Z)에서 금속 원소가 없다고 판정되면, 반도체 제조 장치는 오염이 낮고 반도체 제조 장치를 사용할 수 있다 (단계 S66).
한편, 금속 원소가 있다고 판정된 경우, 반도체 제조 장치는 오염 상황이 나쁘고, 반도체 제조 장치는 사용되지 않는것으로 판정된다(단계 S68). 이에 따라, 금속 원소를 포함하는 결함의 개수를 이용하여 반도체 제조 장치의 오염 상황을 판정할 수 있다. 이와 같이, 반도체 제조 장치의 오염 상태 확인 방법에서는, 세정 후 약액 중에 포함되는 미소 이물이 미량인 경우에도, 반도체 제조 장치 중의 미소 이물의 관리가 가능하다.
또한, 금속 원소가 있다고 판정된 경우, 금속 원소를 포함하는 결함의 개수를 측정할 수도 있다(공정 6Z, 단계 S62). 예를 들면, 금속 원소를 포함하는 결함의 개수를 카운트함으로써, 금속 원소를 포함하는 결함의 개수를 측정한다.
금속 원소의 유무를 판정하는 공정 5Z(단계 S60)를 실시하지 않고, 공정 4Z(단계 S58)에서 얻어진 결함 중의 질량 분석 데이터에 기초하여, 결함 중에 금속 원소를 포함하는 결함의 개수를 측정(공정 6Z, 단계 S62)해도 된다. 이런 경우, 단계 S62에서, 특정한 결함의 원소의 정보를 포함하는 질량 분석 데이터로부터 금속 원소를 선택하고, 선택된 금속 원소의 수를 카운트함으로써, 금속 원소를 포함하는 결함의 개수를 측정한다. 이에 의해서도, 금속 원소를 포함하는 결함의 개수를 이용하여, 반도체 제조 장치의 오염 상황을 판정할 수 있다.
반도체 제조 장치의 오염 상태 확인 방법에서는, 예를 들면, 미리 세정 후 약액의 결함의 개수의 임계치 또는 허용 범위를 설정해 둔다. 세정 후 약액의 결함의 개수의 임계치 또는 허용 범위는, 요구되는 반도체 제조 장치의 청정도에 따라 적절히 결정되는 것이며, 약액의 결함의 개수의 목표치 등이 이용된다. 약액의 결함의 개수의 허용 범위는 예를 들면 0.07개/㎠ 이하이다. 약액의 결함의 개수의 허용 범위로서는, 0.0001~10개/㎠가 바람직하고, 0.0002~1개/㎠가 보다 바람직하고, 0.0005~0.5개/㎠가 더욱 바람직하다.
단계 S64는, 상기 단계 S62에서 얻어진 금속 원소를 포함하는 결함의 개수와, 세정 후 약액의 결함의 개수의 임계치 또는 허용 범위를 비교한다. 예를 들면, 측정한 세정 후 약액의 결함의 개수가, 임계치 이하 또는 허용 범위 내이면, 반도체 제조 장치는 오염이 낮고, 반도체 제조 장치를 이용한다(단계 S66).
또한, 단계 S64에서, 약액의 결함의 개수가 임계치를 초과하는 것으로 판정된 경우, 즉 약액의 결함의 개수가 허용 범위를 벗어난 것으로 판정된 경우, 반도체 제조 장치는 오염 상황이 나쁘고, 반도체 제조 장치를 사용하지 않는다 (단계 S68).
상술한 바와 같이 반도체 제조 장치를 사용하지 않는다고 판정된 경우, 다시 반도체 제조 장치의 세정을 실시하고, 세정 후 약액이, 반도체 제조 장치를 이용한다(단계 S66)고 판정될 때까지, 반도체 제조 장치를 반복적으로 세정하여도 된다.
또한, 반도체 제조 장치의 오염 상태 확인 방법에서는, 반도체 제조 장치를 세정하기 전의 약액에 대하여 결함의 유무를 측정하는 공정을 갖는 것이 바람직하다. 이런 경우, 결함의 위치 정보와 사이즈를 측정한다. 이에 따라, 세정 후 약액의 결함을 세정 전의 것과 구별할 수 있다.
이상의 설명에서, 비교 및 판정은, 예를 들면, 컴퓨터에 각종 수치가 입력되어 임계치 등과 비교되고 임계치 등에 기초하여 판정된다. 이러한 비교 및 판정은 예를 들어 컴퓨터에 의해 수행된다.
또한, 특정 결함의 원소 정보를 포함하는 질량 분석 데이터로부터 금속 원소를 선택하는 것은, 컴퓨터에 저장된 질량 분석 데이터의 원소 정보에 대해, 미리 기억되어 있던 금속 원소와 일치한 것을 식별하고, 식별 된 것을 금속 원소로서 질량 분석 데이터로부터 선택하는 것이다.
이하, 분석 장치의 구체적인 예를 설명한다.
[분석 장치의 제1 예]
도 8은 본 발명의 실시형태의 분석 장치의 제1 예를 나타내는 모식도이고, 도 9는 본 발명의 실시형태의 분석 장치의 제1 예의 분석 유닛의 일 례를 나타내는 모식도이다. .
도 8에 도시된 분석 장치(10)는 나중에 상세시 설명할 표면 결함 측정부(20) 및 분석부(30)를 포함한다. 분석 장치(10)는 반도체 기판(50)을 측정 대상으로하여 반도체 기판의 표면 상의 결함의 유무의 측정, 및 반도체 기판의 표면 상의 결함의 분석을 수행한다.
또한, 상기 약액 또는 레지스트 조성물의 검사 등을 행할 때, 반도체 기판(50) 상에 상기 약액 또는 레지스트 조성물을 도포한다. 약액은 반도체 기판에 도포한 후에 약액 중에 포함되는 용매를 휘발 또는 증발시켜, 약액 중에 포함되는 용매가 반도체 기판 상에 없는 상태여도 된다. 레지스트 조성물은 도포 후 막을 형성하고, 반도체 기판 상에 도막된 상태이다.
분석 장치(10)는 제1 반송실(12a), 측정실(12b), 제2 반송실(12c) 및 분석실(12d)을 포함하고, 제1 반송실(12a), 측정실(12b), 제2 반송실(12c) 및 분석실(12d)의 순서대로 연속적으로 배치된다. 제1 반송실(12a), 측정실(12b), 제2 반송실(12c) 및 분석실(12d)은, 각각 벽(12h)으로 구획되어 있지만, 측정 대상인 반도체 기판(50)을 이동 가능하게끔 도어(도시하지 않음) 등이 설치되어 있고, 반도체 기판(50)을 통과시킬 때 도어를 열도록 하여도 된다.
분석 장치(10)에서는, 제1 반송실(12a)에, 분석 장치(10)의 외부로부터 반도체 기판(50)이 반송되어, 제1 반송실(12a)로부터 측정실(12b)로 반송되어, 측정실(12b) 내에서 반도체 기판(50)의 표면 결함이 측정된다. 다음으로, 표면 결함이 측정된 반도체 기판(50)이 측정실(12b)로부터 제2 반송실(12c)로 반송되고, 진일보로 분석실(12d)로 반송되고, 분석부(30)에 의해 표면 결함 측정부(20)에서의 반도체 기판(50)의 표면(50a) 상의 결함의 유무의 측정 결과에 기초하여 반도체 기판(50)의 표면 결함이 분석된다.
분석 장치(10)에서는, 반도체 기판(50)을 외기에 노출되지 않도록 제1 반송실(12a), 측정실(12b), 제2 반송실(12c) 및 분석실(12d)의 내부를 특정한 분위기로 할 수 있다. 예를 들면, 진공 펌프를 설치하여, 제1 반송실(12a), 측정실(12b), 제2 반송실(12c) 및 분석실(12d)의 내부의 기체를 배기하여 감압 분위기로 해도 된다. 또한, 제1 반송실(12a), 측정실(12b), 제2 반송실(12c) 및 분석실(12d)의 내부에 질소 가스 등의 불활성 가스를 공급하여, 내부를 불활성 가스 분위기로 해도 된다.
제1 반송실(12a)은 상술한 바와 같이 분석 장치(10)의 외부로부터 반송된 반도체 기판(50)을 측정실(12b)에 반송한다. 제1 반송실(12a)의 측면에는 도입부(12g)가 설치되어 있다. 수납 용기(13)는 도입부(12g)에 설치된다. 도입부(12g)에는, 수납 용기(13)와의 기밀을 유지하기 위해서 밀봉 부재(도시하지 않음)가 설치되어 있다.
수납 용기(13)는, 예를 들면 내부에 복수의 반도체 기판(50)이 선반 형상으로 배치되어 수납되어 있다. 반도체 기판(50)은 예를 들면 원판 형상의 기판이다.
수납 용기(13)는, 예를 들면 FOUP (Front Opening Unified Pod)이다. 수납 용기(13)를 사용함으로써, 반도체 기판(50)을 외기에 노출시키지 않고 밀폐한 상태에서 분석 장치(10)에 반송할 수 있다. 이에 의해, 반도체 기판(50)의 오염을 억제할 수 있다.
제1 반송실(12a)에는 내부에 반송 장치(14)가 설치되여 있다. 반송 장치(14)는 수납 용기(13) 내의 반도체 기판(50)을 제1 반송실(12a)로부터 인접한 측정실(12b)로 반송한다.
반송 장치(14)는, 수납 용기(13) 내로부터 반도체 기판(50)을 꺼내여 또한 측정실(12b)의 스테이지(22)에 반송할 수 있으면, 특별히 한정되는 것은 아니다.
도 8에 도시하는 반송 장치(14)는, 반도체 기판(50)의 외측을 협지하는 반송 암(15)과, 반송 암(15)을 구동하는 구동부(도시하지 않음)를 갖는다. 반송 암(15)은 장착부(14a)에 장착되어 있고, 회전축(C1) 주위를 자유롭게 회전 가능하다. 반송 암(15)은 반도체 기판(50)을 유지하고 반송할 수 있으면, 그 구성은 반도체 기판(50)의 외측을 협지하는 것에 특별히 한정되지 않고, 반도체 웨이퍼의 프로세스 사이의 반송에 사용되는 것을 적절히 이용할 수 있다.
반송 장치(14)는, 장착부(14a)가 높이 방향(V)로 이동할 수 있고, 반송 암(15)은, 회전축(C1)에 평행한 방향인 높이 방향(V)로 이동 가능하다. 장착부(14a)가 높이 방향(V)으로 이동함으로써, 반송 암(15)의 높이 방향(V)의 위치를 변경할 수 있다.
(표면 결함 측정부)
측정실(12b) 내에서, 상술한 바와 같이 반도체 기판(50)의 표면 결함이 측정된다. 측정실(12b)의 내부에는 표면 결함 측정부(20)가 설치되어 있다.
표면 결함 측정부(20)는 반도체 기판(50)의 표면(50a)상의 결함의 유무를 측정하고, 반도체 기판(50)의 표면(50a)상의 결함에 관하여 반도체 기판(50)의 표면(50a)상의 위치 정보를 얻는다.
표면 결함 측정부(20)는 반도체 기판(50)을 탑재하는 스테이지(22), 반도체 기판(50)의 표면(50a)에 입사광(Ls)을 입사시키는 입사부(23), 입사광(Ls)을 반도체 기판(50)의 표면(50a)에 집광하는 집광 렌즈 (24)를 갖는다.
반도체 기판(50)이 탑재되는 스테이지(22)는, 회전축(C2) 주위를 자유롭게 회전 가능하고, 반도체 기판(50)의 높이 방향(V)에서의 위치를 바꿀 수 있고, 또한 높이 방향(V)에 직교하는 방향(H)의 위치를 바꿀 수 있다.
스테이지(22)에 의해, 반도체 기판(50)의 표면(50a)에서의 입사광(Ls)의 조사 위치를 바꿀 수 있다. 이에 의해, 반도체 기판(50)의 표면(50a)의 특정 영역, 또는 표면 전 영역에 입사광(Ls)을 순차적으로 조사하여 반도체 기판(50)의 표면(50a)의 이물 등의 결함을 검출할 수 있다.
여기서, 반도체 기판(50)의 표면(50a)의 이물은 상술한 약액 또는 레지스트 조성물로부터 유래하는 것이다.
입사부(23)가 조사하는 입사광(Ls)의 파장은 특별히 한정되는 것은 아니다. 입사광(Ls)은, 예를 들면, 자외광이지만, 가시광 또는 다른 광이어도 된다. 여기서, 자외광이란 400nm 미만의 파장 영역의 광을 말하고, 가시광이란 400~800nm의 파장 영역의 광을 말한다.
입사광(Ls)의 입사각은, 반도체 기판(50)의 표면(50a)과 수평인 전체 방향을 0°로 하고, 반도체 기판(50)의 표면(50a)에 수직인 방향을 90°로 한다. 이때, 입사광(Ls)의 입사각을 최소 0° 내지 최대 90°로 규정하면, 입사광(Ls)의 입사각은 0° 이상 90° 이하이며, 0° 초과 90° 미만인 것이 바람직하다.
표면 결함 측정부(20)는 입사광(Ls)이 반도체 기판(50)의 표면(50a)에서 반사 또는 산란함으로써 방사된 방사광을 수광하는 수광부를 갖는다. 도 8에 도시한 표면 결함 측정부(20)에서는, 예를 들면 2개의 수광부(25, 26)를 갖는다. 수광부(25, 26) 중 어느 하나에 방사광이 수광된 경우, 반도체 기판(50)의 표면(50a) 상의 결함이 있다고 보고, 방사광이 발생하지 않는 경우, 반도체 기판(50)의 표면(50a)상의 결함은 없다고 본다. 이와 같이, 반도체 기판(50)의 표면(50a) 상의 결함의 유무를 측정한다.
수광부(25)는 반도체 기판(50)의 주위에 배치되어 있다. 수광부(26)는 반도체 기판(50)의 표면(50a)의 상방에 배치된다. 반도체 기판(50)의 표면(50a)과 수광부(26) 사이에는 집광 렌즈(27)가 설치되어 있다. 집광 렌즈(27)에 의해, 입사광(Ls)에 의해 생성된 방사광이 수광부(26)에 집광된다. 집광 렌즈(27)에 의해, 방사광을 효율적으로 수광부(26)에 집광시킬 수 있다. 또한, 수광부의 개수는 2개로 특별히 한정되는 것은 아니다. 표면 결함 측정부(20)에서는 수광부(25) 및 수광부(26) 중 어느 하나의 구성이어도 되고, 3개 이상의 수광부를 갖는 구성이어도 된다.
수광부(25)는 방사광을 저각도 측에서 수광한다. 저각도 측에서의 수광이란, 상술한 입사각에서 0° 이상 80° 이하의 범위에서 수광하는 것을 말한다.
수광부(26)는 방사광을 고각도 측에서 수광한다. 고각도 측에서의 수광이란, 상술한 입사 각도에서 80° 초과 90° 이하의 범위에서 수광하는 것을 말한다.
수광부(25) 및 수광부(26)는, 예를 들면 광전자 배증관 등의 광 센서로 구성된다.
수광부(25) 및 수광부(26)는 모두 비편광 또는 편광을 수광할 수 있다.
표면 결함 측정부(20)는 연산부(28) 및 기억부(29)를 갖는다.
연산부(28)는 수광부(25, 26)가 수광한 방사광의 정보에 기초하여, 검출 된 결함의 위치 정보 및 결함의 사이즈를 산출한다. 결함의 위치 정보란 반도체 기판(50)의 표면(50a)에서의 결함의 위치 좌표의 정보이다. 위치 좌표는, 예를 들면 복수의 반도체 기판(50)에 공통한 기준 위치를 미리 설정해 두고, 기준 위치의 원점으로서 설정되는 것이다.
입사부(23)가 조사한 입사광(Ls)이 반도체 기판(50)의 표면(50a)의 결함에 의해 반사 또는 산란함으로써 방사된 방사광을 수광부(25, 26)에서 수광한다. 수광부(25, 26)에서는 방사광이 휘점으로서 검출된다. 연산부(28)에 있어서, 수광부(25, 26)는 결함에 의한 방사광의 정보를 포함하는 휘점의 사이즈로부터, 표준 입자의 사이즈에 기초하여, 휘점을 초래한 결함의 사이즈, 즉 검출 사이즈를 산출한다. 표준 입자의 사이즈에 기초한 검출 사이즈의 산출은, 시판되는 표면 검사 장치에 구비되어 있는 연산 장치에 의해 또는 공지의 연산 방법에 의해 행해진다. 연산부(28)는 입사광(Ls)의 조사 위치의 위치 정보를 제어부(42)로부터 취득하고, 예를 들면, 수광부(25, 26)에서 결함에 의한 방사광의 정보에 기초하여, 반도체 기판(50)의 표면(50a)에 있어서의 결함의 위치 정보 및 결함 사이즈의 정보를 얻는다. 얻어진 반도체 기판(50)의 표면(50a)에 있어서의 결함의 위치 정보 및 결함의 사이즈의 정보는 기억부(29)에 기억된다.
기억부(29)는, 반도체 기판(50)의 표면(50a)의 이물 등의 결함의 위치 정보 및 사이즈의 정보를 기억할 수 있으면 특별히 한정되는 것은 아니고, 예를 들면 휘발성 메모리, 비휘발성 메모리, 하드 디스크 또는 SSD (Solid State Drive)의 다양한 저장 매체를 사용할 수 있다.
여기서, 표면 결함 측정부(20)에서, 제어부(42)는 스테이지(22) 및 입사부(23)를 제어한다. 또한, 연산부(28)도 제어부(42)에 의해 제어된다.
제어부(42)는, 입사부(23)가 조사한 입사광(Ls)의 반도체 기판(50)의 표면(50a)에 있어서의 위치 정보를 취득한다. 제어부(42)는 반도체 기판(50)의 표면(50a)에서 입사광(Ls)을 조사하지 않은 영역에 입사광(Ls)을 조사하기 위해 스테이지(22)를 구동하여 반도체 기판(50)의 표면(50a)의 조사 위치를 변화시킨다.
표면 결함 측정부(20)에서는 반도체 기판(50)의 표면(50a)의 전체 영역에 입사광(Ls)을 조사하고, 예를 들면, 2개의 수광부(25, 26)가 수광한 방사광의 정보에 기초하여, 각 조사 위치에서의 반도체 기판(50)의 표면(50a)에서의 결함의 위치 정보 및 결함의 사이즈의 정보를 얻는다. 이에 의해, 반도체 기판(50)의 표면(50a) 전면에서의 결함의 위치 정보 및 결함의 사이즈의 정보를 얻을 수 있다. 즉, 반도체 기판(50)의 표면(50a)에서의 2차원적인 결함의 위치 정보와 결함의 사이즈의 정보를 얻을 수 있다.
표면 결함 측정부(20)에 의한 측정을 진행할 때, 측정실(12b)의 분위기는 특별히 한정되는 것은 아니며, 상술한 바와 같이 감압 분위기이어도 되고, 질소 가스 분위기여도 된다.
또한, 표면 결함 측정부(20)로서는, 예를 들어 표면 검사 장치(SurfScanSP5; KLA 주식회사제)를 사용할 수 있다.
제2 반송실(12c)에는 내부에 반송 장치(16)가 설치되어 있다. 반송 장치(16)는, 측정실(12b) 내에서 표면 결함 측정부(20)에 의해 표면 결함이 측정된 반도체 기판(50)을 측정실(12b)로부터 분석실(12d)로 반송한다.
반송 장치(16)는, 상술한 반송 장치(14)와 동일한 구성을 사용할 수 있다. 반송 장치(16)는 반도체 기판(50)의 외측을 협지하는 반송 암(15)과, 반송 암(15)을 구동하는 구동부(도시하지 않음)를 갖는다. 반송 암(15)은 장착부(16a)에 장착되어 있고 회전축(C1) 주위를 자유롭게 회전 가능하다.
반송 장치(16)는, 장착부(16a)가 높이 방향(V)으로 이동할 수 있고, 회전축(C1)에 평행한 방향인 높이 방향(V)으로 이동 가능하다. 반송 암(15)은 반송 암(15)이 장착된 장착부(16a)가 높이 방향(V)으로 이동함에 따라 높이 방향(V)의 위치를 변경할 수 있다.
(분석부)
분석실(12d)에는 내부에 분석부(30)가 설치되어 있다. 분석부(30)는 LA-ICP-MS (Laser Ablation-Inductively Coupled Plasma Mass Spectrometer)를 사용하여 분석을 수행한다.
ICP-MS(Inductively Coupled Plasma Mass Spectrometer)는 유도 결합에 의해 생성되는 약 10000℃의 아르곤 가스의 플라즈마를 이용하여 액체 시료 중의 원소를 이온화하여 질량 분석을 수행한다. LA-ICP-MS는 레이저 절단부(LA부)에 있어서 레이저광을 반도체 기판(50)의 표면(50a)의 결함(51)에 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스에 의해 ICP-MS부(유도 결합 플라즈마 질량 분석 부)에 도입하여 분석 시료에 포함되는 원소의 정량적 분석을 행한다.
분석부(30)는, 반도체 기판(50)이 탑재되는 스테이지(32)와, 스테이지(32)에 탑재된 반도체 기판(50)을 수납하는 용기부(33)를 갖는다.
용기부(33)에는 배관(39)을 통해 분석 유닛(36)이 접속되어 있다. 반도체 기판(50)은 전체가 용기부(33)에 수납된 상태에서 분석된다. 반도체 기판(50)이 탑재되는 스테이지(32)는 회전축(C3) 주위를 자유롭게 회전 가능하며, 반도체 기판(50)의 높이 방향(V)에서의 위치를 바꿀 수 있고, 또한 높이 방향(V)과 직교하는 방향(H)의 위치를 바꿀 수 있다.
스테이지(32)는 제어부(42)에 의해 제어된다. 제어부(42)는 반도체 기판(50)의 표면(50a)의 결함(51)에 레이저광(La)을 조사하기 위해 스테이지(32)를 구동하여 반도체 기판(50)의 표면(50a)상의 조사 위치를 변화시킨다.
여기서, 반도체 기판(50)의 표면(50a)의 결함(51)은, 반도체 기판(50)의 표면(50a)에 아무것도 도포 또는 형성되어 있지 않으면, 반도체 기판(50) 자체의 결함(51)이며 반도체 기판(50) 유래의 결함(51)이다. 그러나, 상술한 바와 같이 약액 또는 레지스트 조성물의 검사 등을 행할 때에 반도체 기판(50) 상에 약액 또는 레지스트 조성물을 도포한 경우, 결함(51)은 약액 유래 또는 레지스트 조성물 유래이다.
분석부(30)는 표면 결함 측정부(20)에서 측정된 반도체 기판(50)의 표면(50a)상의 결함(51)에 레이저광(La)을 조사하는 광원부(34)를 갖는다. 광원부(34)와 반도체 기판(50)의 표면(50a) 사이에는, 레이저광(La)을 반도체 기판(50)의 표면(50a) 상의 결함(51)에 집광하는 집광 렌즈(35)가 설치되어 있다.
광원부(34) 및 집광 렌즈(35)는 용기부(33)의 외부에 설치되어 있다. 용기부(33)에는, 레이저광(La)이 내부로 투과되도록 레이저광(La)이 투과 가능한 창부(도시하지 않음)가 설치되어 있다.
광원부(34)는, 펨토초 레이저, 나노초 레이저, 피코초 레이저, 또는 아토초 레이저 등이 사용된다. 펨토초 레이저로서는, 예를 들면 Ti:Sapphire 레이저를 사용할 수 있다.
분석부(30)는 캐리어 가스를 용기부(33)에 공급하는 캐리어 가스 공급부(38)를 갖는다.
캐리어 가스 공급부(38)는, 캐리어 가스가 저류되는 봄베 등의 기체 공급원(도시하지 않음)과 기체 공급원에 접속된 레귤레이터(압력 조정기)와, 캐리어 가스의 공급량을 제어하는 조정 밸브(도시하지 않음)를 갖는다. 예를 들면, 레귤레이터와 조정 밸브는 튜브로 접속되고, 조정 밸브와 용기부(33)는 파이프로 접속되어 있다. 캐리어 가스는 예를 들면 헬륨 가스 또는 아르곤 가스가 사용된다.
또한 분석부(30)는 클리닝 가스를 용기부(33)에 공급하는 클리닝 가스 공급부(40)를 갖는다. 클리닝 가스 공급부(40)는 클리닝 가스가 저류되는 봄베 등의 기체 공급원(도시하지 않음)과, 기체 공급원에 접속된 레귤레이터(압력 조정기)와, 클리닝 가스의 공급량을 제어하는 조정 밸브(도시하지 않음)를 갖는다. 예를 들면, 레귤레이터와 조정 밸브는 튜브로 접속되고, 조정 밸브와 용기부(33)는 파이프로 접속되어 있다. 클리닝 가스는 예를 들면 헬륨 가스, 또는 아르곤 가스가 사용된다.
또한, 용기부(33)에는 클리닝 가스를 용기부(33) 내로부터 외부로 유출시키는 유출부(41)가 설치되어 있다. 유출부(41)는, 예를 들면 파이프와 밸브로 구성된다. 밸브를 열므로써 클리닝 가스를 용기부(33) 내로부터 외부로 유출시킬 수 있다.
용기부(33)에는 플러싱 처리를 행하기 위해 히터(도시하지 않음)가 설치되어 있어도 된다. 클리닝 가스를 용기부(33) 내에 공급한 상태에서 히터에 의해 용기부(33) 내를 가열함으로써, 용기부(33) 내의, 예를 들면, 절단된 부착물 등의 이물 또는 흡착 가스 등을 제거한다. 이에 의해, 용기부(33) 내의 청정도를 높일 수 있고, 반도체 기판(50)의 오염을 억제할 수 있다. 히터에는, 예를 들면 적외선 램프 또는 크세논 플래시 램프가 사용된다.
또한, 플러싱 처리에는 클리닝 가스 이외에 캐리어 가스를 사용할 수도 있다.
<분석 유닛>
분석 유닛(36)은 상술한 ICP-MS를 이용한 것으로, 반도체 기판(50)의 표면(50a)상의 결함(51)에 레이저광(La)을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 한다. 또한, ICP는 유도 결합 플라즈마의 약어이며, 분석 유닛(36)에서는 고주파 전자 유도에 의해 유지된 고온의 플라즈마에 의해 측정 대상물을 이온화시키고, 그 이온을 질량 분석 장치로 검출함으로써 원자종 및 검출된 원자종의 농도를 측정한다.
분석 유닛(36)은, 예를 들어 도 9에 도시한 바와 같이, 배관(39)으로부터 캐리어 가스와 함께 도입된 분석 시료를 이온화하는 플라즈마를 발생시키는 플라즈마 토치(44)와, 이 플라즈마 토치(44)의 선단부 근방에 위치하는 이온 도입부를 갖는 질량 분석부(46)를 갖는다.
플라즈마 토치(44)는, 예를 들면 3중관 구조로 되어 있고, 배관(39)으로부터 캐리어 가스가 도입된다. 플라즈마 토치(44)에는 플라즈마 형성용 플라즈마 가스가 도입된다. 플라즈마 가스에는, 예를 들면 아르곤 가스가 사용된다.
플라즈마 토치(44)에는, 고주파 전원(도시하지 않음)에 접속된 고주파 코일(도시하지 않음)이 설치되어 있고, 이 고주파 코일에 예를 들면 27.12MHz 또는 40.68MHz, 1~2KW 정도의 고주파 전류를 인가함으로써, 플라즈마 토치(44)의 내부에 플라즈마가 형성된다.
질량 분석부(46)에서는, 플라즈마 토치(44)에서 생성된 이온을 이온 도입부를 통해 이온 렌즈부(46a) 및 질량 분석기부(46b)에 도입한다. 이온 렌즈부(46a) 및 질량 분석기부(46b)는, 진공 펌프 (도시하지 않음)에 의해 플라즈마 토치(44) 측의 이온 렌즈부(46a)가 저진공이 되도록, 질량 분석기부(46b)가 고진공이 되도록 감압되어 있다.
이온 렌즈부(46a)는 이온 렌즈(47)가 복수 예를 들면 3개 설치되어 있다. 이온 렌즈(47)는 질량 분석기부(46b)에 이온을 분리한다.
질량 분석부(46)의 이온 렌즈부(46a)내에서 상기 플라즈마의 광과 이온을 이온 렌즈(47)로 분리하여 이온만을 통과시킨다.
질량 분석기부(46b)는 이온의 질량 전하비에 따라 이온을 분리하여 검출기(49)로 검출한다. 질량 분석기부(46b)는 이온 렌즈부(46a)를 통과한 이온을 검출기(49)에 반사시키는 리플렉트론(48)과 이온을 검출하는 검출기(49)를 갖는다. 리플렉트론(48)은 이온 미러라고도 불리는 것으로, 정전장을 이용하여 하전 입자의 비행 방향을 반전시키는 장치이다. 리플렉트론(48)을 사용함으로써, 동일한 질량 전하비로 상이한 운동 에너지를 갖는 하전 입자를 시간축상에서 수렴시켜 거의 동일한 시간에 검출기(49)에 도달하도록 할 수 있다. 리플렉트론(48)은 오차를 보상하여 질량 분해능을 향상시킬 수 있다. 리플렉트론(48)은 비행 시간 질량 분석기(TOF-MS)에 사용되는 공지의 것을 사용할 수 있다.
검출기(49)는 이온을 검출하고 원소를 특정할 수 있으면 특별히 한정되는 것은 아니며, 비행 시간 질량 분석기(TOF-MS)에 사용되는 공지의 것을 사용할 수 있다.
분석 유닛(36)에 의해, 예를 들면, 검출 원소 이온의 신호(도시하지 않음)를 시간마다 차트로서 표시시킬 수 있다(도시하지 않음). 검출 원소의 농도는 신호 강도에 대응한다.
도 8에 도시한 바와 같이 분석 장치(10)는 제어부(42)를 갖고, 제어부(42)에 의해 표면 결함 측정부(20)의 기억부(29)에 기억된, 상술한 검출된 반도체 기판(50)의 표면(50a)의 이물 등의 결함의 위치 정보 및 사이즈의 정보에 기초하여, 분석부(30)의 스테이지(32)를 구동하거나 또는 레이저광(La)의 조사 위치를 변경하여, 반도체 기판(50)의 표면(50a)상의 결함(51)에 레이저광(La)을 조사한다. 이에 따라, 반도체 기판(50)의 표면(50a) 상의 결함(51)이 분석된다.
또한, 분석 장치(10)는, 반도체 기판(50) 전체를 용기부(33) 내에 수납한 상태에서, 분석부(30)에 의한 유도 결합 플라즈마 질량 분석을 할 수 있는 구성으로 함으로써 반도체 기판(50)의 표면(50a)의 오염을 억제할 수 있다 .
분석 장치(10)에서는 캐리어 가스와 클리닝 가스를 별개의 계통으로 공급했지만, 이것에 한정되는 것은 아니고, 캐리어 가스와 클리닝 가스는 공급 타이밍이 다르기 때문에 1개의 배치를 공용하여 용기부(33)에 공급하여도 된다. 예를 들면 클리닝 가스 공급부(40)를 설치하지 않고, 캐리어 가스 공급부(38)만을 설치하는 구성으로 해도 된다.
또한, 캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인 것이 바람직하다.
캐리어 가스의 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하이면, 용기부(33) 내에서 분석 중의 반도체 기판(50)의 표면(50a)의 오염을 적게 할 수 있다. 예를 들면, 캐리어 가스의 수분량이 많을 경우, 캐리어 가스의 배관 표면 또는 용기부(33)의 내표면에 부착된 미량의 수분에 불순물이 용출되어, 이들이 반도체 기판(50) 상에 재부착됨으로써 결함의 개수가 증가하는 경우가 있지만, 캐리어 가스의 수분량이 상기 범위이면 이들이 억제된다.
또한, 수분량이 적을 경우, 캐리어 가스가 반도체 기판(50) 근방을 통과할 때에 반도체 기판(50)의 표면(50a)에 대전을 초래하기 쉬워진다. 그 결과, 용기부(33) 내에 부유하는 대전된 미립자를 반도체 기판(50)의 표면(50a)에 초래하거나, 반송계에서의 반송시에 부근을 부유하는 미립자를 반도체 기판(50)의 표면(50a)에 유인하기 쉬워진다. 또한 레이저 절단에 의해 생기는 생성물의 재부착이 일어나기 쉬워지지만, 캐리어 가스의 수분량이 상기 범위이면 이들이 억제된다.
캐리어 가스에 포함되는 수분량은 대기압 이온화 질량 분석계(API-MS: Atmospheric Pressure Ionization Mass Spectrometer)(예를 들면, 주식회사 일본 API제)를 사용하여 측정할 수 있다.
수분량의 조제 방법은 특별히 제한되지 않지만, 원료 가스에 포함되는 물(수증기)을 제거하여 조제하는 가스 정제 공정을 행함으로써 실현된다. 특히 정제 횟수 또는 필터를 조정함으로써, 캐리어 가스에 포함되는 수분량을 조정할 수 있다.
캐리어 가스의 유량은 1.69×10-3~1.69Pa·m3/sec(1~1000sccm(standard cubic centimeter per minute))인 것이 바람직하다.
[분석 방법의 제1 예]
분석 방법은 반도체 기판의 표면 상의 결함의 유무를 측정하고, 반도체 기판의 표면 상의 결함의 반도체 기판 상의 위치 정보를 얻는 공정과, 결함의 반도체 기판 상의 위치 정보에 기초하여, 반도체 기판의 표면 상의 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정을 갖는다. 분석 방법을 구체적으로 설명한다.
도 10은 본 발명의 실시형태의 분석 방법의 제1 예를 설명하는 모식도이고, 도 11은 본 발명의 실시형태의 분석 방법의 제1 예를 설명하는 모식적 단면도이다.
또한 도 10 및 도 11에 있어서, 도 8에 나타내는 분석 장치(10)와 동일한 구성물에는 동일한 부호를 부여하고, 그 상세한 설명은 생략한다.
분석 방법에서는 예를 들면, 복수의 반도체 기판(50)이 수납된 수납 용기(13)를 도 8에 나타내는 분석 장치(10)의 제1 반송실(12a)의 측면의 도입부(12g)에 접속한다. 수납 용기(13)의 뚜껑을 열고, 수납 용기(13)로부터 반도체 기판(50)을 꺼낼수 있는 상태로 한다.
다음에, 제1 반송실(12a)의 반송 장치(14)를 이용하여 수납 용기(13) 내로부터 반도체 기판(50)을 꺼내고, 측정실(12b)의 스테이지(22)에 반도체 기판(50)을 반송한다. 상술한 수납 용기(13) 내로부터 반도체 기판(50)을 측정실(12b)의 스테이지(22)로 반송하는 공정에 의해, 반도체 기판(50)이 분석 장치(10)의 외부로부터 반송되어도 반도체 기판(50)의 오염이 억제된다. 반도체 기판(50)의 오염이 억제된 상태에서, 표면 결함 측정부(20)에 의해 반도체 기판(50)의 표면 결함을 측정할 수 있다.
다음으로, 측정실(12b) 내에서 표면 결함 측정부(20)에 의해 반도체 기판(50)의 표면 결함을 측정한다. 이에 의해, 반도체 기판(50)의 표면(50a)의 이물 등의 결함의 위치 정보 및 사이즈가 검출된다. 예를 들어, 도 10에 나타낸 바와 같이, 반도체 기판(50)의 표면(50a) 상에 결함(51)을 나타낼 수 있다. 반도체 기판(50)의 표면(50a) 상에 결함(51)을 나타내는 것을 매핑이라고 한다. 반도체 기판(50)의 표면(50a) 상의 결함(51)의 위치 정보 및 사이즈의 정보는 기억부(29)에 기억된다. 반도체 기판(50)의 표면(50a) 상의 결함(51)의 위치 정보 및 사이즈의 정보를 매핑 정보라고 한다.
다음으로, 도 8에 도시된 제2 반송실(12c)의 반송 장치(16)에 의해, 표면 결함이 측정된 반도체 기판(50)을 측정실(12b)로부터 분석실(12d)로 반송한다.
다음으로, 분석실(12d) 내에서, 분석부(30)에 의해 반도체 기판(50)의 표면(50a) 상의 결함(51)의 위치 정보 및 사이즈의 정보, 즉 매핑 정보에 기초하여 분석을 수행한다. 분석은 도 11에 도시한 바와 같이, 반도체 기판(50) 전체를 용기부(33) 내에 수납한 상태, 또한 용기부(33) 내에 캐리어 가스 공급부(38)로부터 캐리어 가스를 공급한 상태에서 실시한다. 분석시에는 매핑 정보에 기초하여 결함(51)의 위치를 특정하고, 예를 들면 스테이지(32)를 이용하여, 결함(51)을 레이저광(La)의 조사 위치로, 반도체 기판(50)을 이동시킨다.
다음으로, 도 11에 도시 한 바와 같이, 반도체 기판(50)의 표면(50a)상의 결함(51)에 레이저광(La)을 조사한다. 결함(51)으로의 레이저광(La)의 조사에 의해 얻어진 분석 시료(51a)는 캐리어 가스에 의해 분석 유닛(36)으로 이동된다. 캐리어 가스에 의해 이동된, 결함(51)으로부터 유래하는 분석 시료(51a)는 분석 유닛(36)에 있어서 유도 결합 플라즈마 질량 분석이 되어 결함(51)의 원소가 특정된다. 이에 따라 결함(51)의 질량 분석 데이터가 얻어진다.
분석 방법에서는 분석하는 공정 전에 클리닝 가스를 이용하여 용기부(33) 내를 세정하는 공정을 갖는 것이 바람직하다. 세정하는 공정은 구체적으로는 용기부(33) 내에 반도체 기판(50)을 반송하기 전에, 용기부(33) 내에 클리닝 가스를 공급하고, 히터를 이용하여 용기부(33) 내를 가열하여 플러싱 처리를 실시하는 공정이다. 세정하는 공정에 의해 용기부(33)내의 예를 들면 절단된 부착물 등의 이물 또는 흡착 가스 등이 제거된다.
또한 분석 장치(10)에서는 분석 장치(10)와는 다른 다른 장치, 예를 들면, 표면 결함 측정 장치(70)(도 8 참조)에 의해 반도체 기판(50)의 표면(50a) 상의 결함(51)을 측정하여 얻어진 반도체 기판(50)의 표면(50a) 상의 결함(51)의 위치 정보가 사용될 수 있다. 반도체 기판(50)의 표면(50a) 상의 결함(51)의 위치 정보는, 예를 들어 도 10에 도시한 바와 같은 매핑 정보이다. 이런 경우, 표면 결함 측정 장치(70)가 취득한 매핑 정보를 기억부(29)에 공급한다. 진일보로, 표면 결함 측정 장치(70)에서 표면(50a)의 결함(51)이 측정된 반도체 기판(50)은, 예를 들면 수납 용기(13)에 수납되어 분석 장치(10)로 반송된다. 반도체 기판(50)은 제1 반송실(12a), 측정실(12b) 및 제2 반송실(12c)을 거쳐 분석실(12d)로 반송된다.
다음에, 제어부(42)는 기억부(29)로부터 매핑 정보를 판독하고, 매핑 정보에 기초하여 반도체 기판(50)의 표면(50a)에서의 결함(51)의 위치를 특정한다. 다음에, 스테이지(32)를 이용하여, 결함(51)을 레이저광(La)의 조사 위치로, 반도체 기판(50)을 이동시킨다. 다음으로, 반도체 기판(50)의 표면(50a)상의 결함(51)에 레이저광(La)을 조사한다. 결함(51)에의 레이저광(La)의 조사에 의해 얻어진 분석 시료(51a)는 캐리어 가스에 의해 분석 유닛(36)으로 이동된다. 캐리어 가스에 의해 이동된, 결함(51)으로부터 유래하는 분석 시료(51a)는 분석 유닛(36)에 있어서 유도 결합 플라즈마 질량 분석이 수행되어 결함(51)의 원소가 특정된다. 이에 의해, 결함(51)의 질량 분석 데이터가 얻어진다.
상술한 바와 같이, 표면 결함 측정 장치(70)(도 8 참조)에 의해 측정된, 도 10에 도시한 바와 같은 매핑 정보를 이용하여 결함(51)을 분석하는 경우, 표면 결함 측정부(20) 및 반도체 기판(50)의 표면 결함의 측정이 불필요해진다. 또한, 분석 장치(10)에서는 도 8에 나타내는 표면 결함 측정 장치(70)를 설치하지 않는 구성이어도 되는 것은 물론이다.
또한, 기억부(29)에 공급되는 반도체 기판(50)의 표면(50a)상의 결함(51)의 위치 정보는 표면 결함 측정 장치(70)(도 8 참조)에 의해 측정된 것에 특별히 한정되지 않는다. 표면 결함 측정 장치(70)는 예를 들어 위치 정보를 기억하는 기억부(도시하지 않음)를 가져도 된다. 또한, 표면 결함 측정 장치(70)는 표면 결함 측정부(20)와 동일한 구성을 가져도 된다. 따라서, 표면 결함 측정 장치(70)는, 예를 들면 반도체 기판(50)의 표면(50a)상에 입사광(Ls)을 입사시키는 입사부(23)와, 반도체 기판(50)의 표면(50a)상의 결함(51)에 의해 입사광(Ls)이 반사 또는 산란되여 방사된 방사광을 수광하는 수광부(26)를 갖는다.
[분석 장치의 제2 예]
도 12는 본 발명의 실시형태의 분석 장치의 제2 예를 나타내는 모식도이다. 또한, 도 12에 있어서, 도 8에 나타내는 분석 장치(10)와 동일한 구성물에는 동일한 부호를 부여하고, 그 상세한 설명은 생략한다.
도 12에 나타내는 분석 장치(10a)는, 도 8에 나타내는 분석 장치(10)에 비해, 제2 반송실(12c) 및 반송 장치(16)가 없는 점과 표면 결함 측정부(20)와 분석부(30)가 1개의 처리실(12e)내에 설치되여 있는 점이 다르며, 그외의 구성은 도 8에 도시한 분석 장치(10)와 동일한 구성이다.
분석 장치(10a)에서는, 용기부(33) 내에 반도체 기판(50) 전체가 수납된 상태에서, 표면 결함 측정과 분석이 실시된다.
분석부(30)에 있어서, 광원부(34)는 레이저광(La)의 광축이 반도체 기판(50)의 표면(50a)에 대하여 기울어져 배치되어 있다.
분석 장치(10a)에서는, 표면 결함 측정부(20)와 분석부(30)를 하나의 처리실(12e) 내에 설치함으로써, 도 8에 나타내는 분석 장치(10)에 비해 장치를 소형화할 수 있다.
또한, 반도체 기판(50) 전체를 용기부(33) 내에 수납한 상태에서, 표면 결함 측정부(20)에 의한 표면 결함의 측정과, 분석부(30)에 의한 유도 결합 플라즈마 질량 분석을 할 수 있는 구성으로 함으로써, 반도체 기판(50)의 반송이 줄어, 반도체 기판(50)의 표면(50a)의 오염을 더욱 억제할 수 있다. 이에 의해, 반도체 기판(50)의 표면(50a)의 결함의 측정 정밀도를 보다 높일 수 있고, 더 나아가 분석 장치(10a)의 처리실(12e) 내의 오염도 억제할 수 있다.
[분석 방법의 제2 예]
분석 방법의 제2 예는 전술한 분석 방법의 제1 예와 기본적으로 동일하다. 분석 방법의 제2 예는, 상술한 분석 방법의 제1 예에 비해, 반도체 기판(50) 전체를 용기부(33) 내에 수납한 상태에서 표면 결함 측정부(20)에 의한 표면 결함의 측정을 실시한다는 점과, 표면 결함의 측정 후에 반송 장치(16)에 의해, 표면 결함이 측정된 반도체 기판(50)을 측정실(12b)로부터 분석실(12d)로 반송하지 않는다는 점이 다른 외에, 나머지 공정은 분석 방법의 제1 예와 동일하다.
분석 방법의 제2 예에서는, 반도체 기판(50) 전체를 용기부(33) 내에 수납한 상태에서, 표면 결함 측정부(20)에 의한 표면 결함의 측정과 분석부(30)에 의한 유도 결합 플라즈마 질량 분석을 실시함으로써, 반도체 기판(50)의 표면(50a)의 오염을 더욱 억제할 수 있고, 분석 장치(10a)의 처리실(12e) 내의 오염을 억제할 수 있다.
또한, 상술한 바와 같이, 반도체 기판(50) 전체를 용기부(33) 내에 수납한 상태에서, 표면 결함 측정부(20)에 의한 표면 결함의 측정과 분석부(30)에 의한 유도 결합 플라즈마 질량 분석을 실시함으로써, 공정 사이에서의 반도체 기판(50)의 반송이 불필요해져, 분석 방법의 제1 예에 비하여 분석 시간을 단축할 수 있다. 더 나아가, 상술한 바와 같이 반도체 기판(50)의 표면(50a)의 오염을 더욱 억제할 수 있다.
또한, 분석 장치(10a)에서도 분석 장치(10)와 마찬가지로, 분석 장치(10a)와는 다른 다른 장치, 예를 들면, 표면 결함 측정 장치(70)(도 12 참조)에 의해 반도체 기판(50)의 표면(50a)상의 결함(51)을 측정하여 얻은 도 10에 도시된 바와 같은 매핑 정보를 사용할 수있다. 이런 경우, 표면 결함 측정 장치(70)가 취득한 매핑 정보를 기억부(29)에 공급한다. 또한, 표면 결함 측정 장치(70)에서 표면(50a)의 결함(51)이 측정된 반도체 기판(50)은, 예를 들면 수납 용기(13)에 수납되어 분석 장치(10a)로 반송된다.
분석 장치(10a)에서는 매핑 정보에 기초하여, 상술한 바와 같이 처리실(12e) 내에서 분석부(30)에 의해, 결함(51)으로부터 유래하는 분석 시료(51a)가 분석 유닛(36d)에서 유도 결합 플라즈마 질량 분석이 되어 결함(51)의 원소가 확인된다. 이에 의해, 결함(51)의 질량 분석 데이터가 얻어진다.
이런 경우에도, 표면 결함 측정 장치(70)(도 12 참조)에 의해 측정된 매핑 정보를 이용한 경우, 표면 결함 측정부(20) 및 반도체 기판(50)의 표면 결함의 측정이 불필요해진다. 또한, 분석 장치(10a)에서도 분석 장치(10)와 마찬가지로, 도 12에 도시된 표면 결함 측정 장치(70)를 설치하지 않는 구성이어도 되는 것은 물론이다. 또한, 기억부(29)에 공급되는 반도체 기판(50)의 표면(50a) 상의 결함(51)의 위치 정보는, 표면 결함 측정 장치(70)(도 12 참조)에 의해 측정된 것에 특별히 한정되는 것은 아니다.
[분석 장치의 제3 예]
상술한 바와 같이 분석 장치 이외의 장치, 예를 들어 표면 결함 측정 장치(70)에서 측정된 매핑 정보를 이용하는 경우, 분석 장치에 있어서 표면 결함 측정부는 반드시 필요로 하는 것은 아니고, 분석 장치로서는 표면 결함 측정부가 없는 구성이여도 된다. 이런 경우, 분석 장치는 분석부(30)만을 포함하는 구성이 된다.
도 13은 본 발명의 실시형태의 분석 장치의 제3 예를 나타내는 모식도이다. 도 13에서, 도 8에 도시된 분석 장치(10) 및 도 12에 도시된 분석 장치(10a)와 동일한 구성 요소에는 동일한 참조 부호를 부여하고 그 상세한 설명은 생략한다.
도 13에 나타내는 분석 장치(10b)는 도 8에 나타내는 분석 장치(10)에 비해 제1 반송실(12a), 반송 장치(14), 측정실(12b), 표면 결함 측정부(20), 제2 반송실(12c) 및 반송 장치(16) 없는 구성이다. 또한, 분석 장치(10b)는 분석부(30)(도 8 참조)를 질량 분석 장치(72)로서, 상술한 표면 결함 측정 장치(70)와 질량 분석 장치(72)를 갖는다. 질량 분석 장치(72)는 상술한 분석부(30)(도 8 참조)와 동일한 구성이기 때문에, 질량 분석 장치(72)의 상세한 설명은 생략한다.
분석 장치(10b)에 있어서, 표면 결함 측정 장치(70)와 질량 분석 장치(72)는 별개의 장치이며 일체가 아니다. 이런 경우, 표면 결함 측정 장치(70)가 취득한 매핑 정보를 기억부(29)에 공급한다. 또한, 표면 결함 측정 장치(70)에 있어서 표면(50a)의 결함(51)이 측정된 반도체 기판(50)이, 예를 들면 수납 용기(13)에 수납되어 질량 분석 장치(72)에 반송된다. 반도체 기판(50)은 제1 반송실(12a)을 거쳐 분석실(12d)로 반송된다.
다음에, 질량 분석 장치(72)에서는, 제어부(42)가 기억부(29)로부터 매핑 정보를 판독하고, 매핑 정보에 기초하여, 분석실(12d) 내에서 상술한 바와 같이 결함(51)으로부터 유래하는 분석 시료(51a)가 분석 유닛(36d)에서 유도 결합 플라즈마 질량 분석이 이루어지고, 결함(51)의 원소가 특정된다. 이에 의해, 결함(51)의 질량 분석 데이터가 얻어진다. 또한, 기억부(29)에 공급되는 반도체 기판(50)의 표면(50a) 상의 결함(51)의 위치 정보는, 표면 결함 측정 장치(70)(도 13 참조)에 의해 측정된 것 이외의 위치 정보를 이용하여도 된다.
상술한 분석 장치(10), 분석 장치(10a) 및 분석 장치(10b)는 모두 분석부(30)가 상술 한 구성에 한정되지 않는다. 여기서, 도 14는 본 발명의 실시형태의 분석 장치의 분석부의 변형 예를 나타내는 모식도이다. 또한, 도 14에 있어서, 도 8에 나타내는 분석 장치(10)와 동일한 구성물에는 동일한 부호를 부여하고, 그 상세한 설명은 생략한다.
분석부(30)에 있어서, 반도체 기판(50)의 표면(50a)을 관찰하는 촬상부(60)와, 촬상부(60)에서 얻어진 화상을 표시하는 표시부(62)를 설치해도 된다.
촬상부(60)에 의해 반도체 기판(50)의 표면(50a)에서의 레이저광(La)의 조사 위치, 즉 결함(51)의 위치를 관찰할 수 있다. 촬상부(60)로서는 CCD (Charge Coupled Device) 센서 및 CMOS (Complementary Metal Oxide Semiconductor) 센서를 들 수 있다. 표시부(62)로서는 액정 모니터 및 유기 EL(Electro Luminescence) 모니터를 들 수 있다.
광원부(34)와 촬상부(60)는, 예를 들면, 광축(도시하지 않음)을 직교시켜 배치되어 있다. 촬상부(60)는 반도체 기판(50)의 표면(50a)에 대향하여 배치되어 있다.
광원부(34)의 광축과 촬상부(60)의 광축이 교차하는 곳에 하프 미러(64)가 배치되어 있다. 광원부(34)가 출사된 레이저광(La)은 하프 미러(64)에서 반사되어 집광 렌즈(35)를 통과하여 반도체 기판(50)의 표면(50a)에 조사된다.
〔반도체 기판〕
반도체 기판은 특별히 한정되지 않고, 실리콘(Si) 기판, 사파이어 기판, SiC 기판, GaP 기판, GaAs 기판, InP 기판, 또는 GaN 기판 등의 각종 반도체 기판을 사용할 수 있다. 반도체 기판으로서는, 실리콘의 반도체 기판이 많이 이용되고 있다.
반도체 디바이스의 예는 다음과 같다.
〔반도체 디바이스〕
반도체 디바이스는 특별히 한정되지 않고, 예를 들면 로직 LSI(Large Scale Integration)(예를 들면, ASIC(Application Specific Integrated Circuit), FPGA(Field Programmable Gate Array), ASSP(Application Specific Standard Product) 등), 마이크로프로세서(예를 들면, CPU(Central Processing Unit), GPU(Graphics Processing Unit) 등), 메모리(예를 들면, DRAM(Dynamic Random Access Memory), HMC(Hybrid Memory Cube), MRAM(Magnetic RAM: 자기 메모리) 및 PCM (Phase-Change Memory: 상변화 메모리), ReRAM(Resistive RAM: 저항 변화형 메모리), FeRAM(Ferroelectric RAM: 강유전체 메모리), 플래시 메모리(NAND(Not AND) 플래시) 등), LED(Light Emitting Diode)(예를 들어, 휴대 단말기의 마이크로 플래시, 차량용 프로젝터 광원, LCD 백라이트, 일반 조명 등), 파워 디바이스, 아날로그 IC(Integrated Circuit)(예를 들어, DC(Direct Current)-DC(Direct Current) 컨버터, 절연 게이트 바이폴라 트랜지스터(IGBT) 등), MEMS(Micro Electro Mechanical Systems)(예를 들어, 가속도 센서, 압력 센서, 진동자, 자이로 센서 등), 무선(예를 들어, GPS(Global Positioning System), FM(Frequency Modulation), NFC(Nearfield communication), RFEM(RF Expansion)Module), MMIC(Monolithic Microwave Integrated Circuit), WLAN(Wireless Local Area Network) 등), 개별 소자, BSI(Back Side Illumination), CIS(Contact Image Sensor), 카메라 모듈, CMOS(Complementary Metal Oxide Semiconductor), Passi 장치 , SAW(Surface Acoustic Wave) 필터, RF(Radio Frequency) 필터, RFIPD(Radio Frequency Integrated Passive Devices), BB(Broadband) 등을 들 수 있다.
본 발명은 기본적으로 이상과 같이 구성된다. 이상, 본 발명의 약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치의 오염 상태 확인 방법에 대해서 상세하게 설명했지만, 본 발명은 상기 실시형태에 한정되지 않고, 본 발명의 요지를 일탈하지 않는 범위에서 여러 가지의 개량 또는 변경을 해도 되는 것은 물론이다.
[약액]
약액은 유기 용매를 주성분으로 포함한다.
본 명세서에 있어서 유기 용매란, 상술한 약액의 총 질량에 대하여 1 성분당 10000 질량 ppm을 초과한 함유량으로 함유되는 액상의 유기 화합물을 의도한다. 즉, 본 명세서에 있어서는 상술한 약액의 총 질량에 대하여 10000 질량 ppm을 초과하여 함유되는 액상의 유기 화합물은 유기 용매에 해당한다.
또한, 본 명세서에 있어서 액상이란 25℃, 대기압 하에 있어서 액체인 것을 의미한다.
약액 중에서 유기 용매가 주성분이라는 즉, 약액 중의 유기 용매의 함유량이 약액의 총 질량에 대하여 98.0질량% 이상인 것을 의미하고, 99.0질량% 초과가 바람직하고, 99.90질량% 이상이 보다 바람직하고, 99.95질량% 초과가 더욱 바람직하다. 상한은 100질량% 미만이다.
유기 용매는 일종을 단독으로 사용해도 되고, 2종 이상을 사용해도 된다. 2종 이상의 유기 용매를 사용하는 경우에는, 합계 함유량이 상기 범위 내인 것이 바람직하다.
유기 용매의 종류는 특별히 제한되지 않고, 공지의 유기 용매를 사용할 수 있다. 유기 용매는 예를 들면 알킬렌글리콜모노알킬에테르카르복실레이트, 알킬렌글리콜모노알킬에테르, 락트산알킬에스테르, 알콕시프로피온산알킬, 환상 락톤(바람직하게는 탄소수 4~10), 고리를 가져도 되는 모노케톤 화합물 (바람직하게는 탄소수 4~10), 알킬렌카보네이트, 알콕시아세트산알킬, 피루브산알킬, 디알킬술폭시드, 환상 술폰, 디알킬에테르, 1가 알코올, 글리콜, 아세트산알킬에스테르, 및, N-알킬피롤리돈 등을 들 수 있다. .
유기 용매는 예를 들면 프로필렌글리콜모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜모노메틸에테르(PGME), 시클로헥사논(CHN), 락트산에틸(EL), 탄산프로필렌(PC), 이소프로판올(IPA), 4-메틸-2-펜탄올(MIBC), 아세트산부틸(nBA), 프로필렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노프로필 에테르, 메톡시프로피오네이트 메틸, 시클로펜타논, γ-부티로락톤, 디이소아밀 에테르, 이소아밀 아세테이트, 디메틸설폭사이드, N-메틸피롤리돈, 디에틸렌글리콜, 에틸렌글리콜, 디프로필렌글리콜, 프로필렌글리콜, 탄산에틸렌, 설포란, 시클로헵타논, 및 2-헵타논으로 이루어지는 군으로부터 선택되는 1종 이상이 바람직하다.
유기 용매를 2종 이상 사용하는 예로는 PGMEA와 PGME의 병용, 및 PGMEA와 PC의 병용을 들 수 있다.
또한, 약액 중의 유기 용매의 종류 및 함유량은 가스 크로마토 그래프 질량 분석기를 사용하여 측정 할 수 있다.
약액은 유기 용매 이외에 불순물을 함유할 경우가 있다.
불순물로서는 금속 불순물을 들 수 있다.
금속 불순물이란 금속 이온, 및 고체(금속 단체, 입자 상의 금속 함유 화합물 등)로서 약액 중에 포함되는 금속 불순물을 의도한다.
금속 불순물에 포함되는 금속 원소의 종류는 특별히 제한되지 않고, 예를 들면 Na(나트륨), K(칼륨), Ca(칼슘), Fe(철), Cu(구리), Mg(마그네슘), Mn(망간), Li(리튬), Al(알루미늄), Cr(크롬), Ni(니켈), Ti(티탄) 및 Zn(지르코늄)을 들 수 있다.
금속 불순물은, 약액에 포함되는 각 성분(원료)에 불가피하게 포함되어 있는 성분이어도 되고, 약액의 제조, 저장, 및/또는 이송시에 불가피적으로 포함되는 성분이어도 되고, 의도적으로 첨가해도 된다.
약액은 물을 포함하여도 된다. 물의 종류는 특별히 제한되지 않고, 예를 들면 증류수, 이온 교환수 및 순수를 사용할 수 있다.
물은 약액 중에 첨가되어도 되고, 약액의 제조 공정에 있어서 불가피하게 약액 중에 혼합되는 것이어도 된다. 약액의 제조 공정에 있어서 불가피하게 혼합되는 경우로서는 예를 들어 물이 약액의 제조에 사용하는 원료(예를 들면, 유기 용매)에 포함되는 경우 및 약액의 제조 공정에서 혼합하는 경우(예를 들면, 오염 ) 등을 들 수 있다.
약액 중의 물의 함유량은 특별히 제한되지 않지만, 일반적으로 약액의 총 질량에 대하여 2.0질량% 이하가 바람직하고, 1.0질량% 이하가 보다 바람직하고, 0.5질량% 미만이 더욱 바람직하다.
약액 중의 물의 함유량이 1.0질량% 이하이면반도체 칩의 제조 수율이 보다 우수하다.
또한, 하한은 특별히 제한되지 않지만 0.01질량% 정도의 경우가 많다. 제조상, 물의 함유량을 상기 수치 이하로 하는 것이 어렵다.
상기 약액을 준비하는 방법은 특별히 제한되지 않고, 예를 들면, 유기 용매를 구입 등에 의해 조달하거나, 원료를 반응시켜 유기 용매를 얻는 등의 방법을 들 수 있다. 또한, 약액으로서는, 이미 설명한 불순물의 함유량이 적은 것(예를 들어, 유기 용매의 함유량이 99질량% 이상인 것)을 준비하는 것이 바람직하다. 이러한 유기 용매의 시판품으로서는 예를 들면 「고순도 등급품」이라고 불리는 것을 들 수 있다.
또한, 필요에 따라 약액에 대하여 정제 처리를 실시해도 된다.
정제 방법으로서는 예를 들어 증류 및 여과를 들 수 있다.
약액은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 금속 원소의 합계 함유량이 약액의 총 질량에 대하여 10 질량 ppb 이하인 것이 바람직하다.
10 질량 ppb를 초과하면, 표면 검사 장치(SurfScanSP5; KLA 주식회사제) 및 ICP-MS 등에 의한 질량 ppb 등의 지표에서는 상관이 취해지지 않아 결정 계수가 작아진다.
약액 중의 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn의 함유량은, NexION350(상품명, PerkinElmer사제)를 사용하여 ICP-MS( inductively coupled plasma mass spectrometry) 방법으로 측정할 수 있습니다. ICP-MS법에 의한 구체적인 측정 조건은 다음과 같다. 또한, 농도 기지의 표준액에 대한 피크 강도로 검출량을 측정하고, 금속 성분의 질량으로 환산하고, 측정에 사용한 처리액 중의 금속 성분의 함유량(총 메탈 함유량)을 산출한다.
금속 성분의 함유량은 통상의 ICP-MS법에 의해 측정하였다. 구체적으로는 금속 성분의 분석에 사용하는 소프트웨어로서 ICP-MS용의 소프트웨어를 이용한다.
상기 0.01 질량 ppq의 측정에 대해 설명한다.
우선, 약 1mL의 약액을 직경 약 300 mm (12 인치)의 실리콘 웨이퍼 상에 액적으로서 도포한다. 그 후, 무회전으로 건조시킨다. 표면 검사 장치(SurfScanSP7; KLA 주식회사제)로 당해 실리콘 웨이퍼의 결함 위치를 측정한 후, FIB-SEM(서모피셔사제 HELIOS G4-EXL)로 표면 검사 장치(SurfScanSP7)에서 취득한 좌표 파일에 기초하여 결함 부위 부근의 단면을 잘라낸다.
FIB(Focused Ion Beam)-SEM(Scanning Electron Microscope) 또는 TEM(Transmission Electron Microscope)로 단면 에칭을 수행하면서 EDX에 의해 3차원 형상 정보와 원소 정보를 취득한다. 이들을 모든 결함에 대해 수행한다.
예를 들어, 1mL(밀도 1g/㎤)의 약액으로 Fe 13.5nm(표면 검사 장치(SurfScanSP7)의 한계)의 구체 형상의 미립자가 하나 발견된 경우를 생각하면, 원리적으로는 질량비 환산으로 대략 0.01 질량 ppq를 측정할 수 있게 된다.
[약액의 용도]
유기 용매를 주성분으로 하는 약액은, 예를 들어 반도체 디바이스의 제조 방법 및 반도체 제조 장치의 세정 방법에 사용된다. 구체적으로 약액은 예를 들면 현상액, 린스액, 프리웨트액에 사용된다. 이외에, 약액은 에지 린스액, 백린스액, 레지스트 박리액 및 희석용 시너에 사용된다.
프리웨트액은 레지스트 막을 형성하기 전에 반도체 기판 상에 공급하는 것이며, 레지스트 액을 반도체 기판 상에 피기 쉽게 하여 보다 소량의 레지스트 액의 공급으로 균일한 레지스트 막을 형성하기 위해 사용되는 것이다.
상술한 에지 린스액이란, 린스액에 있어서, 반도체 기판의 주연부에 공급하여 반도체 기판의 주연부의 레지스트 막의 제거에 이용되는 린스액을 말한다.
예를 들어, 현상액에는 아세트산부틸(nBA)가 사용된다. 아세트산부틸(nBA)은 현상액 이외에 배관의 세정 또는 반도체 웨이퍼의 세정액 등의 용도에 사용할 수도 있다.
또한, 린스액에는 4-메틸-2-펜탄올(MIBC)이 사용된다. 세정액에는, 프로필렌글리콜모노메틸에테르아세테이트(PGMEA), 이소프로판올(IPA)이 사용된다. 프리웨트액에는 시클로헥사논(CHN)이 사용된다.
[레지스트 조성물]
레지스트 조성물의 종류는 특별히 제한되지 않고, 공지된 레지스트 조성물을 사용할 수 있다.
예를 들면 레지스트 조성물로서, 산의 작용에 의해 극성기를 생성하는 기(이하, 단순히 「산분해성기」라고도 한다.)를 갖는 수지(이하, 단순히 「산분해성 수지」라고도 한다.), 광산발생제 및 용매를 포함하는 레지스트 조성물(이하, 「제1 레지스트 조성물」이라고도 한다.)을 사용할 수 있다.
산분해성기는, 산의 작용에 의해 탈리하는 탈리기로 극성기가 보호된 구조를 갖는 것이 바람직하다. 즉, 산분해성 수지는 산분해성기를 갖는 반복 단위를 갖는다. 이 반복 단위를 갖는 수지는 산의 작용에 의해 극성이 커져 알칼리 현상액에 대한 용해도가 높아지고, 유기 용제에 대한 용해도가 감소한다.
극성기로서는 알칼리 가용성기가 바람직하고, 예를 들면, 카르복실기, 페놀성 수산기, 불소화 알코올기, 술폰산기, 인산기, 술폰아미드기, 술포닐이미드기, (알킬술포닐)(알킬카르보닐)메틸렌기, (알킬술포닐)(알킬카르보닐)이미드기, 비스(알킬카르보닐)메틸렌기, 비스(알킬카르보닐)이미드기, 비스(알킬술포닐)메틸렌기, 비스(알킬술포닐)이미드기, 트리스(알킬카르보닐)메틸렌기, 트리스(알킬술포닐)메틸렌기 등의 산성기 및 알코올성 수산기 등을 들 수 있다.
산분해성 수지는 산분해성기를 갖는 반복 단위 이외의 다른 반복 단위(예를 들어, 산기를 갖는 반복 단위, 락톤기, 술톤기, 또는 카보네이트기를 갖는 반복 단위, 불소 원자 또는 아이오딘 원자를 갖는 반복 단위 등)을 포함하고 있어도 된다.
산분해성 수지로서는 공지의 산분해성 수지를 사용할 수 있다.
광산발생제는 공지된 것이라면 특별히 제한되지 않지만 활성광선 또는 방사선, 바람직하게는 전자선 또는 극자외선의 조사에 의해 유기산, 예를 들면, 술폰산, 비스(알킬술포닐)이미드 및 트리스(알킬술포닐)메티드 중 적어도 어느 하나를 생성하는 화합물이 바람직하다.
용매로서는 물 및 유기 용매를 들 수 있다. 유기 용매의 종류는 특별히 제한되지 않고 알코올계 용매, 에테르계 용매, 에스테르계 용매, 케톤계 용매 및 탄화수소계 용매를 들 수 있다.
상기 제1 레지스트 조성물은 산분해성 수지, 광산발생제 및 용매 이외의 다른 재료를 포함하고 있어도 된다.
예를 들어, 제1 레지스트 조성물은 산 확산 조절제를 포함할 수 있다. 산 확산 조절제로서는 염기성 화합물 및 프로톤 억셉터성 관능기를 갖고, 또한 활성광선 또는 방사선의 조사에 의해 분해하여 프로톤 억셉터성이 저하, 소실하거나 또는 프로톤 억셉터성으로부터 산성으로 변화된 화합물을 발생하는 화합물을 들 수 있다.
또한, 제1 레지스트 조성물은, 소수성 수지, 계면활성제, 용해 저지 화합물, 염료, 가소제, 광증감제, 광흡수제 및 현상액에 대한 용해성을 촉진시키는 화합물로 이루어지는 군으로부터 선택되는 화합물을 포함하고 있어도 된다.
레지스트 조성물로서는 가교성기를 갖는 가교제와, 가교성기와 반응하는 반응성기를 갖는 화합물과, 용매를 포함하는 레지스트 조성물(이하, 「제2 레지스트 조성물」이라고도 한다.)이어도 된다.
가교성기와 반응성기의 조합은 특별히 제한되지 않고, 공지의 조합이 채용된다.
또한, 가교성기 또는 반응성기는 보호기로 보호되어 있어도 되고, 예를 들어, 제2 레지스트 조성물에 광산발생제가 더 포함되고, 광산발생제로부터 발생하는 산에 의해 상기 보호기가 탈리하는 양태여도 된다. 또한, 광산발생제에 의해 발생하는 산에 의해 가교제와 수지가 축합 반응을 일으켜 가교 구조가 형성되는 양태여도 된다.
또한, 상기 제2 레지스트 조성물에 있어서는, 가교성기를 갖는 가교제와, 가교성기와 반응하는 반응성기를 갖는 화합물의 2종이 포함되는 양태에 대해서 설명했지만, 1개의 화합물이 가교성기 및 반응성기를 포함하는 양태여도 된다.
레지스트 조성물로서는, 주쇄 절단형의 중합체 및 용매를 포함하는 레지스트 조성물이어도 된다.
중합체가 「주쇄 절단형이다」란, 중합체에 대하여 전리 방사선, 자외선 등의 광을 조사한 경우에 중합체의 주쇄가 절단되는 성질을 갖는 것을 의미한다.
주쇄 절단형의 중합체로서는 아크릴계 주쇄 절단형 레지스트를 들 수 있고, 폴리메틸메타크릴레이트(PMMA), α-클로로메타크릴레이트와 α-메틸스티렌의 공중합체인 ZEP(일본제온사제), 및 폴리 2,2,2-트리플루오로에틸α클로로아크릴레이트(EBR-9, 도레이사제)를 들 수 있다.
레지스트 조성물로서는 이른바 메탈 레지스트 조성물이어도 된다.
상기 메탈 레지스트 조성물로서는, 금속 탄소 결합 및/또는 금속 카르복실레이트 결합에 의해 유기 리간드를 갖는 금속 옥소-하이드록소 네트워크를 포함하는 코팅을 형성할 수 있는 감광성 조성물을 들 수 있다.
상기 금속 레지스트 조성물로서는 일본 특허 공개 공보 제2019-113855호에 기재된 조성물을 들 수 있고, 이들 내용은 본원 명세서에 원용된다.
레지스트 조성물은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 금속 원소의 합계 함유량이 레지스트 조성물의 총 질량에 대하여 10 질량 ppb 이하인 것이 바람직하다.
[실시예]
이하, 실시예에 기초하여 본 발명을 더욱 상세하게 설명한다. 이하의 실시예에 나타내는 재료, 사용량, 비율, 처리 내용 및 처리 순서 등은, 본 발명의 취지를 일탈하지 않는 한 적당히 변경할 수 있다. 따라서, 본 발명의 범위는 이하에 나타내는 실시예에 의해 한정적으로 해석되어서는 안된다.
<예 A>
[약액의 제조]
우선, 후술하는 예에서 사용하는 약액(PGMEA(프로필렌글리콜모노메틸에테르아세테이트))을 준비하였다. 구체적으로는, 우선 순도 99질량% 이상의 고순도 등급의 유기 용매 시약을 구입하였다. 그 후, 구입한 시약에 대하여, 이하의 필터를 적절히 조합한 여과 처리를 실시하여 약액을 조제하였다.
·IEX-PTFE (15nm): Entegris사제의 15nm IEX PTFE·PTEE(12nm):Entegris사제의 12nm PTFE
·UPE(3nm):Entegris사제의 3nm PE 필터
또한, 약액 중의 불순물량을 조정하기 위해서, 적절히 유기 용매 시약의 구입원을 변경하거나, 순도 등급을 변경하거나, 상술한 여과 처리 전에 증류 처리를 실시하거나 했다. Fe가 주된 실리콘 기판 상의 나노 미립자로 되도록 조건을 조정하였다.
트레이스 메탈은 미리 애질런트(agilent) 테크놀로지 주식회사제의 ICP-MS 장치 애질런트 8900을 사용하여 측정하였다.
이하, 실시예 1~13 및 비교예 1~4에 대해 설명한다. 또한 실시예 1~13 및 비교예 1~4의 결과는 하기 표 1에 나타낸다.
(실시예 1~13)
실시예 1~13에서는 약액을 직경 300mm의 실리콘 기판 상에, 입자가 표 중의 값이 되도록 조정하였다. 도포 현상 장치를 이용하여, 조정한 약액을 직경 300㎜의 실리콘 기판 상에 도포하였다.
약액이 도포된 실리콘 기판을, 실리콘 기판 전체가 수납될 수 있는 수납 용기에 수납하고, 표면 결함 측정부에 반송하였다.
표면 결함 측정부에는 표면 검사 장치(SurfScanSP7; KLA 주식회사제)를 사용하였다. 표면 검사 장치에 있어서 광학식 결함 검사에 의해 레이저광을 실리콘 기판의 표면에 입사하고 산란광을 측정함으로써, 실리콘 기판 상의 결함의 위치 및 사이즈를 측정하고, 결함의 위치 정보 및 결함의 사이즈에 관한 정보를 얻어 기억부에 기억했다.
여기서, 약액 도포에 사용한 실리콘 기판에 대해서는 미리 표면 검사 장치(SurfScanSP7)로 결함 위치 정보와 사이즈를 측정해 두고, 약액 도포 후의 이물의 개수로부터 약액 도포 전의 이물의 개수의 차분을 약액 유래의 이물로서 산출하였다.
다음으로, 표면 결함이 측정된 실리콘 기판을 분석부로 반송하였다. 분석부에는 레이저 절단 ICP 질량 분석(LA-ICP-MS) 장치를 사용하였다. 또한 실리콘 기판을 반송함에 있어서 실리콘 기판을, 실리콘 기판 전체가 수납할 수 있는 셀 내에 로딩하고, 셀 내에 캐리어 가스를 유입시켰다.
얻어진 결함의 위치 정보 및 결함의 사이즈의 정보(klarf 파일)에 기초하여, 레이저 절단 ICP 질량 분석 장치를 이용하여, 레이저 절단에 의한 결함의 원소 분석을 행하고, 레이저 절단한 소정의 위치에서 Fe가 검출될 수 있음을 확인하였다.
레이저 절단은, 실리콘 기판을 용기부 내에 수납한 상태, 및 캐리어 가스를 공급한 상태에서 행하였다. 레이저 절단에 의해 얻어진 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하였다. 레이저 절단에는 펨토초 레이저를 사용하였다.
캐리어 가스에는 아르곤 가스를 사용하였다. 캐리어 가스의 유량은 1.69×10-2Pa·m3/sec(10sccm)로 하였다. 캐리어 가스 중의 수분량을 하기 표 1에 나타낸다.
반도체 기판을 수납하는 수납 용기로서는 FOUP (Front Opening Unified Pod)를 사용했다.
또한, 절단 전에, 클리닝 가스를 1.69×10-1Pa·m3/sec(100sccm(standard cubic centimeter per minute))로 셀 내에 1분 흐르게 함으로써 사전 클리닝을 행하였다.
(비교예 1~4)
비교예 1~4는 표면 검사 장치(SurfScanSP7; KLA 주식회사제)를 이용하여 레이저를 실리콘 기판의 표면에 입사하고 산란광을 측정함으로써 실리콘 기판 상의 결함의 위치 및 사이즈를 측정하고, 결함의 위치 정보 및 결함의 사이즈에 관한 정보를 얻어 기억부에 기억하였다.
다음으로, 비교예 1~4는 얻어진 결함의 위치 정보 및 결함의 사이즈의 정보에 기초하여, 결함 검토 장치(SEMVision G6(Applied Materials사 제조))를 이용하여, 약액을 도포한 후의 실리콘 기판 상의 결함의 정성적 원소 분석을 시도했다. 비교예 1~4의 약액을 도포한 후의 실리콘 기판 상의 결함의 정성적 원소 분석은, SEM-EDS(Scanning Electron Microscope-Energy Dispersive X-ray Spectroscopy)를 이용한 것이다. SEM-EDS는 진공하에서 수행되기 때문에 캐리어 가스를 사용하지 않는다. 이 때문에, 비교예 1~4에 대해서는 하기 표 1의 「캐리어 가스의 수분량」의 란에 「-」라고 표기하였다.
또한, 실시예 1~13 및 비교예 1~4에 있어서 약액 도포 후에 표면 검사 장치(SurfScanSP7)를 이용하여 결함 위치 정보와 사이즈를 측정하였다. 그 결과를, 「약액 도포 후의 20nm 이하 기판상 NP(SP7)」의 란에 나타낸다.
실시예 1~13 및 비교예 1~4에 있어서, 약액의 결함의 측정 후에, 표면 검사 장치(SurfScanSP7)를 이용하여 결함 위치 정보와 사이즈를 측정하였다. 그 결과를, 「분석 후의 20nm 이하 기판상 NP(SP7)」의 란에 나타낸다.
또한, 하기 표 1의 「20nm 이하의 NP에 있어서의 Fe의 검출수」는 실시예 1~13 및 비교예 1~4의 약액의 결함의 측정 결과를 나타낸다. 또한, 하기 표 1에서는 나노 립자를 「NP」라고 표기하였다.
Figure pat00001
실시예 1~13에서는 20nm 이하의 미소한 나노 미립자 결함에 있어서도 Fe원소가 검출되어 있기 때문에, 제조한 약액의 미소한 금속 이물에 대해 검사할 수 있다는 것을 알게 되였다. 이에 대하여, 비교예 1~4에서는 20nm 이하의 Fe원소를 거의 검출할 수 없었다.
실시예 1~13은 결함 측정에서 표면 검사 장치(SurfScanSP7)와 동일한 정도의 결과를 얻었다.
또한 실시예 1~13에서는 LA-ICP-MS를 사용하였다. 이 때문에, 결함의 측정에 의해 실리콘 기판 상의 나노 미립자가 절단되어 소멸하기에, 결함의 측정 후에 나노 미립자는 감소했다.
비교예 1~4에서는 SEM-EDX 또는 SEM-EDS를 사용하였다. 이 때문에, 결함의 측정 후에 나노 미립자는 감소하지 않았다.
또한, 캐리어 가스의 수분량을 0.00001 체적 ppm 이상 0.1 체적 ppm 이하의 범위로 함으로써, 측정 중의 실리콘 기판 표면의 오염에 의한 나노 미립자의 부착이 억제되어 오염이 억제된다는 것이 확인되었다. 이상에 의해 본 발명의 유효성이 확인되었다.
<예 B>
[약액의 제조]
약액의 종류가 다른 것을 제외하고는 예 A의 약액과 동일한 것을 사용하였다. 액종으로서는, 웨이퍼 세정액(PGMEA(프로필렌글리콜모노메틸에테르아세테이트)), 프리웨트액(CHN(시클로헥사논)), 현상액(nBA(아세트산부틸)), 린스액(MIBC(4-메틸-2-펜탄올))을 준비했다.
또한, 트레이스 메탈은 어느 금속 원소도 10 질량 ppb 이하라는 것을 확인하였다.
이하, 실시예 15~18에 대해 설명한다. 또한, 실시예 15~18의 결과는 하기 표 2에 나타낸다.
(실시예 15~18)
실시예 15~18에서는 상기 실시예 1~13과 마찬가지로, 표면 검사 장치(SurfScanSP5; KLA 주식회사제)를 이용하여 광학식 결함 검사를 실시한 후, 유도 결합 플라즈마 질량 분석을 했다. 캐리어 가스의 수분량을 0.1 체적 ppm으로 하였다.
(약액 관리)
약액 도포시의 실리콘 기판 상의 금속 이물의 개수에 관한 관리 허용치를 20nm 미만의 금속 이물이 150[개/기판] 이하로 설정하였다.
관리 허용치를 만족하는 것을 하기 표 2의 허용 판정의 란에 「A」로 기재하고, 관리 허용치를 만족하지 않는 것을 하기 표 2의 허용 판정의 란에 「B」라고 기재하였다. 또한, 하기 표 2에서는 나노 미립자를 「NP」라고 표기하였다.
상기 관리 허용치는 상기 약액의 제조에 있어서의 LA-ICPMS를 이용한 20nm 미만의 금속 이물의 개수를 과거의 제조 실적의 평균 +σ를 계산함으로써 산출하였다.
이러한 관리 방법을 이용함으로써, 실리콘 기판 상에 도포할 목적으로 사용되는 웨이퍼 세정액, 프리웨트액, 현상액 및 린스액 등의 각종 약액에 있어서, 20㎚ 이하의 패턴을 형성하기 위한 첨단 프로세스에 있어서 결정적인 미립자가 되는 20nm 미만의 금속 이물, 즉 나노 미립자를 관리할 수 있다는 것을 알았다.
또한, 각종 금속 원소 중 어느 것이 10 질량 ppb보다 많이 포함되어 있는 약액에 대해서도 관리 방법은 유효하지만, 20nm 미만의 초미소 이물이 지배적인 이물이 되는 것은 10 질량 ppb 이하의 순도 범위이기 때문에, 이러한 약액은 종래 기술에서는 관리할 수 없기 때문에, 상술한 관리 방법이 보다 유효한 관리 방법이었다.
(장치 제조)
상기 관리 허용치 이하라는 것이 확인된 약액을 사용하여, 이하에 나타내는 리소그래피 공정을 행했다. 리소그래피 공정 후에 결함의 개수를 측정하였다. 분명히, 허용 범위 내에 있다고 확인된 약액을 사용한 경우, 리소그래피 공정 후의 결함의 개수가 적다는 것이 확인되었다.
리소그래피 공정 후의 결함수는, 도쿄 일렉트론 주식회사제 에칭 장치 TactrasVigus를 이용하여 산소 플라즈마에 의해 리소그래피 후의 레지스트를 애싱하고, 그 후에 표면 검사 장치(SurfScanSP5; KLA 주식회사제)를 이용하여 광학식 결함 검사를 행함으로써 결함의 개수를 측정하였다. 그 결과를, 하기 표 2의 「리소그래피 후 NP수(≤20nm)」의 란에 나타낸다. 또한, 하기 표 2에 있어서 ≤20nm는 나노 미립자의 사이즈가 20nm 이하인 것을 나타낸다.
(리소그래피 공정)
우선, 직경 약 300mm(12인치)의 실리콘 기판에 대하여, 시클로헥사논(CHN)을 이용하여 프리웨트를 행하였다. 이어서, 레지스트 수지 조성물을 프리 웨트가 끝난 실리콘 기판 상에 회전 도포했다. 그 후, 핫 플레이트상에서 온도 150℃에서 90초간 가열 건조를 행하여 90nm의 두께의 레지스트 막을 형성하였다.
이 레지스트 막에 대하여, 축소 투영 노광 및 현상 후에 형성되는 패턴의 라인 폭이 45nm, 스페이스 폭이 45nm가 되는 라인 앤드 스페이스 패턴을 갖는 마스크를 통해, ArF 엑시머 레이저 스캐너(ASML제, XT:1700i, 파장 193nm)를 사용하여 NA=1.20, Dipole(oσ/iσ)=0.981/0.859, Y편광의 노광 조건으로 패턴을 노광했다. 조사 후에 온도 120℃에서 60초간 베이킹하였다. 그 후, 현상 및 린스하고, 온도 110℃에서 60초 베이킹하여 라인 폭이 45nm, 스페이스 폭이 45nm인 레지스트 패턴을 형성할 수 있었다.
레지스트 수지 조성물에는, 이하에 기술하는 것을 사용했다.
(레지스트 수지 조성물)
레지스트 수지 조성물에 대해 설명한다. 레지스트 수지 조성물은 이하의 각 성분을 혼합하여 얻었다.
산분해성 수지(하기 식으로 표시되는 수지(중량 평균 분자량(Mw) 7500): 각 반복 단위에 기재되는 수치는 몰%를 의미한다.): 100질량부
[화1]
Figure pat00002
하기에 나타내는 광산발생제: 8질량부
[화2]
Figure pat00003
하기에 나타내는 퀀처: 5질량부(질량비는 좌측부터 순서대로 0.1:0.3:0.3:0.2로 하였다.). 또한, 하기의 퀀처 중, 폴리머 타입의 것은 중량 평균 분자량(Mw)이 5000이다. 또한, 각 반복 단위에 기재된 수치는 몰비를 의미한다.
[화3]
Figure pat00004
하기에 나타내는 소수성 수지: 4질량부(질량비는 (1):(2)=0.5:0.5로 하였다.).
또한, 하기의 소수성 수지 중, 식(1)의 소수성 수지는, 중량 평균 분자량(Mw)은 7000이고, 식(2)의 소수성 수지의 중량 평균 분자량(Mw)은 8000이다. 또한, 각 소수성 수지에 있어서, 각 반복 단위에 기재되는 수치는 몰비를 의미한다.
[화4]
Figure pat00005
용제:
PGMEA(프로필렌글리콜모노메틸에테르아세테이트): 3질량부
시클로헥사논: 600질량부
γ-BL(γ-부티로락톤): 100질량부
Figure pat00006
<예 C>
[레지스트 조성물의 제조]
레지스트 조성물에, 상기 예 B에 기술한 레지스트 조성물을 사용하였다. 레지스트 조성물에 여과 처리를 실시하여 정제하였다.
그 후, 갤런 병에 충전하고 도포 현상 장치 LITHIUS PRO(등록 상표)-Z(도쿄 일렉트론 주식회사제)에 접속하였다. 또한, 직경 약 300mm(12인치)의 실리콘 기판에 대하여 시클로헥사논(CHN)을 이용하여 프리웨트를 행하였다. 이어서, 레지스트 수지 조성물을 프리 웨트가 끝난 실리콘 기판 상에 회전 도포했다. 그 후, 핫 플레이트상에서 온도 150℃에서 90초간 가열 건조를 행하여, 45nm 두께의 레지스트 막을 형성하였다. Fe가 주된 실리콘 기판 상의 나노 미립자가 되도록 여과 조건을 조정하였다. 트레이스 메탈은 미리 애질런트 테크놀로지사제의 ICP-MS 장치 애질런트 8900에서 측정하였다.
이하, 실시예 20~32 및 비교예 20~23에 대해 설명한다. 또한, 실시예 20~32 및 비교예 20~23의 결과는 하기 표 3에 나타낸다.
실시예 20~32에서는, 레지스트 조성물을, 직경 300mm의 실리콘 기판 상에, 입자가 표 중의 값이 되도록 조정하였다. 도포 현상 장치를 사용하여, 조정된 레지스트 조성물을 직경 300mm의 실리콘 기판 상에 도포하였다.
레지스트 조성물이 도포된 실리콘 기판을, 실리콘 기판 전체가 수납될 수 있는 수납 용기에 수납하고, 표면 결함 측정부에 반송하였다.
표면 결함 측정부에는 표면 검사 장치(SurfScanSP7; KLA 주식회사제)를 사용하였다. 표면 검사 장치에 있어서 광학식 결함 검사에 의해 레이저광을 실리콘 기판의 표면에 입사하고 산란광을 측정함으로써, 실리콘 기판 상의 결함의 위치 및 사이즈를 측정하고, 결함의 위치 정보 및 결함의 사이즈에 관한 정보를 얻어 기억부에 기억했다.
여기서, 레지스트 조성물 도포에 사용한 실리콘 기판에 대해서는 미리 표면 검사 장치(SurfScanSP7)로 결함 위치 정보와 사이즈를 측정해 두고, 레지스트 조성물 도포 후의 이물 개수로부터 레지스트 조성물 도포 전의 이물 개수의 차분을 레지스트 조성물로부터의 이물로서 계산하였다.
다음으로, 표면 결함 측정된 실리콘 기판을 분석부로 반송하였다. 분석부에는 레이저 절단 ICP 질량 분석(LA-ICP-MS) 장치를 사용하였다. 또한, 실리콘 기판을 반송함에 있어서 실리콘 기판을, 실리콘 기판 전체가 수납될 수 있는 셀 내에 로딩하고, 셀 내에 캐리어 가스를 유입시켰다.
얻어진 결함의 위치 정보 및 결함의 사이즈의 정보(klarf 파일)에 기초하여, 레이저 절단 ICP 질량 분석 장치를 이용하여, 레이저 절단에 의한 결함의 원소 분석을 행하고, 레이저 절단한 소정의 위치에서 Fe가 검출될 수 있음을 확인하였다.
레이저 절단은, 실리콘 기판을 용기부 내에 수납한 상태, 및 캐리어 가스를 공급한 상태에서 행하였다. 레이저 절단에 의해 얻어진 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하였다. 레이저 절단에는 펨토초 레이저를 사용하였다.
캐리어 가스에는 아르곤 가스를 사용하였다. 캐리어 가스의 유량은 1.69×10-2Pa·m3/sec(10sccm)로 하였다. 캐리어 가스 중의 수분량을 하기 표 3에 나타낸다.
반도체 기판을 수납하는 수납 용기에 FOUP (Front Opening Unified Pod)를 사용했다.
또한, 절단 전에, 클리닝 가스를 1.69×10-1Pa·m3/sec(100sccm(standard cubic centimeter per minute))로 셀 내에 1분 흐르게 함으로써 사전 클리닝을 행하였다.
(비교예 20~23)
비교예 20~23은 표면 검사 장치(SurfScanSP7; KLA 주식회사제)를 이용하여 레이저를 실리콘 기판의 표면에 입사하고 산란광을 측정함으로써 실리콘 기판 상의 결함의 위치 및 사이즈를 측정하고, 결함의 위치 정보 및 결함의 사이즈에 관한 정보를 얻어 기억부에 기억하였다.
다음으로, 비교예 20~23은, 얻어진 결함의 위치 정보 및 결함의 사이즈의 정보에 기초하여, 결함 검토 장치(SEMVision G6(Applied Materials사 제조))를 이용하여, 레지스트 조성물을 도포한 후의 실리콘 기판 상의 결함의 정성적 원소 분석을 시도했다. 비교예 20~23의 레지스트 조성물을 도포한 후의 실리콘 기판 상의 결함의 정성적 원소 분석은 SEM-EDS(Scanning Electron Microscope-Energy Dispersive X-ray Spectroscopy)를 이용한 것이다. SEM-EDS는 전자선에 의해 진공하에서 수행되기 때문에 캐리어 가스를 사용하지 않는다. 이 때문에 비교예 20~23에 대해서는 하기 표 3의 「캐리어 가스의 수분량」의 란에 「-」라고 기재하였다. 또한, 하기 표 3에서는 나노 미립자를 「NP」라고 표기하였다.
또한, 실시예 20~32 및 비교예 20~23에 있어서, 레지스트 조성물 도포 후에 표면 검사 장치(SurfScanSP7)를 이용하여 결함 위치 정보와 사이즈를 측정하였다. 그 결과를 「레지스트 조성물 도포 후의 20nm 이하 기판상 NP(SP7)」의 란에 나타낸다.
실시예 20~32 및 비교예 20~23에 있어서, 레지스트 조성물의 결함의 측정 후에 표면 검사 장치(SurfScanSP7)를 이용하여 결함 위치 정보와 사이즈를 측정하였다. 그 결과를 「분석 후의 20nm 이하 기판상 NP(SP7)」의 란에 나타낸다.
또한, 하기 표 3의 「20nm 이하의 NP에 있어서의 Fe의 검출수」는 실시예 20~32 및 비교예 20~23의 레지스트 조성물의 결함의 측정 결과를 나타낸다. 또한, 하기 표 3에서는 나노 미립자를 「NP」라고 표기하였다.
Figure pat00007
실시예 20~32에서는 20nm 이하의 미소한 나노 미립자 결함에 있어서도 Fe 원소가 검출되어 있기 때문에, 제조한 약액의 미소한 금속 이물에 대해 검사할 수 있다는 것을 알게 되였다. 한편, 비교예 20~23에서는 20nm 이하의 Fe 원소를 거의 검출 할 수 없었다.
또한 실시예 20~32는 결함 측정에서 표면 검사 장치(SurfScanSP7)와 동일한 정도의 결과를 얻었다.
또, 실시예 20~32에서는 LA-ICPMS를 사용하였다. 이 때문에, 결함의 측정에 의해 실리콘 기판 상의 나노 미립자가 절단되어 소멸하기에, 결함의 측정 후에 나노 미립자는 감소했다.
비교예 20~23에서는, SEM-EDX 또는 SEM-EDS를 사용하였다. 이 때문에, 결함의 측정 후에 나노 미립자는 감소하지 않았다.
또한, 캐리어 가스의 수분량을 0.00001 체적 ppm 이상 0.1 체적 ppm 이하의 범위로 함으로써, 측정 중의 실리콘 기판 표면의 오염에 의한 나노 미립자의 부착이 억제되어 오염이 억제되는 것이 확인되었다. 이상에 의해 본 발명의 유효성이 확인되었다.
<예 D>
[레지스트 조성물의 제조]
레지스트 조성물에, 상기 예 B에 나타내는 레지스트 조성물을 사용하였다.
또한, 트레이스 메탈은 어느 금속 원소도 10 질량 ppb 이하라는 것을 확인하였다.
이하, 실시예 33에 대해 설명한다. 또한, 실시예 33의 결과는 하기 표 4에 나타낸다.
(실시예 33)
실시예 33에서는 상술한 실시예 20~32와 마찬가지로 표면 검사 장치(SurfScanSP5; KLA 주식회사제)를 이용하여 광학식 결함 검사를 실시한 후, 유도 결합 플라즈마 질량 분석을 했다. 캐리어 가스의 수분량을 0.1 체적 ppm으로 하였다.
(레지스트 조성물 관리)
레지스트 조성물 도포시의 실리콘 기판 상의 금속 이물의 개수에 관한 관리 허용치를 20nm 미만의 금속 이물이 500[개/기판] 이하라고 설정하였다.
관리 허용치를 만족하는 것을 하기 표 4의 허용 판정의 란에 「A」라고 기재하고, 관리 허용치를 만족하지 않는 것을 하기 표 4의 허용 판정의 란에 「B」라고 기재하였다. 또한, 하기 표 4에서는 나노 미립자를 「NP」라고 표기하였다.
상기 관리 허용치는 상기 레지스트 조성물의 제조에 있어서의 LA-ICPMS를 이용한 20nm 미만의 금속 이물의 개수를 과거의 제조 실적의 평균 +σ를 계산함으로써 산출하였다.
이러한 관리 방법을 이용함으로써, 레지스트 조성물에 있어서 20nm 이하의 패턴을 형성하기 위한 첨단 공정에서 중요한 미립자가 되는 20nm 미만의 금속 이물을 관리할 수 있다는 것을 알 수 있었다.
또한, 각종 금속 원소 중 어느 것이 10 질량 ppb보다 많이 포함되어 있는 레지스트 조성물에 대해서도 관리 방법은 유효하지만, 20nm 미만의 초미소 이물이 지배적인 이물이 되는 것은 10 질량 ppb 이하의 순도 범위이기 때문에, 이러한 레지스트 조성물은 종래 기술에서는 관리할 수 없기 때문에, 상술한 관리 방법이 보다 유효한 관리 방법이었다.
(디바이스 제조)
상기 관리 허용치 이하인 것을 확인한 레지스트 조성물을 사용하여, 상기 예 B와 동일한 리소그래피 공정을 행하였다. 리소그래피 공정 후에 결함의 개수를 측정하였다. 명백하게, 허용 범위 내에 있음이 확인된 레지스트 조성물을 사용한 경우, 리소그래피 공정 후의 결함수가 적은 것이 확인되었다.
리소그래피 공정 후의 결함수는, 도쿄 일렉트론 주식회사제 에칭 장치 TactrasVigus를 이용하여 산소 플라즈마에 의한 리소그래피 후의 레지스트를 애싱하고, 그 후에 표면 검사 장치(SurfScanSP5; KLA 주식회사제)를 이용하여 광학식 결함 검사를 행함으로써 결함수를 측정하였다. 그 결과를 하기 표 4의 「리소그래피 후 NP수」란에 나타낸다. 또, 하기 표 4에 있어서 ≤20nm는 나노 미립자의 사이즈가 20nm 이하라는 것을 나타낸다.
Figure pat00008
<예 E>
[약액의 제조]
우선, 후술하는 예에서 사용하는 세정 약액(PGMEA(프로필렌글리콜모노메틸에테르아세테이트))을 준비하였다. 구체적으로는, 우선 순도 99질량% 이상의 고순도 등급의 유기 용매 시약을 구입하였다. 그 후, 구입한 시약에 대하여, 이하의 필터를 적절히 조합한 여과 처리를 실시하여 세정 약액을 조제하였다.
·IEX-PTFE (15nm): Entegris사제의 15nm IEX PTFE·PTEE (12nm): Entegris사제의 12nm PTFE
·UPE(3nm): Entegris사제의 3nm PE 필터
또한, 후술하는 세정 약액 중의 불순물량을 조정하기 위해, 적절히 유기 용매 시약의 구입원을 변경하거나 순도 등급을 변경하거나 상술한 여과 처리 전에 증류 처리를 실시하거나 했다. 20nm 이하의 금속이 주된 실리콘 기판 상의 나노 미립자가 되도록 조건을 조정하였다.
(세정 약액의 성능 확인)
상기 세정 약액을 갤런 병에 충전하고, 충분히 세정을 행한 도포 현상 장치 LITHIUS PRO(등록상표)-Z(도쿄 일렉트론 주식회사제)에 접속하였다. 접속 후, 직경 약 300mm(12인치)의 실리콘 기판 상에 세정 약액을 도포하고, 실리콘 기판을 회수하였다.
회수한 실리콘 기판을 이하와 같이 하여 측정한 바, 실리콘 기판 상에서 20nm 이하의 금속 원소의 나노 미립자가 50개 검출되었다.
회수한 실리콘 기판을, 실리콘 기판 전체가 수납될 수 있는 수납 용기에 수납하고 표면 결함 측정부에 반송하였다.
표면 결함 측정부에는 표면 검사 장치(SurfScanSP5; KLA 주식회사제)를 사용하였다. 표면 검사 장치에 있어서 광학식 결함 검사에 의해 레이저광을 실리콘 기판의 표면에 입사하고 산란광을 측정함으로써, 실리콘 기판 상의 결함의 위치 및 사이즈를 측정하고, 결함의 위치 정보 및 결함의 사이즈에 관한 정보를 얻어 기억부에 기억했다.
다음으로, 표면 결함이 측정된 실리콘 기판을 분석부로 반송하였다. 분석부에는 레이저 절단 ICP 질량 분석 (LA-ICP-MS) 장치를 사용하였다. 또한, 실리콘 기판을 반송함에 있어서, 실리콘 기판을, 실리콘 기판 전체가 수납될 수 있는 셀 내에 로딩하고, 셀 내에 캐리어 가스를 유입시켰다.
얻어진 결함의 위치 정보 및 결함의 사이즈의 정보(klarf 파일)에 기초하여, 레이저 절단 ICP 질량 분석 장치를 이용하여, 레이저 절단에 의한 결함의 원소 분석을 행하였다.
레이저 절단은, 실리콘 기판을 용기부 내에 수납한 상태, 및 캐리어 가스를 공급한 상태에서 행하였다. 레이저 절단에 의해 얻어진 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하였다. 레이저 절단에는 펨토초 레이저를 사용하였다.
캐리어 가스에는 아르곤 가스를 사용하였다. 캐리어 가스의 유량은 1.69×10-2Pa·m3/sec(10sccm)로 하였다.
또한, 절단 전에 클리닝 가스를 1.69×10-1Pa·m3/sec(100sccm(standard cubic centimeter per minute))로 셀 내에 1분 흐르게 함으로써 사전 클리닝을 행하였다.
(반도체 제조 장치의 오염도의 확인 방법)
세정 약액을 갤런 병에 충전하고, 미세정의 도포 현상 장치 LITHIUS PRO(등록상표)-Z(도쿄 일렉트론 주식회사제)에 접속하였다. 접속 후, 직경 약 300mm(12인치)의 실리콘 기판 상에 세정 약액을 도포하였다.
이 때, 송액량 1L(1000mL) 흘릴 때마다 실리콘 기판 상에 10mL 도포하고, 실리콘 기판을 회수하고, 상술한 바와 같이 측정하여 금속 원소의 나노 미립자의 검출을 시도하였다. 또한, 갤런 병 중의 세정 약액이 없어진 때는, 새로운 세정 약액이 충전된 갤런 병을 이어 바꿨다. 각 송액량에서의 금속 원소의 나노 미립자의 검출수를 하기 표 5에 나타낸다.
Figure pat00009
표 5에 나타내는 바와 같이, 도포 현상 장치로의 송액량을 늘릴수록, 세정에 사용된 세정 약액 중의 금속 원소의 나노 미립자의 검출수가 감소하였다. 즉, 도포 현상 장치의 오염 상태가 양호해지는 것을 확인할 수 있었다.
10, 10a, 10b 분석 장치
12a 제1 반송실
12b 측정실
12c 제2 반송실
12d 분석실
12e 처리실
12g 도입부
12h 벽
13 수납 용기
14 반송 장치
14a 장착부
15 반송 암
16 반송 장치
16a 장착부
20 표면 결함 측정부
22, 32 스테이지
23 입사부
24 집광 렌즈
25, 26 수광부
27 집광 렌즈
28 연산부
29 기억부
30 분석부
33 용기부
34 광원부
35 집광 렌즈
36 분석 유닛
38 캐리어 가스 공급부
39 배관
40 클리닝 가스 공급부
41 유출부
42 제어부
44 플라즈마 토치
46 질량 분석부
46a 이온 렌즈부
46b 질량 분석계부
47 이온 렌즈
48 리플렉트론
49 검출기
50 반도체 기판
50a 표면
51 결함
51a 분석 시료
70 표면 결함 측정 장치
72 질량 분석 장치
C1, C2, C3 회전축
H 방향
La 레이저광
Ls 입사광
S10, S12, S14, S16, S18, S20 단계
S22, S23, S24, S26, S27, S28 단계
S30, S32, S34, S36, S38, S40 단계
S42, S43, S44, S46, S48, S50 단계
S52, S54, S56, S58, S60, S62 단계
S64, S66, S68 단계
V 높이 방향

Claims (31)

  1. 약액을 준비하는 공정 1X와,
    상기 약액을 반도체 기판 상에 도포하는 공정 2X와,
    상기 반도체 기판의 표면 상의 결함의 유무를 측정하고, 상기 반도체 기판의 표면 상의 상기 결함의 상기 반도체 기판 상의 위치 정보를 얻고, 상기 위치 정보에 기초하여 상기 반도체 기판의 표면 상의 상기 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3X를 포함하는, 약액의 검사 방법.
  2. 청구항 1에 있어서,
    상기 공정 3X에서 얻어진 상기 결함 중의 질량 분석 데이터로부터 상기 결함 중의 금속 원소의 유무를 판정하는 공정 4X를 갖는, 약액의 검사 방법.
  3. 청구항 2에 있어서,
    상기 공정 4X 후에, 상기 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 갖는, 약액의 검사 방법.
  4. 청구항 1에 있어서,
    상기 공정 3X에서 얻어진 상기 결함 중의 질량 분석 데이터에 기초하여, 상기 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 갖는, 약액의 검사 방법.
  5. 청구항 1 내지 청구항 4 중 어느 한 항에 있어서,
    상기 약액은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 상기 금속 원소의 합계 함유량이 상기 약액의 총 질량에 대하여 10 질량 ppb 이하인, 약액의 검사 방법.
  6. 청구항 1 내지 청구항 4 중 어느 한 항에 있어서,
    상기 캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인, 약액의 검사 방법.
  7. 청구항 1 내지 청구항 4 중 어느 한 항에 기재된 약액의 검사 방법을 포함하는, 약액의 제조 방법.
  8. 약액을 준비하는 공정 1X와,
    상기 약액을 반도체 기판 상에 도포하는 공정 2X와,
    상기 반도체 기판의 표면 상의 결함의 유무를 측정하고, 상기 반도체 기판의 표면 상의 상기 결함의 상기 반도체 기판 상의 위치 정보를 얻고, 상기 위치 정보에 기초하여 상기 반도체 기판의 표면 상의 상기 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3X와,
    상기 공정 3X에서 얻어진 상기 결함 중의 질량 분석 데이터로부터, 상기 결함 중의 금속 원소의 유무를 판정하는 공정 4X와, 상기 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 가지거나, 또는
    상기 공정 3X에서 얻어진 상기 결함 중의 질량 분석 데이터에 기초하여, 상기 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 갖고,
    상기 공정 5X에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6X를 포함하는, 약액의 관리 방법.
  9. 청구항 8에 있어서,
    상기 약액은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 상기 금속 원소의 합계 함유량이 상기 약액의 총 질량에 대하여 10 질량 ppb 이하인, 약액의 관리 방법.
  10. 청구항 8에 있어서,
    상기 캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인, 약액의 관리 방법.
  11. 약액을 준비하는 공정 1X와,
    상기 약액을 반도체 기판 상에 도포하는 공정 2X와,
    상기 반도체 기판의 표면 상의 결함의 유무를 측정하고, 상기 반도체 기판의 표면 상의 상기 결함의 상기 반도체 기판 상의 위치 정보를 얻고, 상기 위치 정보에 기초하여 상기 반도체 기판의 표면 상의 상기 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3X와,
    상기 공정 3X에서 얻어진 상기 결함 중의 질량 분석 데이터로부터, 상기 결함 중의 금속 원소의 유무를 판정하는 공정 4X와, 상기 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 가지거나, 또는
    상기 공정 3X에서 얻어진 상기 결함 중의 질량 분석 데이터에 기초하여, 상기 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5X를 갖고,
    상기 공정 5X에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6X와,
    상기 공정 6X에서 허용 범위 내로 판정된 약액을 이용하여, 반도체 디바이스의 제조를 행하는 공정 7X를 포함하는, 반도체 디바이스의 제조 방법.
  12. 청구항 11에 있어서,
    상기 약액이 프리웨트액, 현상액, 린스액 또는 세정액인, 반도체 디바이스의 제조 방법.
  13. 청구항 11에 있어서,
    상기 약액은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 상기 금속 원소의 합계 함유량이 상기 약액의 총 질량에 대하여 10 질량 ppb 이하인, 반도체 디바이스의 제조 방법.
  14. 청구항 11 내지 청구항 13 중 어느 한 항에 있어서,
    상기 캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인, 반도체 디바이스의 제조 방법.
  15. 레지스트 조성물을 준비하는 공정 1Y와,
    상기 레지스트 조성물을 반도체 기판 상에 도포하는 공정 2Y와,
    상기 레지스트 조성물의 도막 중의 결함의 유무를 측정하고, 상기 레지스트 조성물의 도막 중의 상기 결함의 상기 반도체 기판 상의 위치 정보를 얻고, 상기 위치 정보에 기초하여, 상기 반도체 기판의 표면 상의 상기 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3Y를 포함하는, 레지스트 조성물의 검사 방법.
  16. 청구항 15에 있어서,
    상기 공정 3Y에서 얻어진 상기 결함 중의 질량 분석 데이터로부터 상기 결함 중의 금속 원소의 유무를 판정하는 공정 4Y를 갖는, 레지스트 조성물의 검사 방법.
  17. 청구항 16에 있어서,
    상기 공정 4Y 후에, 상기 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 갖는, 레지스트 조성물의 검사 방법.
  18. 청구항 15에 있어서,
    상기 공정 3Y에서 얻어진 상기 결함 중의 질량 분석 데이터에 기초하여, 상기 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 갖는, 레지스트 조성물의 검사 방법.
  19. 청구항 15 내지 청구항 18 중 어느 한 항에 있어서,
    상기 레지스트 조성물은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 상기 금속 원소의 합계 함유량이 상기 레지스트 조성물의 총 질량에 대하여 10 질량 ppb 이하인, 레지스트 조성물의 검사 방법.
  20. 청구항 15 내지 청구항 18 중 어느 한 항에 있어서,
    상기 캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인, 레지스트 조성물의 검사 방법.
  21. 청구항 15 내지 청구항 18 중 어느 한 항에 기재된 레지스트 조성물의 검사 방법을 포함하는, 레지스트 조성물의 제조 방법.
  22. 레지스트 조성물을 준비하는 공정 1Y와,
    상기 레지스트 조성물을 반도체 기판 상에 도포하는 공정 2Y와,
    상기 레지스트 조성물의 도막 중의 결함의 유무를 측정하고 상기 레지스트 조성물의 도막 중의 상기 결함의 상기 반도체 기판 상의 위치 정보를 얻고, 상기 위치 정보에 기초하여 상기 반도체 기판의 표면 상의 상기 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3Y와,
    상기 공정 3Y에서 얻어진 상기 결함 중의 질량 분석 데이터로부터, 상기 결함 중의 금속 원소의 유무를 판정하는 공정 4Y와, 상기 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 가지거나, 또는
    상기 공정 3Y에서 얻어진 상기 결함 중의 질량 분석 데이터에 기초하여, 상기 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 갖고,
    상기 공정 5Y에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6Y를 포함하는, 레지스트 조성물의 관리 방법.
  23. 청구항 22에 있어서,
    상기 레지스트 조성물은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 상기 금속 원소의 합계 함유량이 상기 레지스트 조성물의 총 질량에 대하여 10 질량 ppb 이하인, 레지스트 조성물의 관리 방법.
  24. 청구항 22에 있어서,
    상기 캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인, 레지스트 조성물의 관리 방법.
  25. 레지스트 조성물을 준비하는 공정 1Y와,
    상기 레지스트 조성물을 반도체 기판 상에 도포하는 공정 2Y와,
    상기 레지스트 조성물의 도막 중의 결함의 유무를 측정하고, 상기 레지스트 조성물의 도막 중의 상기 결함의 상기 반도체 기판 상의 위치 정보를 얻고, 상기 위치 정보에 기초하여, 상기 반도체 기판의 표면 상의 상기 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 3Y와,
    상기 공정 3Y에서 얻어진 상기 결함 중의 질량 분석 데이터로부터, 상기 결함 중의 금속 원소의 유무를 판정하는 공정 4Y와, 상기 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 가지거나, 또는
    상기 공정 3Y에서 얻어진 상기 결함 중의 질량 분석 데이터에 기초하여, 상기 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 5Y를 갖고,
    상기 공정 5Y에서 얻어지는 결함의 개수가 허용 범위 내인지의 여부를 판정하는 공정 6Y와,
    상기 공정 6Y에서 허용 범위 내로 판정된 레지스트 조성물을 이용하여, 반도체 디바이스의 제조를 행하는 공정 7Y를 포함하는, 반도체 디바이스의 제조 방법.
  26. 청구항 25에 있어서,
    상기 레지스트 조성물은 Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti 및 Zn으로 이루어진 군으로부터 선택되는 적어도 1종의 금속 원소를 포함하고, 상기 금속 원소의 합계 함유량이 레지스트 조성물의 총 질량에 대하여 10 질량 ppb 이하인, 반도체 디바이스의 제조 방법.
  27. 청구항 25에 있어서,
    상기 캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인, 반도체 디바이스의 제조 방법.
  28. 약액을 준비하는 공정 1Z와,
    상기 약액을 이용하여 반도체 제조 장치를 세정하는 공정 2Z와,
    상기 공정 2Z의 세정 후의 상기 약액을 반도체 기판 상에 도포하는 공정 3Z와,
    상기 반도체 기판의 표면 상의 결함의 유무를 측정하고, 상기 반도체 기판의 표면 상의 상기 결함의 상기 반도체 기판 상의 위치 정보를 얻고, 상기 위치 정보에 기초하여 상기 반도체 기판의 표면 상의 상기 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 4Z와,
    상기 공정 4Z에서 얻어진 상기 결함 중의 질량 분석 데이터로부터 상기 결함 중의 금속 원소의 유무를 판정하는 공정 5Z를 갖는, 반도체 제조 장치의 오염 상태 확인 방법.
  29. 청구항 28에 있어서,
    상기 금속 원소를 포함하는 결함의 개수를 측정하는 공정 6Z를 포함하는, 반도체 제조 장치의 오염 상태 확인 방법.
  30. 약액을 준비하는 공정 1Z와,
    상기 약액을 이용하여 반도체 제조 장치를 세정하는 공정 2Z와,
    상기 공정 2Z의 세정 후의 상기 약액을 반도체 기판 상에 도포하는 공정 3Z와,
    상기 반도체 기판의 표면 상의 결함의 유무를 측정하고, 상기 반도체 기판의 표면 상의 상기 결함의 상기 반도체 기판 상의 위치 정보를 얻고, 상기 위치 정보에 기초하여 상기 반도체 기판의 표면 상의 상기 결함에 대하여 레이저광을 조사하고, 조사에 의해 얻어지는 분석 시료를 캐리어 가스로 회수하여 유도 결합 플라즈마 질량 분석을 하는 공정 4Z와,
    상기 공정 4Z에서 얻어진 상기 결함 중의 질량 분석 데이터에 기초하여, 상기 결함 중에 금속 원소를 포함하는 결함의 개수를 측정하는 공정 6Z를 갖는, 반도체 제조 장치의 오염 상태 확인 방법.
  31. 청구항 28 내지 청구항 30 중 어느 한 항에 있어서,
    상기 캐리어 가스는 수분량이 0.00001 체적 ppm 이상 0.1 체적 ppm 이하인, 반도체 제조 장치의 오염 상태 확인 방법.
KR1020220111886A 2021-09-06 2022-09-05 약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치의 오염 상태 확인 방법 KR20230036050A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2021-144874 2021-09-06
JP2021144874 2021-09-06
JP2022133289A JP2023038168A (ja) 2021-09-06 2022-08-24 薬液の検査方法、薬液の製造方法、薬液の管理方法、半導体デバイスの製造方法、レジスト組成物の検査方法、レジスト組成物の製造方法、レジスト組成物の管理方法、及び半導体製造装置の汚染状態確認方法
JPJP-P-2022-133289 2022-08-24

Publications (1)

Publication Number Publication Date
KR20230036050A true KR20230036050A (ko) 2023-03-14

Family

ID=85349598

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220111886A KR20230036050A (ko) 2021-09-06 2022-09-05 약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치의 오염 상태 확인 방법

Country Status (4)

Country Link
US (1) US20230097195A1 (ko)
KR (1) KR20230036050A (ko)
CN (1) CN115753959A (ko)
TW (1) TW202311735A (ko)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019195020A (ja) 2018-05-01 2019-11-07 信越半導体株式会社 半導体シリコンウェーハの金属不純物除去方法
JP2020027920A (ja) 2018-08-17 2020-02-20 信越半導体株式会社 ウェーハの金属汚染の評価方法およびウェーハの製造工程の管理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019195020A (ja) 2018-05-01 2019-11-07 信越半導体株式会社 半導体シリコンウェーハの金属不純物除去方法
JP2020027920A (ja) 2018-08-17 2020-02-20 信越半導体株式会社 ウェーハの金属汚染の評価方法およびウェーハの製造工程の管理方法

Also Published As

Publication number Publication date
TW202311735A (zh) 2023-03-16
US20230097195A1 (en) 2023-03-30
CN115753959A (zh) 2023-03-07

Similar Documents

Publication Publication Date Title
US10267745B2 (en) Defect detection method and defect detection device and defect observation device provided with same
JPH07325041A (ja) 微小異物の位置決め方法、分析方法、これに用いる分析装置およびこれを用いた半導体素子もしくは液晶表示素子の製法
US20100019147A1 (en) Method and apparatus for charged particle beam inspection
US20030047682A1 (en) Detecting apparatus and device manufacturing method
US8319959B2 (en) System and method for quality assurance for reticles used in manufacturing of integrated circuits
JP2023038168A (ja) 薬液の検査方法、薬液の製造方法、薬液の管理方法、半導体デバイスの製造方法、レジスト組成物の検査方法、レジスト組成物の製造方法、レジスト組成物の管理方法、及び半導体製造装置の汚染状態確認方法
KR20230036050A (ko) 약액의 검사 방법, 약액의 제조 방법, 약액의 관리 방법, 반도체 디바이스의 제조 방법, 레지스트 조성물의 검사 방법, 레지스트 조성물의 제조 방법, 레지스트 조성물의 관리 방법, 및 반도체 제조 장치의 오염 상태 확인 방법
US8168451B2 (en) Optical inspection methods
US10871454B2 (en) Inspection method and apparatus
WO2023181882A1 (ja) 分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法
CN111670359B (zh) 分析方法、药液及药液的制造方法
WO2023189371A1 (ja) 薬液
Rastegar et al. Particle control challenges in process chemicals and ultra-pure water for sub-10nm technology nodes
US20230395366A1 (en) Defect removal device, defect removal method, pattern forming method, and method of manufacturing electronic device
US10578981B2 (en) Post-lithography defect inspection using an e-beam inspection tool
JPH03285339A (ja) 汚染検出方法とその装置並びに半導体製造ライン
Wang et al. Long-term critical dimension measurement performance for a new mask CD-SEM, S-9380M
JP7254091B2 (ja) 検査システム、リソグラフィ装置、及び検査方法
US20220244648A1 (en) Sensitivity improvement of optical and sem defection inspection
TW202230559A (zh) 分析裝置及分析方法
JP2014211572A (ja) フォトマスクの清浄度検査方法及びフォトマスク管理システム
CN115989561A (zh) 半导体器件的制造方法、半导体制造装置的清洗方法及清洗液的清洁度的测量方法
US20190258171A1 (en) Post-lithography defect inspection using an e-beam inspection tool
JP2006317466A (ja) 欠陥検査方法