KR20220124634A - Cleaning apparatus - Google Patents

Cleaning apparatus Download PDF

Info

Publication number
KR20220124634A
KR20220124634A KR1020220024367A KR20220024367A KR20220124634A KR 20220124634 A KR20220124634 A KR 20220124634A KR 1020220024367 A KR1020220024367 A KR 1020220024367A KR 20220024367 A KR20220024367 A KR 20220024367A KR 20220124634 A KR20220124634 A KR 20220124634A
Authority
KR
South Korea
Prior art keywords
spindle
chuck table
cleaning
case
space
Prior art date
Application number
KR1020220024367A
Other languages
Korean (ko)
Inventor
아키라 하타
Original Assignee
가부시기가이샤 디스코
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시기가이샤 디스코 filed Critical 가부시기가이샤 디스코
Publication of KR20220124634A publication Critical patent/KR20220124634A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • B08B3/022Cleaning travelling work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Sealing Using Fluids, Sealing Without Contact, And Removal Of Oil (AREA)
  • Confectionery (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)

Abstract

The present invention is to provide a cleaning apparatus capable of interrupting communication between the space of a spindle unit and a cleaning chamber accommodating a chuck table for a long period of time. A seal part for interrupting communication between the space between a case and a spindle and a cleaning chamber has a magnetic fluid in contact with the spindle. Therefore, the communication between the space and the cleaning chamber is interrupted without bringing solids into contact with each other. As a result, the abrasion of the seal part due to the rotation of the spindle can be reduced, and the communication between the space and the cleaning chamber can be interrupted for a long period of time.

Description

세정 장치{CLEANING APPARATUS}CLEANING APPARATUS {CLEANING APPARATUS}

본 발명은 세정 장치에 관한 것이다.The present invention relates to a cleaning device.

반도체 디바이스 칩 등의 각종 전자 부품의 제조 공정에서는, 디바이스가 형성된 실리콘 웨이퍼, 유리 기판 또는 수지 패키지 기판 등의 판형 피가공물이 절삭 블레이드 또는 레이저빔 등을 이용하여 가공된다. 이와 같이 피가공물을 가공하면, 절삭 부스러기나 잔해(debris) 등의 가공 찌꺼기가 발생하여 피가공물의 표면에 부착되는 경우가 있다.In the manufacturing process of various electronic components, such as a semiconductor device chip, a plate-shaped to-be-processed object, such as a silicon wafer, a glass substrate, or a resin package board|substrate on which the device was formed, is processed using a cutting blade, a laser beam, etc. When the workpiece is processed in this way, processing residues such as cutting chips and debris may be generated and adhered to the surface of the workpiece.

그 때문에, 피가공물은, 가공 후에, 예컨대 평탄한 유지면을 갖는 척 테이블과 유지면에 세정액을 공급하는 노즐을 수용하는 세정용 챔버를 갖는 세정 장치에서 세정된다. 이 척 테이블은, 일반적으로 유지면 상의 공간에 부압을 생기게 하여 피가공물을 흡인하며 또 유지면 상의 공간에 정압을 생기게 하여 피가공물로부터 격리시키는 것이 가능하고, 또한, 유지면의 중심을 지나며 또 유지면에 직교하는 직선을 회전축으로 하여 회전하는 것이 가능하다.Therefore, after processing, the workpiece is cleaned, for example, in a cleaning apparatus having a chuck table having a flat holding surface and a cleaning chamber accommodating a nozzle for supplying a cleaning liquid to the holding surface. This chuck table generally generates negative pressure in the space on the holding surface to attract the work, and creates positive pressure in the space on the holding surface to isolate it from the work, and also passes through the center of the holding surface and holds It is possible to rotate with a straight line orthogonal to the surface as the axis of rotation.

그리고, 이 세정 장치에서는, 피가공물의 이면 측이 척 테이블에 흡인 유지되며 또한 척 테이블이 회전한 상태에서, 피가공물의 표면에 노즐로부터 세정액이 공급된다. 이에 따라, 피가공물의 표면에 부착된 절삭 부스러기 또는 잔해가 제거된다. 이와 같이 이용되는 척 테이블은 스핀들 유닛에 의해서 회전 가능하게 지지되어 있다. In this cleaning device, the cleaning liquid is supplied from the nozzle to the surface of the workpiece while the back side of the workpiece is sucked and held by the chuck table and the chuck table is rotated. Thereby, cutting chips or debris adhering to the surface of the workpiece are removed. The chuck table used in this way is rotatably supported by the spindle unit.

스핀들 유닛은, 척 테이블을 지지하는 스핀들과, 스핀들을 회전 가능하게 지지하는 베어링을 갖는다. 그리고, 스핀들의 단부에 연결된 모터가 동작함으로써 스핀들과 함께 척 테이블이 회전한다. 또한, 베어링의 내부에 마련되는 그리스 등의 윤활제가 주위로 비산되는 것을 방지하기 위해서, 스핀들 유닛에서는 스핀들 및 베어링이 케이스에 수용되어 있다. The spindle unit has a spindle for supporting the chuck table, and a bearing for rotatably supporting the spindle. Then, the chuck table rotates together with the spindle by operating a motor connected to the end of the spindle. In addition, in the spindle unit, the spindle and the bearing are accommodated in a case in order to prevent the lubricant, such as grease, provided in the bearing from scattering to the surroundings.

더욱이, 척 테이블의 유지면 상의 공간은, 스핀들의 내부에 형성된 부압 또는 정압 공급관과, 케이스 및 스핀들 사이의 오일시일에 의해서 획정된 공간인 압력 가변부를 통해, 케이스에 접속된 부압 공급관 및 정압 공급관에 연통된다. 그리고, 부압 공급관에 접속된 부압 공급원이 동작함으로써 유지면 상의 공간에는 부압이 생기고, 또한, 정압 공급관에 접속된 정압 공급원이 동작함으로써 유지면 상의 공간에는 정압이 생긴다. 또한, 스핀들의 회전을 저해하지 않도록 오일시일과 스핀들의 계면에는 윤활유가 마련되는 경우가 많다.Furthermore, the space on the holding surface of the chuck table is provided to the negative pressure or positive pressure supply pipe formed inside the spindle, and the negative pressure supply pipe and the positive pressure supply pipe connected to the case through the pressure variable part, which is a space defined by the oil seal between the case and the spindle. communicate Then, when the negative pressure supply source connected to the negative pressure supply pipe operates, a negative pressure is generated in the space on the holding surface, and when the positive pressure supply source connected to the positive pressure supply pipe operates, a positive pressure is generated in the space on the holding surface. In addition, lubricating oil is often provided at the interface between the oil seal and the spindle so as not to impede the rotation of the spindle.

여기서, 스핀들은, 척 테이블과 연결되도록 케이스로부터 돌출하는 단부를 갖는다. 또한, 척 테이블을 수용하는 세정용 챔버에는, 이 스핀들의 단부가 삽입되는 개구가 형성되어 있다. 그 때문에, 케이스 및 스핀들 사이의 공간과 세정용 챔버는 이 개구를 통해 연통되는 경우가 있다. 이 경우, 베어링으로부터 비산한 윤활제 및/또는 오일시일과 스핀들의 계면으로부터 비산한 윤활유 등이 세정용 챔버 내에 혼입되어 피가공물의 표면에 부착될 우려가 있다.Here, the spindle has an end protruding from the case to be connected to the chuck table. In addition, an opening into which the end of the spindle is inserted is formed in the cleaning chamber for accommodating the chuck table. Therefore, the space between the case and the spindle and the cleaning chamber may communicate through this opening in some cases. In this case, there is a fear that lubricants scattered from the bearing and/or lubricants scattered from the interface between the oil seal and the spindle are mixed in the cleaning chamber and adhered to the surface of the workpiece.

그 때문에, 이러한 척 테이블을 갖는 장치에서는, 일반적으로 척 테이블 측의 공간과 스핀들 유닛 측의 공간의 연통을 차단하는 시일 부재가 마련되어 있다(예컨대 특허문헌 1 참조). 이 시일 부재는 예컨대 V 링에 의해서 구성되며, 그 립부가 회전 가능한 피시일부에 접촉하도록 형성되어 있다. Therefore, in such a device having a chuck table, a sealing member is generally provided for blocking communication between the space on the chuck table side and the space on the spindle unit side (see Patent Document 1, for example). This sealing member is constituted by, for example, a V-ring, and its lip portion is formed so as to contact the rotatable seal portion.

[특허문헌 1] 일본 특허공개 2018-73930호 공보[Patent Document 1] Japanese Patent Laid-Open No. 2018-73930

시일 부재가 회전 가능한 피시일부와 접촉하는 경우, 피시일부의 회전에 따라 시일 부재가 마모되기 때문에, 장기간에 걸쳐 척 테이블 측의 공간과 스핀들 유닛 측의 공간의 연통을 차단하기는 어렵다. When the seal member comes into contact with the rotatable seal part, since the seal member is worn along with the rotation of the seal part, it is difficult to block communication between the space on the chuck table side and the space on the spindle unit side over a long period of time.

이 점에 감안하여, 본 발명의 목적은, 스핀들 유닛 측의 공간과 척 테이블을 수용하는 세정용 챔버의 연통을 장기간에 걸쳐 차단할 수 있는 세정 장치를 제공하는 것이다.In view of this point, it is an object of the present invention to provide a cleaning apparatus capable of blocking communication between a space on the spindle unit side and a cleaning chamber accommodating the chuck table over a long period of time.

본 발명에 의하면, 피가공물을 유지면에서 유지하는 척 테이블과, 상기 척 테이블에 유지된 상기 피가공물에 세정액을 공급하는 노즐과, 상기 척 테이블을 회전 가능하게 지지하는 스핀들 유닛을 구비하며, 상기 척 테이블 및 상기 노즐을 수용하는 세정용 챔버에 있어서 상기 피가공물을 세정하는 세정 장치로서, 상기 스핀들 유닛은, 상기 척 테이블을 지지하는 스핀들과, 상기 스핀들을 회전 가능하게 지지하는 베어링과, 상기 스핀들의 일부 및 상기 베어링을 수용하는 케이스를 가지고, 상기 케이스 및 상기 스핀들의 사이에는 압력 가변부 및 개방부가 마련되고, 상기 압력 가변부는, 상기 케이스에 접속된 부압 공급관 및 정압 공급관과, 상기 스핀들의 내부에 형성되어 상기 유지면 상의 공간에 연통되는 정압 공급관의 사이에 마련되며 또 상기 베어링보다도 상기 척 테이블로부터 먼 위치에 배치되고, 상기 개방부는, 상기 베어링 및 상기 압력 가변부의 사이에 마련되며 또 상기 케이스에 형성된 관통 구멍 또는 상기 케이스에 접속된 개방관을 통해 개방되고, 상기 스핀들은, 상기 케이스로부터 돌출한 단부를 가지고, 상기 세정용 챔버의 일부는 상기 스핀들의 상기 단부가 삽입되는 개구를 구비한 커버 부재에 의해서 획정되고, 상기 커버 부재 및 상기 스핀들의 상기 단부의 사이에는, 상기 케이스 및 상기 스핀들 사이의 공간과 상기 세정용 챔버의 연통을 차단하는 시일부가 마련되고, 상기 시일부는, 상기 커버 부재의 상기 개구를 획정하는 내주면에 형성된 환상의 마그넷링과, 상기 마그넷링의 내측에 마련되며 또 상기 스핀들의 상기 단부와 접촉하는 자성 유체를 갖는 세정 장치가 제공된다. According to the present invention, there is provided a chuck table for holding a workpiece on a holding surface, a nozzle for supplying a cleaning solution to the workpiece held by the chuck table, and a spindle unit for rotatably supporting the chuck table, A cleaning apparatus for cleaning the workpiece in a cleaning chamber accommodating a chuck table and the nozzle, the spindle unit comprising: a spindle supporting the chuck table; a bearing rotatably supporting the spindle; and a case for accommodating the bearing and a pressure variable part and an open part are provided between the case and the spindle, and the pressure variable part includes a negative pressure supply pipe and a positive pressure supply pipe connected to the case, and the inside of the spindle is provided between a positive pressure supply pipe formed in a space on the holding surface and communicated with the space on the holding surface and disposed at a position farther from the chuck table than the bearing, and the opening part is provided between the bearing and the pressure variable part, and the case A cover that is opened through a through hole formed in or an open tube connected to the case, the spindle has an end protruding from the case, and a part of the cleaning chamber has an opening into which the end of the spindle is inserted. A seal portion defined by a member and is provided between the cover member and the end of the spindle for blocking communication between the space between the case and the spindle and the cleaning chamber, and the seal portion includes: There is provided a cleaning apparatus having an annular magnet ring formed on an inner circumferential surface defining the opening, and a magnetic fluid provided inside the magnet ring and in contact with the end of the spindle.

더욱이, 본 발명에서는, 상기 스핀들의 상기 단부는, 상기 자성 유체와 접촉하는 원주형의 피시일부와, 상기 피시일부로부터 상기 척 테이블 측으로 연장되는 상기 피시일부와 같은 직경의 원주형의 연장부를 갖는 것이 바람직하다. Furthermore, in the present invention, the end of the spindle has a cylindrical fish part in contact with the magnetic fluid, and a cylindrical extension part having the same diameter as the fish part extending from the fish part to the chuck table side. desirable.

본 발명에서는, 케이스 및 스핀들 사이의 공간과 세정용 챔버의 연통을 차단하는 시일부가 스핀들과 접촉하는 자성 유체를 갖는다. 그 때문에, 본 발명에서는, 고체끼리를 접촉시키는 일 없이 상기 공간과 세정용 챔버의 연통이 차단된다. 그 결과, 스핀들의 회전에 따른 시일부의 마모가 저감되어, 상기 공간과 세정용 챔버의 연통을 장기간에 걸쳐 차단할 수 있다.In the present invention, the sealing portion for blocking communication between the space between the case and the spindle and the cleaning chamber has a magnetic fluid in contact with the spindle. Therefore, in the present invention, communication between the space and the cleaning chamber is blocked without bringing the solids into contact. As a result, abrasion of the seal portion due to rotation of the spindle is reduced, and communication between the space and the cleaning chamber can be blocked over a long period of time.

더욱이, 본 발명에서는, 베어링 및 압력 가변부의 사이에 개방부가 형성되어 있다. 이에 따라, 압력 가변부에 있어서의 압력 변동에 따라서 압력 가변부에서 개방부로의 누설이 생긴 경우라도 베어링 주변의 압력 변동이 억제된다. 그 때문에, 베어링 내부에 마련되는 그리스 등의 윤활제의 비산이 억제된다. Furthermore, in the present invention, an open portion is formed between the bearing and the pressure variable portion. Accordingly, pressure fluctuations around the bearing are suppressed even when leakage from the pressure variable portion to the open portion occurs due to pressure fluctuations in the pressure variable portion. Therefore, scattering of lubricants, such as grease, provided inside the bearing is suppressed.

또한, 이러한 개방부가 형성되어 있는 경우에는 시일부 주변의 압력 변동도 억제된다. 그 때문에, 시일부에 포함되는 자성 유체가 유출되는 것이 방지된다. 그 결과, 케이스 및 스핀들 사이의 공간과 세정용 챔버의 연통을 장기간에 걸쳐 차단할 수 있다. Moreover, when such an opening part is formed, the pressure fluctuation|variation around a seal part is also suppressed. Therefore, it is prevented that the magnetic fluid contained in a seal|sticker part flows out. As a result, communication between the space between the case and the spindle and the cleaning chamber can be blocked over a long period of time.

도 1은 가공 장치의 일례를 모식적으로 도시하는 사시도이다.
도 2는 세정 장치의 일례를 모식적으로 도시하는 일부 파단 사시도이다.
도 3은 스핀들, 케이스 및 커버 부재 등을 모식적으로 도시하는 일부 단면 측면도이다.
도 4는 도 3의 부분 확대도이다.
BRIEF DESCRIPTION OF THE DRAWINGS It is a perspective view which shows typically an example of a processing apparatus.
Fig. 2 is a partially broken perspective view schematically showing an example of the washing apparatus.
3 is a partial cross-sectional side view schematically showing a spindle, a case, a cover member, and the like.
FIG. 4 is a partially enlarged view of FIG. 3 .

첨부 도면을 참조하여 본 발명의 실시형태에 관해서 설명한다. 도 1은 세정 장치를 갖는 가공 장치(절삭 장치)의 일례를 모식적으로 도시하는 사시도이다. 또한, 도 1에 도시되는 X축 방향(전후 방향) 및 Y축 방향(좌우 방향)은 수평면 상에 서 상호 직교하는 방향이고, 또한, Z축 방향(상하 방향)은 X축 방향 및 Y축 방향에 직교하는 방향(연직 방향)이다. EMBODIMENT OF THE INVENTION Embodiment of this invention is described with reference to an accompanying drawing. BRIEF DESCRIPTION OF THE DRAWINGS It is a perspective view which shows typically an example of the processing apparatus (cutting apparatus) which has a washing|cleaning apparatus. In addition, the X-axis direction (front-back direction) and the Y-axis direction (left-right direction) shown in FIG. 1 are mutually orthogonal directions on a horizontal plane, and the Z-axis direction (up-down direction) is the X-axis direction and the Y-axis direction. It is a direction orthogonal to (vertical direction).

도 1에 도시되는 절삭 장치(2)는, 각 구성 요소를 지지하는 베이스(4)를 구비한다. 베이스(4)의 상면에는, 길이 방향이 X축 방향에 평행한 직사각형의 개구(4a)가 형성되어 있다. 개구(4a) 내에는, 이동 테이블(6)과, 이동 테이블(6)의 이동에 따라 신축하는 주름상자형의 방진방적(防塵防滴) 커버(8)가 마련되어 있다. 방진방적 커버(8)의 아래쪽에는, 이동 테이블(6)을 X축 방향을 따라 이동시키는 X축 방향 이동 기구(도시하지 않음)가 마련되어 있다.The cutting device 2 shown in FIG. 1 is provided with the base 4 which supports each component. On the upper surface of the base 4, a rectangular opening 4a whose longitudinal direction is parallel to the X-axis direction is formed. In the opening 4a, a moving table 6 and a corrugated box-shaped dustproof and dripproof cover 8 that expands and contracts with the movement of the moving table 6 are provided. An X-axis direction movement mechanism (not shown) for moving the movement table 6 along the X-axis direction is provided under the dust-proof cover 8 .

이동 테이블(6)의 상면에는 척 테이블(10)이 마련되어 있다. 척 테이블(10)은, 위쪽으로 노출된 원반형의 다공판(10a)을 가지고, 다공판(10a)에 놓인 피가공물을 흡인 유지하는 기능을 갖는다. 다공판(10a)의 상면은 대략 평탄하며 피가공물을 유지하는 유지면으로 된다. 척 테이블(10)의 내부에는, 척 테이블(10)의 외부에 마련된 이젝터 등의 흡인원(도시하지 않음)에 일단이 접속된 흡인로(도시하지 않음)가 형성되어 있다. A chuck table 10 is provided on the upper surface of the movable table 6 . The chuck table 10 has a disc-shaped perforated plate 10a exposed upward, and has a function of sucking and holding a workpiece placed on the perforated plate 10a. The upper surface of the perforated plate 10a is substantially flat and serves as a holding surface for holding an object to be processed. A suction path (not shown) having one end connected to a suction source (not shown) such as an ejector provided outside the chuck table 10 is formed inside the chuck table 10 .

흡인로의 타단(他端)은 다공판(10a)에 도달한다. 그 때문에, 유지면에 피가공물이 놓인 상태에서 이 흡인원을 동작시키면, 피가공물이 척 테이블(10)에 흡인 유지된다. 또한, 척 테이블(10)은 모터 등의 척 테이블용 회전 구동원(도시하지 않음)에 연결되어 있다. 이 척 테이블용 회전 구동원을 동작시키면, 유지면의 중심을 지나며 또한 유지면에 직교하는 직선을 회전축으로 하여 척 테이블(10)이 회전한다. The other end of the suction path reaches the perforated plate 10a. Therefore, when the suction source is operated while the workpiece is placed on the holding surface, the workpiece is sucked and held by the chuck table 10 . Further, the chuck table 10 is connected to a rotation drive source (not shown) for the chuck table, such as a motor. When this rotational drive source for the chuck table is operated, the chuck table 10 rotates with a straight line passing through the center of the holding surface and orthogonal to the holding surface as the rotation axis.

베이스(4) 상면의 개구(4a)의 근방에는 지지 구조(12)가 마련되어 있다. 지지 구조(12)는, 베이스(4)의 상면으로부터 Z축 방향을 따라 연장되는 스탠드부(12a)와, 개구(4a)를 걸치도록 스탠드부(12a)의 상단부에서부터 Y축 방향을 따라 연장되는 팔부(12b)를 구비한다. 팔부(12b)의 앞면 측에는 Y축 방향 이동 기구(14)가 마련되어 있다.A support structure 12 is provided in the vicinity of the opening 4a of the upper surface of the base 4 . The support structure 12 includes a stand portion 12a extending along the Z-axis direction from the upper surface of the base 4 and extending along the Y-axis direction from the upper end of the stand portion 12a to span the opening 4a. An arm portion 12b is provided. A Y-axis direction movement mechanism 14 is provided on the front side of the arm 12b.

Y축 방향 이동 기구(14)는, 팔부(12b)의 앞면에 고정되며 또한 Y축 방향을 따라 연장되는 한 쌍의 Y축 가이드 레일(16)을 구비한다. 한 쌍의 Y축 가이드 레일(16)의 앞면 측에는, 한 쌍의 Y축 가이드 레일(16)을 따라 슬라이드 가능한 양태로 Y축 이동 플레이트(18)가 연결되어 있다. The Y-axis direction movement mechanism 14 includes a pair of Y-axis guide rails 16 that are fixed to the front surface of the arm 12b and extend along the Y-axis direction. A Y-axis moving plate 18 is connected to the front side of the pair of Y-axis guide rails 16 in a manner that can slide along the pair of Y-axis guide rails 16 .

또한, 한 쌍의 Y축 가이드 레일(16)의 사이에는, Y축 방향을 따라 연장되는 나사축(20)이 배치되어 있다. 나사축(20)의 일단부에는, 나사축(20)을 회전시키기 위한 모터(도시하지 않음)가 연결되어 있다. 나사축(20)의 나선형 홈이 형성된 표면에는, 회전하는 나사축(20)의 표면을 굴러가는 볼을 수용하는 너트부(도시하지 않음)가 마련되어, 볼나사가 구성되어 있다. Moreover, between the pair of Y-axis guide rails 16, the screw shaft 20 extending along the Y-axis direction is arrange|positioned. A motor (not shown) for rotating the screw shaft 20 is connected to one end of the screw shaft 20 . A nut portion (not shown) for accommodating a ball rolling on the surface of the rotating screw shaft 20 is provided on the surface of the screw shaft 20 on which the spiral groove is formed, and a ball screw is configured.

즉, 나사축(20)이 회전하면, 볼이 너트부 안을 순환하여, 너트부가 Y축 방향을 따라 이동한다. 또한, 이 너트부는, Y축 이동 플레이트(18)의 후면 측에 고정되어 있다. 그 때문에, 나사축(20)의 일단부에 연결되어 있는 모터로 나사축(20)을 회전시키면, 너트부와 함께 Y축 이동 플레이트(18)가 Y축 방향을 따라 이동한다.That is, when the screw shaft 20 rotates, the ball circulates in the nut part, and the nut part moves along the Y-axis direction. Moreover, this nut part is being fixed to the back side of the Y-axis movement plate 18. As shown in FIG. Therefore, when the screw shaft 20 is rotated by the motor connected to the one end of the screw shaft 20, the Y-axis movement plate 18 moves along the Y-axis direction together with a nut part.

Y축 이동 플레이트(18)의 앞면 측에는 Z축 방향 이동 기구(22)가 마련되어 있다. Z축 방향 이동 기구(22)는, Y축 이동 플레이트(18)의 앞면에 고정되며 또한 Z축 방향을 따라 연장되는 한 쌍의 Z축 가이드 레일(24)을 구비한다. 한 쌍의 Z축 가이드 레일(24)의 앞면 측에는, 한 쌍의 Z축 가이드 레일(24)을 따라 슬라이드 가능한 양태로 Z축 이동 플레이트(26)가 연결되어 있다. A Z-axis direction movement mechanism 22 is provided on the front side of the Y-axis movement plate 18 . The Z-axis direction movement mechanism 22 includes a pair of Z-axis guide rails 24 that are fixed to the front surface of the Y-axis movement plate 18 and extend along the Z-axis direction. A Z-axis moving plate 26 is connected to the front side of the pair of Z-axis guide rails 24 in a manner that can slide along the pair of Z-axis guide rails 24 .

또한, 한 쌍의 Z축 가이드 레일(24)의 사이에는, Z축 방향을 따라 연장되는 나사축(28)이 배치되어 있다. 나사축(28)의 일단부에는, 나사축(28)을 회전시키기 위한 모터(30)가 연결되어 있다. 나사축(28)의 나선형 홈이 형성된 표면에는, 회전하는 나사축(28)의 표면을 굴러가는 볼을 수용하는 너트부(도시하지 않음)가 마련되어, 볼나사가 구성되어 있다. Moreover, between the pair of Z-axis guide rails 24, the screw shaft 28 extending along the Z-axis direction is arrange|positioned. A motor 30 for rotating the screw shaft 28 is connected to one end of the screw shaft 28 . A nut portion (not shown) for accommodating a ball rolling on the surface of the rotating screw shaft 28 is provided on the surface of the screw shaft 28 on which the spiral groove is formed, and a ball screw is formed.

즉, 나사축(28)이 회전하면, 볼이 너트부 안을 순환하여, 너트부가 Z축 방향을 따라 이동한다. 또한, 이 너트부는, Z축 이동 플레이트(26)의 후면 측에 고정되어 있다. 그 때문에, 모터(30)로 나사축(28)을 회전시키면, 너트부와 함께 Z축 이동 플레이트(26)가 Z축 방향을 따라 이동한다. That is, when the screw shaft 28 rotates, the ball circulates in the nut part, and the nut part moves along the Z-axis direction. Moreover, this nut part is being fixed to the back side of the Z-axis movement plate 26. As shown in FIG. Therefore, when the screw shaft 28 is rotated by the motor 30, the Z-axis movement plate 26 moves along the Z-axis direction together with the nut part.

Z축 이동 플레이트(26)의 하부에는 절삭 유닛(32)이 고정되어 있다. 절삭 유닛(32)은, 길이 방향이 Y축 방향으로 평행한 통형의 스핀들 하우징(34)을 갖는다. 스핀들 하우징(34)에는, 길이 방향이 Y축 방향으로 평행한 원주형의 스핀들(도시하지 않음)이 수용되어 있다. 이 스핀들은 회전 가능한 상태로 스핀들 하우징(34)에 의해서 지지된다. A cutting unit 32 is fixed to a lower portion of the Z-axis moving plate 26 . The cutting unit 32 has a cylindrical spindle housing 34 whose longitudinal direction is parallel to the Y-axis direction. The spindle housing 34 accommodates a cylindrical spindle (not shown) whose longitudinal direction is parallel to the Y-axis direction. The spindle is supported by the spindle housing 34 in a rotatable state.

스핀들의 선단부는, 스핀들 하우징(34) 밖으로 돌출하고, 이 선단부에는 환상의 절단날을 갖는 절삭 블레이드(36)가 장착되어 있다. 또한, 스핀들의 기단부는, 스핀들 하우징(34)에 내장되는 모터 등의 절삭 블레이드용 회전 구동원(도시하지 않음)에 연결되어 있다. The tip of the spindle projects out of the spindle housing 34, and a cutting blade 36 having an annular cutting edge is mounted at the tip. Further, the proximal end of the spindle is connected to a rotational drive source (not shown) for the cutting blade, such as a motor incorporated in the spindle housing 34 .

X축 방향에 있어서 절삭 유닛(32)에 인접하는 위치에는, Z축 이동 플레이트(26)의 하부에 고정되어 있는 촬상 유닛(38)이 마련되어 있다. 촬상 유닛(38)은, 예컨대 LED(Light Emitting Diode) 등의 광원과, 대물렌즈와, CCD(Charge Coupled Device) 이미지 센서 또는 CMOS(Complementary Metal Oxide Semiconductor) 이미지 센서 등의 촬상 소자를 포함한다.The imaging unit 38 fixed to the lower part of the Z-axis moving plate 26 is provided in the position adjacent to the cutting unit 32 in the X-axis direction. The imaging unit 38 includes, for example, a light source such as an LED (Light Emitting Diode), an objective lens, and an imaging element such as a CCD (Charge Coupled Device) image sensor or CMOS (Complementary Metal Oxide Semiconductor) image sensor.

절삭 장치(2)에 있어서의 피가공물의 가공은 예컨대 이하의 순서로 이루어진다. 우선, 척 테이블(10)에 흡인 유지된 피가공물을 촬상 유닛(38)이 촬상한다. 이어서, 이 촬상에 의해서 얻어진 화상에 기초하여, 절삭 유닛(32)과 피가공물의 위치맞춤을 행한다. 구체적으로는, Y축 방향 이동 기구(14) 및/또는 Z축 방향 이동 기구(22)가 절삭 유닛(32)의 위치를 조정하고, 또한/또는, X축 방향 이동 기구 및/또는 척 테이블용 회전 구동원이 피가공물을 흡인 유지하는 척 테이블(10)의 위치 및/또는 방향을 조정한다.The processing of the to-be-processed object in the cutting device 2 is performed, for example in the following procedure. First, the imaging unit 38 images the to-be-processed object sucked and held by the chuck table 10 . Next, based on the image obtained by this imaging, the cutting unit 32 and a to-be-processed object are aligned. Specifically, the Y-axis direction movement mechanism 14 and/or the Z-axis direction movement mechanism 22 adjusts the position of the cutting unit 32, and/or for the X-axis direction movement mechanism and/or the chuck table. The rotational drive source adjusts the position and/or direction of the chuck table 10 for sucking and holding the workpiece.

이어서, 절삭 블레이드(36)를 회전시킨 상태에서 피가공물에 접촉시킨다. 구체적으로는, 선단부에 절삭 블레이드(36)가 장착된 스핀들을 절삭 블레이드용 회전 구동원이 회전시킨 상태에서, Y축 방향 이동 기구(14) 및/또는 Z축 방향 이동 기구(22)가 절삭 유닛(32)을 이동시키고, 또한/또는, X축 방향 이동 기구 및/또는 척 테이블용 회전 구동원이 피가공물을 흡인 유지하는 척 테이블(10)을 이동시킨다. 이에 따라, 피가공물에 원하는 가공이 실시된다. Next, the cutting blade 36 is brought into contact with the workpiece in a rotated state. Specifically, in a state in which the rotation driving source for the cutting blade rotates the spindle with the cutting blade 36 attached to the distal end, the Y-axis direction movement mechanism 14 and/or the Z-axis direction movement mechanism 22 rotates the cutting unit ( 32), and/or the X-axis direction movement mechanism and/or the chuck table rotational drive source moves the chuck table 10 for sucking and holding the workpiece. Thereby, a desired processing is performed on a to-be-processed object.

또한, 베이스(4) 상면의 지지 구조(12)의 전방 위치에는 세정 장치(40)가 마련되어 있다. 도 2는 세정 장치(40)를 모식적으로 도시하는 일부 파단 사시도이다. 세정 장치(40)는 척 테이블(42)을 갖는다. 척 테이블(42)은, 위쪽으로 노출된 원반형의 다공판(42a)을 가지고, 다공판(42a)에 놓인 피가공물을 흡인 유지하는 기능을 갖는다. 다공판(42a)의 상면은 대략 평탄하며, 피가공물을 유지하는 유지면으로 된다. In addition, a cleaning device 40 is provided at a position in front of the support structure 12 on the upper surface of the base 4 . FIG. 2 is a partially broken perspective view schematically showing the cleaning device 40 . The cleaning device 40 has a chuck table 42 . The chuck table 42 has a disc-shaped perforated plate 42a exposed upward, and has a function of sucking and holding a workpiece placed on the perforated plate 42a. The upper surface of the perforated plate 42a is substantially flat and serves as a holding surface for holding an object to be processed.

척 테이블(42)의 주위에는, 척 테이블(42)을 둘러싸는 세정용 챔버 본체(44)가 마련되어 있다. 세정용 챔버 본체(44)는, 원통형의 외주벽(44a)과, 외주벽(44a)의 하단부로부터 직경 방향 내측으로 연장되는 환상의 바닥벽(44b)과, 바닥벽(44b)의 내측 단부로부터 세워져 형성되는 원통형의 내주벽(44c)을 갖는다.A cleaning chamber body 44 surrounding the chuck table 42 is provided around the chuck table 42 . The chamber body 44 for cleaning includes a cylindrical outer peripheral wall 44a, an annular bottom wall 44b extending radially inward from the lower end of the outer peripheral wall 44a, and an inner end of the bottom wall 44b. It has a cylindrical inner peripheral wall 44c formed upright.

그리고, 외주벽(44a)에는 배기구(도시하지 않음)가 형성되어 있고, 이 배기구는 배기관(46)을 통해 배기 펌프(도시하지 않음)에 접속되어 있다. 또한, 바닥벽(44b)에는 배수구(48)가 형성되어 있고, 배수구(48)에는 아래쪽으로 연장되는 배수관(50)이 접속되어 있다. 또한, 바닥벽(44b)의 하면에는, 복수(예컨대 3개)의 지지 다리(52)가 고정되어 있다. 복수의 지지 다리(52)는, 바닥벽(44b)의 둘레 방향을 따라 대략 등간격으로 마련되어 있으며, 세정용 챔버 본체(44)를 지지한다. An exhaust port (not shown) is formed in the outer peripheral wall 44a, and this exhaust port is connected to an exhaust pump (not shown) via an exhaust pipe 46 . Further, a drain port 48 is formed in the bottom wall 44b, and a drain pipe 50 extending downward is connected to the drain port 48 . In addition, a plurality of (for example, three) support legs 52 are fixed to the lower surface of the bottom wall 44b. The plurality of support legs 52 are provided at substantially equal intervals along the circumferential direction of the bottom wall 44b, and support the chamber body 44 for cleaning.

또한, 세정용 챔버 본체(44)의 위쪽에는 원반형의 덮개(도시하지 않음)가 마련되어 있다. 이 덮개의 직경은 외주벽(44a)의 내경보다 길다. 그리고, 이 덮개의 하면이 외주벽(44a)의 상면과 접하도록 배치됨으로써, 피가공물에 부착된 절삭 부스러기 또는 세정에 이용되는 세정액이 피가공물의 세정 시에 베이스(4)의 상면으로 비산하는 것이 방지된다. Moreover, the disk-shaped cover (not shown) is provided above the chamber main body 44 for cleaning. The diameter of this cover is longer than the inner diameter of the outer peripheral wall 44a. And, since the lower surface of the cover is arranged so as to be in contact with the upper surface of the outer peripheral wall 44a, cutting chips adhering to the workpiece or the cleaning liquid used for cleaning are scattered to the upper surface of the base 4 when the workpiece is cleaned. is prevented

외주벽(44a)의 내측에는 세정 유닛(54) 및 건조 유닛(56)이 배치되어 있다. 세정 유닛(54) 및 건조 유닛(56) 각각은 바닥벽(44b)에 삽입되는 파이프형의 축부(54a, 56a)를 갖는다. 축부(54a, 56a)는, 척 테이블(42)의 외측에서 척 테이블(42)의 유지면에 대하여 수직인 방향으로 연장되는 파이프형 부재이다. 그리고, 축부(54a, 56a)의 하단 측에는, 축부(54a, 56a)를 회전시키기 위한 모터 등의 회전 구동원(도시하지 않음)이 연결되어 있다. A cleaning unit 54 and a drying unit 56 are arranged inside the outer peripheral wall 44a. Each of the cleaning unit 54 and the drying unit 56 has pipe-shaped shaft portions 54a and 56a that are inserted into the bottom wall 44b. The shaft portions 54a and 56a are pipe-shaped members extending from the outside of the chuck table 42 in a direction perpendicular to the holding surface of the chuck table 42 . And a rotation drive source (not shown), such as a motor for rotating the shaft parts 54a, 56a, is connected to the lower end side of the shaft parts 54a, 56a.

축부(54a, 56a)의 상단부에는 팔부(54b, 56b)가 접속되어 있다. 팔부(54b, 56b)는, 축부(54a, 54a)의 상단부에서부터 척 테이블(42)의 중앙까지의 거리에 상당하는 길이로 척 테이블(42)의 유지면에 대하여 평행한 방향으로 연장되는 파이프형 부재이다. 팔부(54b, 56b)의 선단부(축부(54a, 56a)와 접속되지 않는 측의 팔부(54b, 56b)의 단부)에는, 아래쪽으로 향한 노즐(54c, 56c)이 마련되어 있다. Arm portions 54b and 56b are connected to upper ends of the shaft portions 54a and 56a. The arms 54b and 56b are pipe-shaped extending in a direction parallel to the holding surface of the chuck table 42 with a length corresponding to the distance from the upper end of the shaft portions 54a and 54a to the center of the chuck table 42 . is absent The nozzles 54c and 56c facing downward are provided at the tips of the arms 54b and 56b (ends of the arms 54b and 56b on the side not connected to the shaft portions 54a and 56a).

또한, 축부(54a) 및 팔부(54b)는 세정액 공급원(도시하지 않음)에 연통되어 있다. 그 때문에, 예컨대 노즐(54c)을 척 테이블(42)의 위쪽에 위치시키도록 축부(54a)를 회전시킨 후에, 세정액 공급원으로부터 축부(54a) 및 팔부(54b)에 세정액이 공급되면, 노즐(54c)로부터 척 테이블(42)의 유지면에 세정액이 공급된다.Further, the shaft portion 54a and the arm portion 54b communicate with a cleaning liquid supply source (not shown). For this reason, for example, after rotating the shaft portion 54a so as to position the nozzle 54c above the chuck table 42 , the cleaning liquid is supplied to the shaft portion 54a and the arm 54b from the cleaning liquid supply source, the nozzle 54c ), the cleaning liquid is supplied to the holding surface of the chuck table 42 .

또한, 축부(56a) 및 팔부(56b)는 에어 공급원(도시하지 않음)에 연통되어 있다. 그 때문에, 예컨대 노즐(56c)을 척 테이블(42)의 위쪽에 위치시키도록 축부(56a)를 회전시킨 후에, 에어 공급원으로부터 축부(56a) 및 팔부(56b)에 에어가 공급되면, 노즐(56c)로부터 척 테이블(42)의 유지면에 에어가 공급된다. Further, the shaft portion 56a and the arm portion 56b communicate with an air supply source (not shown). Therefore, for example, after rotating the shaft portion 56a so as to position the nozzle 56c above the chuck table 42, when air is supplied to the shaft portion 56a and the arm portion 56b from the air supply source, the nozzle 56c ), air is supplied to the holding surface of the chuck table 42 .

내주벽(44c)의 내측에 존재하는 원통형 공간에는 스핀들 유닛(58)이 위아래로 통과하고 있다. 스핀들 유닛(58)은, 페라이트계 또는 마루텐사이트계 스테인리스강 등으로 이루어지는 스핀들(60)을 갖는다. 스핀들(60)은, 상단 측이 척 테이블(42)에 연결되어, 척 테이블(42)을 지지한다. 또한, 스핀들(60)의 하단부에는 모터(62)가 연결되어 있어, 모터(62)를 동작시키면, 유지면의 중심을 지나며 또 유지면에 직교하는 직선을 회전축으로 하여 척 테이블(42)이 회전한다. The spindle unit 58 passes up and down in the cylindrical space existing inside the inner peripheral wall 44c. The spindle unit 58 has a spindle 60 made of ferritic or martensitic stainless steel or the like. The spindle 60 has an upper end connected to the chuck table 42 to support the chuck table 42 . In addition, a motor 62 is connected to the lower end of the spindle 60, and when the motor 62 is operated, the chuck table 42 rotates with a straight line passing through the center of the holding surface and orthogonal to the holding surface as a rotation axis. do.

모터(62)는 상하 방향으로 이동 가능한 양태로 지지 기구(64)에 의해 지지되어 있다. 지지 기구(64)는, 모터(62)에 부착된 복수(예컨대 3개)의 에어실린더(66)를 구비하고, 각각의 에어실린더(66)의 하부에는 지지 다리(68)가 연결되어 있다. 그리고, 복수의 에어실린더(66)를 동시에 동작시키면, 모터(62) 및 척 테이블(42)이 승강한다. The motor 62 is supported by the support mechanism 64 in a vertically movable manner. The support mechanism 64 is provided with a plurality of (for example, three) air cylinders 66 attached to a motor 62 , and a support leg 68 is connected to a lower portion of each air cylinder 66 . Then, when the plurality of air cylinders 66 are operated simultaneously, the motor 62 and the chuck table 42 move up and down.

예컨대 세정 장치(40)에 있어서의 피가공물의 반출입 시에는, 지지 기구(64)를 동작시켜 소정의 반출입 위치에 척 테이블(42)을 위치시키고, 피가공물의 세정 시에는, 반출입 위치보다도 아래쪽의 세정 위치에 척 테이블(42)을 위치시킨다. 또한, 도 2에는 척 테이블(42)이 반출입 위치에 있는 상태의 세정 장치(40)가 모식적으로 도시되어 있다. For example, when carrying in and out of a workpiece in the cleaning device 40, the support mechanism 64 is operated to position the chuck table 42 at a predetermined carrying-in/out position. Position the chuck table 42 in the cleaning position. In addition, FIG. 2 schematically shows the washing|cleaning apparatus 40 in the state in which the chuck table 42 is in a carry-in/out position.

스핀들(60)의 주위에는 케이스(70) 및 커버 부재(72)가 마련되어 있다. 도 3은 스핀들(60), 케이스(70) 및 커버 부재(72) 등을 모식적으로 도시하는 일부 단면 측면도이고, 도 4는 도 3의 부분 확대도이다. 또한, 도 3 및 도 4에서는, 척 테이블(42)이 세정 위치에 있는 상태의 세정 장치(40)가 모식적으로 도시되어 있다. A case 70 and a cover member 72 are provided around the spindle 60 . FIG. 3 is a partial cross-sectional side view schematically showing the spindle 60, the case 70, the cover member 72, and the like, and FIG. 4 is a partially enlarged view of FIG. In addition, in FIGS. 3 and 4, the washing|cleaning apparatus 40 in the state in which the chuck table 42 is in a washing|cleaning position is shown typically.

케이스(70)는, 내주벽(44c)의 내경보다 외경이 짧은 원통형의 형상을 가지고, 연결 부재(도시하지 않음)를 통해 모터(62)에 고정되어 있다. 케이스(70) 상부의 내주면에는, 베어링(74)의 외륜이 고정되어 있다. 베어링(74)은, 그 내륜이 스핀들(60)과 접촉하여 스핀들(60)을 회전 가능하게 지지한다. The case 70 has a cylindrical shape whose outer diameter is shorter than the inner diameter of the inner peripheral wall 44c, and is fixed to the motor 62 via a connecting member (not shown). The outer ring of the bearing 74 is fixed to the inner peripheral surface of the upper part of the case 70 . The bearing 74 rotatably supports the spindle 60 with its inner ring in contact with the spindle 60 .

또한, 케이스(70)에는, 직경 방향으로 케이스(70)를 관통하는 3개의 관통 구멍이 상하로 나란하게 형성되어 있다. 이 3개의 관통 구멍 중 베어링(74)에 가장 근접하는 관통 구멍에는, 파이프형 개방관(76)이 접속되어 있다. 개방관(76)은, 케이스(70) 및 스핀들(60) 사이의 환상 공간(개방부)(A)과 케이스(70)의 외측 공간을 연통한다. 또한, 개방관(76)은 없어도 좋다. 즉, 개방부(A)는, 케이스(70)를 관통하는 관통 구멍을 통해 케이스(70) 외측의 공간에 연통되어도 좋다.Further, in the case 70 , three through holes penetrating the case 70 in the radial direction are formed vertically in parallel. A pipe-shaped open pipe 76 is connected to the through-hole closest to the bearing 74 among these three through-holes. The open tube 76 communicates with the annular space (opening portion) A between the case 70 and the spindle 60 and the outer space of the case 70 . In addition, the open pipe|tube 76 may not be needed. That is, the open portion A may communicate with the space outside the case 70 through a through hole penetrating the case 70 .

나머지 2개의 관통 구멍에는, 파이프형 부압 공급관(78) 및 정압 공급관(80)이 각각 접속되어 있다. 부압 공급관(78)은, 케이스(70) 및 스핀들(60) 사이의, 개방부(A)보다도 베어링(74)으로부터 먼 환상의 공간(압력 가변부)(B)과, 이젝터 등의 부압 공급원(흡인원)을 연통한다. 또한, 정압 공급관(80)은 압력 가변부(B)와 에어 공급원 등의 정압 공급원을 연통한다.A pipe-shaped negative pressure supply pipe 78 and a positive pressure supply pipe 80 are respectively connected to the remaining two through holes. The negative pressure supply pipe 78 includes an annular space (pressure variable portion) B between the case 70 and the spindle 60 that is farther from the bearing 74 than the open portion A, and a negative pressure supply source such as an ejector ( suction source). In addition, the positive pressure supply pipe 80 communicates with the pressure variable part B and a positive pressure supply source such as an air supply source.

더욱이, 개방부(A) 및 압력 가변부(B)의 경계와, 압력 가변부(B) 아래쪽의 외부 공간과 압력 가변부(B)의 경계에는, 환상의 오일시일(82a, 82b)이 각각 형성되어 있다. 또한, 압력 가변부(B)는 스핀들(60)의 내부에 형성된 부압 또는 정압 공급관(60a)에 연통 가능하다. 부압 또는 정압 공급관(60a)은, 척 테이블(42)의 내부에 형성된 유로(도시하지 않음)를 통해 척 테이블(42)의 유지면 상의 공간에 연통된다.Furthermore, annular oil seals 82a and 82b are formed at the boundary between the open portion A and the pressure variable portion B, and at the boundary between the external space below the pressure variable portion B and the pressure variable portion B, respectively. is formed In addition, the pressure variable portion (B) is capable of communicating with the negative pressure or positive pressure supply pipe (60a) formed inside the spindle (60). The negative or positive pressure supply pipe 60a communicates with the space on the holding surface of the chuck table 42 through a flow path (not shown) formed inside the chuck table 42 .

커버 부재(72)는, 환상의 꼭대기벽(72a)과, 꼭대기벽(72a)의 외단부로부터 아래쪽으로 연장된는 원통형의 측벽(72b)를 갖는다. 또한, 꼭대기벽(72a)의 내경은 베어링(74)의 내경보다 길다. 또한, 꼭대기벽(72a)의 외경 및 측벽(72b)의 내경은 내주벽(44c)의 외경보다 길다.The cover member 72 has an annular top wall 72a and a cylindrical side wall 72b extending downward from the outer end of the top wall 72a. Further, the inner diameter of the top wall 72a is longer than the inner diameter of the bearing 74 . Further, the outer diameter of the top wall 72a and the inner diameter of the side wall 72b are longer than the outer diameter of the inner peripheral wall 44c.

꼭대기벽(72a)의 내측에는, 케이스(70)로부터 돌출한 스핀들(60)의 상단부가 삽입되어 있다. 환언하면, 커버 부재(72)는 스핀들(60)의 상단부가 삽입되는 개구를 구비한다. 또한, 커버 부재(72) 및 스핀들(60)의 상단부의 사이에는, 케이스(70) 및 스핀들(60) 사이의 공간(예컨대 개방부(A) 및 압력 가변부(B))과 척 테이블(42) 측의 공간의 연통을 차단하는 환상의 시일부(84)가 형성되어 있다.Inside the top wall 72a, the upper end of the spindle 60 protruding from the case 70 is inserted. In other words, the cover member 72 has an opening into which the upper end of the spindle 60 is inserted. In addition, between the cover member 72 and the upper end of the spindle 60, a space between the case 70 and the spindle 60 (eg, the open portion A and the pressure variable portion B) and the chuck table 42 ) The annular seal part 84 which cut|blocks the communication of the space of the side is formed.

시일부(84)는 꼭대기벽(72a)의 내주면에 마련된 환상의 마그넷링(84a)을 갖는다. 마그넷링(84a)은 영구자석으로 이루어지고, N극 및 S극이 한 쌍의 환상 볼피스(84b)에 각각 접촉하도록 마련되어 있다. 또한, 볼피스(84b) 및 스핀들(60)의 사이에는 자성 유체(84c)가 마련되어 있다. The seal part 84 has an annular magnet ring 84a provided on the inner peripheral surface of the top wall 72a. The magnet ring 84a is made of a permanent magnet, and the N pole and the S pole are provided so as to contact the pair of annular ball pieces 84b, respectively. In addition, a magnetic fluid 84c is provided between the ball piece 84b and the spindle 60 .

자성 유체(84c)는, 마그넷링(84a) 및 볼피스(84b)와 스핀들(60)의 사이에 구성되는 자속선을 따라 유지된다. 또한, 자성 유체(84c)는, 예컨대 마그네타이트 또는 망간아연페라이트 등의 강자성 미립자 및 계면활성제를 포함하는 알킬나프탈렌 베이스의 자성 유체이다. 혹은, 자성 유체(84c)는, 강자성 미립자 및 계면활성제를 포함하는 불소 오일 베이스의 자성 유체라도 좋다. The magnetic fluid 84c is held along the magnetic flux line constituted between the magnet ring 84a and the ball piece 84b and the spindle 60 . The magnetic fluid 84c is, for example, an alkylnaphthalene-based magnetic fluid containing ferromagnetic fine particles such as magnetite or manganese zinc ferrite and a surfactant. Alternatively, the magnetic fluid 84c may be a fluorine oil-based magnetic fluid containing ferromagnetic fine particles and a surfactant.

여기서, 세정 장치(40)의 운반 시에 스핀들(60)이 기울어지거나 하여 유체인 자성 유체(84c)가 스핀들(60)의 볼피스(84b) 사이의 공간 외측으로 퍼질 우려가 있다. 스핀들(60)은, 이러한 경우라도 자성 유체(84c)의 유출을 억제할 수 있는 자계가 형성되는 형상을 갖는 것이 바람직하다. Here, when the cleaning device 40 is transported, the spindle 60 is inclined or there is a risk that the magnetic fluid 84c as a fluid may spread out of the space between the ball pieces 84b of the spindle 60 . The spindle 60 preferably has a shape in which a magnetic field capable of suppressing the outflow of the magnetic fluid 84c is formed even in such a case.

예컨대 케이스(70)로부터 돌출하여 커버 부재(72)의 개구(꼭대기벽(72a)의 내측)에 삽입되는 스핀들(60)의 상단부는, 자성 유체(84c)와 접촉하는 원주형의 피시일부와, 이 피시일부로부터 위쪽으로 연장되는, 피시일부와 같은 직경의 연장부를 갖는 것이 바람직하다. 이와 같이 연장부가 형성되어 있는 경우, 자성 유체(84c)가 스핀들(60)의 볼피스(84b) 사이의 공간에서 위쪽으로 퍼진 경우라도 자성 유체(84c)의 유출이 억제된다.For example, the upper end of the spindle 60 protruding from the case 70 and inserted into the opening (inside the top wall 72a) of the cover member 72 includes a cylindrical fish-shaped portion in contact with the magnetic fluid 84c, It is preferable to have an extension of the same diameter as the fish piece, extending upward from the fish piece portion. When the extension portion is formed in this way, the outflow of the magnetic fluid 84c is suppressed even when the magnetic fluid 84c spreads upward in the space between the ball pieces 84b of the spindle 60 .

또한, 스핀들(60)이 회전하면, 스핀들(60)과 자성 유체(84c)의 마찰에 의해서 마찰열이 생긴다. 그리고, 마찰열에 의해서 스핀들(60)이 가열되면, 예컨대 오일시일(82a, 82b)이 열화될 우려가 있다.In addition, when the spindle 60 rotates, frictional heat is generated by friction between the spindle 60 and the magnetic fluid 84c. And, when the spindle 60 is heated by frictional heat, for example, the oil seals 82a and 82b may deteriorate.

그 때문에, 마그넷링(84a)의 자력은, 상술한 양 공간의 연통을 차단할 수 있는 양의 자성 유체(84c)를 볼피스(84b) 및 스핀들(60)의 사이에 유지할 수 있는 한도로 약하게 하는 것이 바람직하다. 예컨대 마그넷링(84a)의 자력은 400∼800 G인 것이 바람직하다. Therefore, the magnetic force of the magnet ring 84a is weakened to a limit that can hold the magnetic fluid 84c in an amount capable of blocking the communication between the two spaces described above between the ball piece 84b and the spindle 60. it is preferable For example, the magnetic force of the magnet ring 84a is preferably 400 to 800 G.

마찬가지로, 마그넷링(84a)의 두께는, 상술한 양 공간의 연통을 차단할 수 있는 양의 자성 유체(84c)를 볼피스(84b) 및 스핀들(60)의 사이에 유지할 수 있는 한도로 얇게 하는 것이 바람직하다. 예컨대 마그넷링(84a)의 두께는, 도 4에 도시하는 것과 같이, 한 쌍의 볼피스(84b)와 접촉하는 자성 유체(84c)가 분리하는 일 없이 일체화되도록 얇게 되는 것이 바람직하다. 구체적으로는, 마그넷링(84a)의 두께는 3∼5 mm인 것이 바람직하다.Similarly, the thickness of the magnet ring 84a should be as thin as possible to hold the magnetic fluid 84c in an amount that can block the communication between the two spaces described above between the ball piece 84b and the spindle 60. desirable. For example, as shown in FIG. 4, it is preferable that the thickness of the magnet ring 84a be made thin so that the magnetic fluid 84c in contact with the pair of ball pieces 84b may be integrated without being separated. Specifically, the thickness of the magnet ring 84a is preferably 3 to 5 mm.

또한, 커버 부재(72)의 꼭대기벽(72a)의 하면은, 도 3에 도시하는 것과 같이, 척 테이블(42)이 세정 위치에 있는 상태에 있어서, 세정용 챔버 본체(44)의 내주벽(44c)의 상면에 충분히 접근한다. 그 때문에, 세정 장치(40)에서는, 세정용 챔버 본체(44)와, 세정용 챔버 본체(44)의 외주벽(44a)의 상면에 접촉하도록 배치되는 덮개와, 커버 부재(72)와, 시일부(84)에 의해서 세정용 챔버가 획정된다. In addition, as shown in FIG. 3 , the lower surface of the top wall 72a of the cover member 72 has the inner peripheral wall ( 44c) is sufficiently approached. Therefore, in the cleaning apparatus 40 , the cleaning chamber body 44 , the cover disposed so as to be in contact with the upper surface of the outer peripheral wall 44a of the cleaning chamber body 44 , the cover member 72 , and the seal A cleaning chamber is defined by a portion 84 .

절삭 장치(2)에 포함되는 세정 장치(40)에 있어서의 피가공물의 세정은 예컨대 이하의 순서로 이루어진다. 우선, 상술한 것과 같이 가공된 피가공물을 반출입 위치에 있는 척 테이블(42)에 놓는다. 이어서, 척 테이블(42)을 세정 위치로 이동시킨 후에 척 테이블(42)에 피가공물을 흡인 유지시킨다.The cleaning of the workpiece in the cleaning device 40 included in the cutting device 2 is performed, for example, in the following procedure. First, the workpiece processed as described above is placed on the chuck table 42 in the carry-in/out position. Next, after the chuck table 42 is moved to the cleaning position, the workpiece is held by suction on the chuck table 42 .

이어서, 세정용 챔버 본체(44)의 외주벽(44a)의 상면에 접촉하도록 덮개를 배치하여 세정용 챔버를 구성함과 더불어 세정 유닛(54)의 노즐(54c)을 피가공물의 위쪽에 위치시키도록 축부(54a)를 회전시킨다. 이어서, 배기관(46)을 통해 세정용 챔버를 배기한 상태에서, 척 테이블(42)을 회전시키면서 노즐(54c)로부터 피가공물에 세정액을 공급한다. 이에 따라, 피가공물의 표면에 부착된 절삭 부스러기 등이 제거된다. Next, a cover is disposed to contact the upper surface of the outer peripheral wall 44a of the cleaning chamber body 44 to constitute a cleaning chamber, and the nozzle 54c of the cleaning unit 54 is positioned above the workpiece. The shaft portion 54a is rotated so as to Next, in a state in which the cleaning chamber is exhausted through the exhaust pipe 46 , the cleaning liquid is supplied to the workpiece from the nozzle 54c while rotating the chuck table 42 . Thereby, cutting chips and the like adhering to the surface of the workpiece are removed.

이어서, 세정 유닛(54)의 노즐(54c)을 피가공물의 위쪽으로부터 후퇴시키도록 축부(54a)를 회전시키며, 또한 건조 유닛(56)의 노즐(56c)을 피가공물의 위쪽에 위치시키도록 축부(56a)를 회전시킨다. 이어서, 척 테이블(42)을 회전시키면서 노즐(56c)로부터 피가공물에 에어를 공급한다. 이에 따라, 피가공물의 표면에 부착된 세정액 등이 제거된다. Next, the shaft portion 54a is rotated to retract the nozzle 54c of the cleaning unit 54 from above the workpiece, and the shaft portion is configured to position the nozzle 56c of the drying unit 56 above the workpiece. Rotate (56a). Next, while rotating the chuck table 42, air is supplied to the workpiece from the nozzle 56c. Thereby, the cleaning liquid or the like adhering to the surface of the workpiece is removed.

이어서, 건조 유닛(56)의 노즐(56c)을 피가공물의 위쪽으로부터 후퇴시키도록 축부(56a)를 회전시킨다. 이어서, 척 테이블(42)을 반출입 위치로 이동시킨 후에 척 테이블(42)로부터 피가공물을 반출한다. 이상에 의해, 피가공물의 세정이 완료된다.Next, the shaft portion 56a is rotated so as to retract the nozzle 56c of the drying unit 56 from above the workpiece. Next, after moving the chuck table 42 to the carry-in/out position, the workpiece is unloaded from the chuck table 42 . As described above, the cleaning of the workpiece is completed.

세정 장치(40)에서는, 케이스(70) 및 스핀들(60) 사이의 공간(예컨대 개방부(A) 및 압력 가변부(B))과 세정용 챔버의 연통을 차단하는 시일부(84)가 스핀들(60)과 접촉하는 자성 유체(84c)를 갖는다. 그 때문에, 세정 장치(40)에서는, 고체끼리를 접촉시키는 일 없이 상기 공간과 세정용 챔버의 연통이 차단된다. 그 결과, 스핀들(60)의 회전에 따른 시일부(84)의 마모가 저감되어, 상기 공간과 세정용 챔버의 연통을 장기간에 걸쳐 차단할 수 있다. In the cleaning device 40 , the sealing portion 84 for blocking communication between the space between the case 70 and the spindle 60 (eg, the open portion A and the pressure variable portion B) and the cleaning chamber is provided on the spindle (60) has a magnetic fluid (84c) in contact. Therefore, in the cleaning device 40 , communication between the space and the cleaning chamber is blocked without bringing the solids into contact. As a result, the wear of the seal portion 84 due to the rotation of the spindle 60 is reduced, and communication between the space and the cleaning chamber can be blocked over a long period of time.

더욱이, 세정 장치(40)에서는, 베어링(74) 및 압력 가변부(B)의 사이에 개방부(A)가 마련되어 있다. 이에 따라, 압력 가변부(B)에 있어서의 압력 변동에 따라서 압력 가변부(B)에서 개방부(A)로의 누설이 생긴 경우라도 베어링(74) 주변의 압력 변동이 억제된다. 그 때문에, 베어링(74)의 내부에 마련되는 그리스 등의 윤활제가 비산하는 것이 억제된다. Furthermore, in the cleaning device 40 , an open portion A is provided between the bearing 74 and the pressure variable portion B. Thereby, pressure fluctuations around the bearing 74 are suppressed even when leakage from the pressure variable portion B to the open portion A is caused by the pressure fluctuations in the pressure variable portion B. Therefore, scattering of lubricants, such as grease, provided inside the bearing 74 is suppressed.

또한, 이러한 개방부(A)가 마련된 경우에는, 시일부(84) 주변의 압력 변동도 억제된다. 그 때문에, 시일부(84)에 포함되는 자성 유체(84c)가 유출되는 것이 방지된다. 그 결과, 케이스(70) 및 스핀들(60) 사이의 공간과 세정용 챔버의 연통을 장기간에 걸쳐 차단할 수 있다.Moreover, when such an opening part A is provided, the pressure fluctuation|variation around the sealing part 84 is also suppressed. Therefore, it is prevented that the magnetic fluid 84c contained in the seal part 84 flows out. As a result, communication between the space between the case 70 and the spindle 60 and the cleaning chamber can be blocked over a long period of time.

또한, 상술한 실시형태에 따른 구조 및 방법 등은, 본 발명의 목적으로 하는 범위를 일탈하지 않는 한, 적절하게 변경하여 실시할 수 있다. In addition, the structure, method, etc. which concern on the above-mentioned embodiment can be implemented by changing suitably, unless it deviates from the range made into the objective of this invention.

2: 절삭 장치, 4: 베이스(4a: 개구), 6: 이동 테이블, 8: 방진방적 커버, 10: 척 테이블(10a: 다공판), 12: 지지 구조(12a: 스탠드부, 12b: 팔부), 14: Y축 방향 이동 기구, 16: Y축 가이드 레일, 18: Y축 이동 플레이트, 20: 나사축, 22: Z축 방향 이동 기구, 24: Z축 가이드 레일, 26: Z축 이동 플레이트, 28: 나사축, 30: 모터, 32: 절삭 유닛, 34: 스핀들 하우징, 36: 절삭 블레이드, 38: 촬상 유닛, 40: 세정 장치, 42: 척 테이블(42a: 다공판), 44: 세정용 챔버 본체(44a: 외주벽, 44b: 바닥벽, 44c: 내주벽), 46: 배기관, 48: 배수구, 50: 배수관, 52: 지지 다리, 54: 세정 유닛(54a: 축부, 54b: 팔부, 54c: 노즐), 56: 건조 유닛(56a: 축부, 56b: 팔부, 56c: 노즐), 58: 스핀들 유닛, 60: 스핀들(60a: 부압 또는 정압 공급관), 62: 모터, 64: 지지 기구, 66: 에어실린더, 68: 지지 다리, 70: 케이스, 72: 커버 부재, 74: 베어링, 76: 개방관, 78: 부압 공급관, 80: 정압 공급관, 82a, 82b: 오일시일, 84: 시일부(84a: 마그넷링, 84b: 볼피스, 84c: 자성 유체)2: cutting device, 4: base (4a: opening), 6: moving table, 8: dust-proof cover, 10: chuck table (10a: perforated plate), 12: support structure (12a: stand, 12b: arm) , 14: Y-axis direction movement mechanism, 16: Y-axis guide rail, 18: Y-axis movement plate, 20: screw shaft, 22: Z-axis direction movement mechanism, 24: Z-axis guide rail, 26: Z-axis movement plate, 28: screw shaft, 30: motor, 32: cutting unit, 34: spindle housing, 36: cutting blade, 38: imaging unit, 40: cleaning device, 42: chuck table (42a: perforated plate), 44: cleaning chamber Main body 44a: outer circumferential wall, 44b: bottom wall, 44c: inner circumferential wall), 46: exhaust pipe, 48: drain, 50: drain pipe, 52: support leg, 54: cleaning unit (54a: shaft, 54b: arm, 54c: Nozzle), 56: drying unit (56a: shaft, 56b: arm, 56c: nozzle), 58: spindle unit, 60: spindle (60a: negative or positive pressure supply pipe), 62: motor, 64: support mechanism, 66: air Cylinder 68: support leg, 70: case, 72: cover member, 74: bearing, 76: open tube, 78: negative pressure supply pipe, 80: positive pressure supply pipe, 82a, 82b: oil seal, 84: seal part (84a: magnet) ring, 84b: ball piece, 84c: magnetic fluid)

Claims (2)

피가공물을 유지면에서 유지하는 척 테이블과, 상기 척 테이블에 유지된 상기 피가공물에 세정액을 공급하는 노즐과, 상기 척 테이블을 회전 가능하게 지지하는 스핀들 유닛을 구비하며, 상기 척 테이블 및 상기 노즐을 수용하는 세정용 챔버에 있어서 상기 피가공물을 세정하는 세정 장치로서,
상기 스핀들 유닛은,
상기 척 테이블을 지지하는 스핀들과,
상기 스핀들을 회전 가능하게 지지하는 베어링과,
상기 스핀들의 일부 및 상기 베어링을 수용하는 케이스를 가지고,
상기 케이스 및 상기 스핀들의 사이에는, 압력 가변부 및 개방부가 마련되고,
상기 압력 가변부는, 상기 케이스에 접속된 부압 공급관 및 정압 공급관과, 상기 스핀들의 내부에 형성되어 상기 유지면 상의 공간에 연통되는 부압 또는 정압 공급관의 사이에 마련되며 또한, 상기 베어링보다도 상기 척 테이블로부터 먼 위치에 배치되고,
상기 개방부는, 상기 베어링 및 상기 압력 가변부의 사이에 마련되며 또한, 상기 케이스에 형성된 관통 구멍 또는 상기 케이스에 접속된 개방관을 통해 개방되고,
상기 스핀들은 상기 케이스로부터 돌출한 단부(端部)를 가지고,
상기 세정용 챔버의 일부는, 상기 스핀들의 상기 단부가 삽입되는 개구를 구비하는 커버 부재에 의해서 획정되고,
상기 커버 부재 및 상기 스핀들의 상기 단부의 사이에는, 상기 케이스 및 상기 스핀들 사이의 공간과 상기 세정용 챔버의 연통을 차단하는 시일부가 마련되고,
상기 시일부는,
상기 커버 부재의 상기 개구를 획정하는 내주면에 마련된 환형의 마그넷링과,
상기 마그넷링의 내측에 마련되며 또한, 상기 스핀들의 상기 단부와 접촉하는 자성 유체를 갖는 것을 특징으로 하는 세정 장치.
A chuck table for holding a workpiece on a holding surface, a nozzle for supplying a cleaning liquid to the workpiece held by the chuck table, and a spindle unit for rotatably supporting the chuck table, the chuck table and the nozzle A cleaning device for cleaning the workpiece in a cleaning chamber containing
The spindle unit is
a spindle supporting the chuck table;
a bearing for rotatably supporting the spindle;
having a case for accommodating a part of the spindle and the bearing,
Between the case and the spindle, a pressure variable part and an opening part are provided,
The pressure variable portion is provided between a negative pressure supply pipe and a positive pressure supply pipe connected to the case, and a negative pressure or positive pressure supply pipe formed inside the spindle and communicating with a space on the holding surface, and from the chuck table rather than the bearing. placed in a remote location,
The opening part is provided between the bearing and the pressure variable part and is opened through a through hole formed in the case or an opening pipe connected to the case,
The spindle has an end protruding from the case,
A portion of the cleaning chamber is defined by a cover member having an opening into which the end of the spindle is inserted,
Between the cover member and the end of the spindle, a sealing portion for blocking communication between the space between the case and the spindle and the cleaning chamber is provided,
The seal part,
An annular magnet ring provided on an inner circumferential surface defining the opening of the cover member;
The cleaning apparatus, characterized in that it is provided inside the magnet ring and has a magnetic fluid in contact with the end of the spindle.
제1항에 있어서, 상기 스핀들의 상기 단부는, 상기 자성 유체과 접촉하는 원주형의 피시일부와, 상기 피시일부로부터 상기 척 테이블 측으로 연장되는 상기 피시일부와 같은 직경의 원주형의 연장부를 갖는 것인 세정 장치. The method according to claim 1, wherein the end of the spindle has a cylindrical fish part in contact with the magnetic fluid, and a cylindrical extension part having the same diameter as the fish part extending from the fish part to the chuck table side. cleaning device.
KR1020220024367A 2021-03-03 2022-02-24 Cleaning apparatus KR20220124634A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2021-033263 2021-03-03
JP2021033263A JP2022134248A (en) 2021-03-03 2021-03-03 Cleaning device

Publications (1)

Publication Number Publication Date
KR20220124634A true KR20220124634A (en) 2022-09-14

Family

ID=83068094

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220024367A KR20220124634A (en) 2021-03-03 2022-02-24 Cleaning apparatus

Country Status (4)

Country Link
JP (1) JP2022134248A (en)
KR (1) KR20220124634A (en)
CN (1) CN115020274A (en)
TW (1) TW202236410A (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018073930A (en) 2016-10-27 2018-05-10 株式会社ディスコ Cleaning device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018073930A (en) 2016-10-27 2018-05-10 株式会社ディスコ Cleaning device

Also Published As

Publication number Publication date
JP2022134248A (en) 2022-09-15
CN115020274A (en) 2022-09-06
TW202236410A (en) 2022-09-16

Similar Documents

Publication Publication Date Title
CN107081855B (en) Chuck worktable mechanism
KR20180010979A (en) Grinding apparatus
TWI715728B (en) Moving body feeding mechanism and processing device
JP6769680B2 (en) Flange mechanism
JP2019055445A (en) Mechanism for mounting cutting blade
KR20220001451A (en) Processing apparatus
US20220203487A1 (en) Blade replacing device
JP6696851B2 (en) Chuck table mechanism
KR20220124634A (en) Cleaning apparatus
TWI660823B (en) Cutting device
KR20230014058A (en) Processing apparatus
KR20220001450A (en) Processing apparatus
JP2014079833A (en) Cutting device
JP5523041B2 (en) Imaging device
JP2021167034A (en) Blade holding fixture, cutting device, and fitting method for cutting blade
JP6735349B2 (en) Method and system for collecting fine particles
JP6800689B2 (en) Chuck table mechanism
CN106997864B (en) Chuck working table
JP2009202294A (en) Floating chuck device and floating chuck unit
JP7282458B2 (en) Holding table and processing equipment
JP7205047B2 (en) Positioning device and positioning method for transported object
CN113858457A (en) Chuck working table
CN116494124A (en) Chuck table and grinding device
KR20220133770A (en) Clamp jig and grinding method
JP2017103331A (en) Rotation mechanism and cutting device