KR20220073275A - Metal precursor compound and deposition method for preparing film using the same - Google Patents

Metal precursor compound and deposition method for preparing film using the same Download PDF

Info

Publication number
KR20220073275A
KR20220073275A KR1020200161191A KR20200161191A KR20220073275A KR 20220073275 A KR20220073275 A KR 20220073275A KR 1020200161191 A KR1020200161191 A KR 1020200161191A KR 20200161191 A KR20200161191 A KR 20200161191A KR 20220073275 A KR20220073275 A KR 20220073275A
Authority
KR
South Korea
Prior art keywords
precursor compound
metal precursor
metal
alkyl group
formula
Prior art date
Application number
KR1020200161191A
Other languages
Korean (ko)
Other versions
KR102550599B1 (en
Inventor
이경주
서재용
윤수형
장홍석
이민희
Original Assignee
오션브릿지 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 오션브릿지 주식회사 filed Critical 오션브릿지 주식회사
Priority to KR1020200161191A priority Critical patent/KR102550599B1/en
Publication of KR20220073275A publication Critical patent/KR20220073275A/en
Application granted granted Critical
Publication of KR102550599B1 publication Critical patent/KR102550599B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)

Abstract

본 발명의 금속 전구체 화합물은 하기 화학식 1로 표시된다.
[화학식 1]

Figure pat00017

(상기, 화학식 1에서, M은 금속이고, L은 각각 독립적으로 수소, C1 내지 C6의 알킬기, NR'R", OR', 아미디네이트(amidinate), β-디케토네이트(β-diketonate) 또는 케토이미네이트(keto-iminate)이며, R' 및 R"는 각각 독립적으로 C1 내지 C6의 알킬기, n은 1 내지 5의 정수임).The metal precursor compound of the present invention is represented by the following formula (1).
[Formula 1]
Figure pat00017

(In Formula 1, M is a metal, and L is each independently hydrogen, a C1 to C6 alkyl group, NR'R", OR', amidinate, β-diketonate) or keto-iminate, wherein R' and R" are each independently a C1 to C6 alkyl group, n is an integer from 1 to 5).

Description

금속 전구체 화합물 및 이를 이용한 금속막 형성방법{METAL PRECURSOR COMPOUND AND DEPOSITION METHOD FOR PREPARING FILM USING THE SAME}Metal precursor compound and method of forming a metal film using the same

본 발명은 금속 전구체 화합물 및 이를 이용한 금속막 형성방법에 관한 것이다.The present invention relates to a metal precursor compound and a method for forming a metal film using the same.

원자층 증착(ALD) 또는 화학 기상 증착(CVD) 공정을 위한 전구체로 다양한 형태의 유기금속 화합물이 개발되어 사용되고 있다. 이러한 증착 공정을 적용하여 DRAM, 캐패시터 등의 반도체 소자를 제조할 때 주로 사용되던 재료는 산화 실리콘이었으나, 최근 high-k의 전기적 특성이 요구됨에 따라 기존의 산화 실리콘 대신 하프늄 또는 지르코늄 산화물을 이용한 박막이 제조되고 있다.Various types of organometallic compounds have been developed and used as precursors for atomic layer deposition (ALD) or chemical vapor deposition (CVD) processes. Silicon oxide was the main material used when manufacturing semiconductor devices such as DRAM and capacitors by applying this deposition process, but as high-k electrical properties are recently required, a thin film using hafnium or zirconium oxide is used instead of conventional silicon oxide. is being manufactured.

특히, 최근 소자가 소형화 및 고집적화됨에 따라 얇은 산화막으로 인한 터널링 현상이 발생하여 누설 전류의 증가한다는 단점이 있다. 또한, 커패시터의 정전용량이 감소하여 소자로 한계점이 드러나고 있다.In particular, as devices are miniaturized and highly integrated in recent years, a tunneling phenomenon due to a thin oxide film occurs and leakage current increases. In addition, the capacitance of the capacitor decreases, revealing a limit to the device.

지금까지의 금속 전구체 화합물들은 고온에서 열적 안정성이 목표하는 정도에 미치지 못해 증착 공정 시 공정 유동성이 낮아 다양한 공정에 활용되기 어렵고, 증착률 및 성장률도 목표에 미치지 못하는 단점이 있다.Metal precursor compounds so far have disadvantages in that thermal stability at high temperature does not reach the target level, so it is difficult to be used in various processes due to low process fluidity during the deposition process, and the deposition rate and growth rate do not reach the target.

이에, 열적 안정성이 우수한 금속 전구체 화합물에 대한 개발이 필요하다. Accordingly, it is necessary to develop a metal precursor compound having excellent thermal stability.

본 발명의 목적은 열적 안정성이 우수한 금속 전구체 화합물 및 이를 이용한 금속막 형성방법을 제공하기 위한 것이다.An object of the present invention is to provide a metal precursor compound having excellent thermal stability and a method for forming a metal film using the same.

본 발명의 상기 및 기타의 목적들은 하기 설명되는 본 발명에 의하여 모두 달성될 수 있다.The above and other objects of the present invention can all be achieved by the present invention described below.

본 발명의 하나의 관점은 금속 전구체 화합물에 관한 것이다.One aspect of the present invention relates to metal precursor compounds.

일 구체예에 따르면, 상기 금속 전구체 화합물은 하기 화학식 1로 표시된다.According to one embodiment, the metal precursor compound is represented by the following formula (1).

[화학식 1][Formula 1]

Figure pat00001
Figure pat00001

(상기, 화학식 1에서, M은 금속이고, L은 각각 독립적으로 수소, C1 내지 C6의 알킬기, NR'R", OR', 아미디네이트(amidinate), β-디케토네이트(β-diketonate) 또는 케토이미네이트(keto-iminate)이며, R' 및 R"는 각각 독립적으로 C1 내지 C6의 알킬기, R1 내지 R2는 각각 독립적으로 C1 내지 C6의 알킬기, R11은 각각 독립적으로 C1 내지 C6의 알킬기, n은 1 내지 5의 정수임).(In Formula 1, M is a metal, and L is each independently hydrogen, a C1 to C6 alkyl group, NR'R", OR', amidinate, β-diketonate) or keto-iminate, R' and R" are each independently a C1 to C6 alkyl group, R 1 to R2 are each independently a C1 to C6 alkyl group, R 11 are each independently C1 to C6 of an alkyl group, n is an integer from 1 to 5).

상기 M은 티타늄(Ti), 지르코늄(Zr) 및 하프늄(Hf) 중 하나를 포함할 수 있다.The M may include one of titanium (Ti), zirconium (Zr), and hafnium (Hf).

또한, 상기 화학식 1의 L 중 하나 이상이 NR'R"일 수 있다.In addition, at least one of L in Formula 1 may be NR′R″.

다른 구체예에서, 상기 금속 전구체 화합물은 하기 화학식 2로 표시될 수 있다.In another embodiment, the metal precursor compound may be represented by Formula 2 below.

[화학식 2][Formula 2]

Figure pat00002
Figure pat00002

(상기 화학식 2에서, M은 Ti, Zr 또는 Hf이고, R1, R2, 및 R5 내지 R11은 각각 독립적으로 수소, C1-C6의 선형 또는 분지형 알킬기이고, n은 1 내지 5의 정수임).(In Formula 2, M is Ti, Zr, or Hf, R 1 , R 2 , and R 5 to R 11 are each independently hydrogen, a C1-C6 linear or branched alkyl group, and n is 1 to 5 integer).

상기 R1 및 R2는 각각 독립적으로 C1 내지 C3의 선형 또는 분지형 알킬기일 수 있다.The R 1 and R 2 may each independently be a C1 to C3 linear or branched alkyl group.

또한, 상기 R1 및 R2는 서로 동일한 알킬기일 수 있다.In addition, R 1 and R 2 may be the same alkyl group.

상기 R5와 R6, R7과 R8, 및 R9과 R10 중 한 쌍 이상이 서로 동일한 알킬기일 수 있다.At least one pair of R 5 and R 6 , R 7 and R 8 , and R 9 and R 10 may be the same alkyl group.

또한, 상기 R5 내지 R10는 서로 동일한 알킬기일 수 있다.In addition, R 5 to R 10 may be the same alkyl group.

또 다른 구체예에서, 상기 금속 전구체 화합물은 하기 화학식 3으로 표시될 수 있다.In another embodiment, the metal precursor compound may be represented by Formula 3 below.

[화학식 3][Formula 3]

Figure pat00003
Figure pat00003

(상기 화학식 3에서, M은 Ti, Zr 또는 Hf이고, R1-R11은 각각 독립적으로 수소 또는 C1-C6의 선형 또는 분지형 알킬기이고, m은 0 내지 3의 정수임).(In Formula 3, M is Ti, Zr, or Hf, R 1 -R 11 are each independently hydrogen or a C1-C6 linear or branched alkyl group, and m is an integer of 0 to 3).

상기 R1-R11은 각각 독립적으로 H, CH3, C2H5, C3H7, CH(CH3)2 및 C(CH3)3 중 하나 이상을 포함할 수 있다.Each of R 1 -R 11 may independently include one or more of H, CH 3 , C 2 H 5 , C 3 H 7 , CH(CH 3 ) 2 , and C(CH 3 ) 3 .

본 발명의 다른 관점은 금속막 형성방법에 관한 것이다.Another aspect of the present invention relates to a method for forming a metal film.

일 구체예에 따르면 상기 금속막 형성방법은 상기 금속 전구체 화합물을 이용하여 기판 상에 금속막을 증착하는 단계를 포함할 수 있다.According to one embodiment, the method of forming the metal film may include depositing a metal film on the substrate using the metal precursor compound.

이 경우, 상기 금속막은 원자층 증착법(ALD), 화학 기상 증착법(CVD), 또는 증발법(Evaporation) 중 하나의 방법에 의해 증착되는 것일 수 있다.In this case, the metal layer may be deposited by one of atomic layer deposition (ALD), chemical vapor deposition (CVD), and evaporation.

상기 금속 전구체 화합물을 상기 기판에 공급하는 금속 전구체 화합물 전달 단계를 추가적으로 포함할 수 있고, 상기 금속 전구체 화합물 전달 단계는 증기압을 이용하여 휘발 이송 방법, 직접 액체 주입 방법, 또는 액체 이송 방법 중 어느 하나일 수 있다.It may further include a metal precursor compound delivery step of supplying the metal precursor compound to the substrate, wherein the metal precursor compound delivery step is any one of a volatilization transfer method, a direct liquid injection method, or a liquid transfer method using vapor pressure. can

상기 증착은, 챔버 내에 기판을 위치하는 단계; 상기 금속 전구체 화합물을 상기 챔버 내에 공급하는 단계; 상기 챔버 내에 반응성 기체 또는 반응성 기체의 플라즈마를 공급하는 단계; 및 상기 챔버 내에서 열처리, 플라즈마 처리 및 광 조사 중 어느 하나 또는 그 이상의 수단에 의해 처리하는 단계;를 포함할 수 있다.The deposition may include placing a substrate in a chamber; supplying the metal precursor compound into the chamber; supplying a reactive gas or plasma of the reactive gas into the chamber; and processing by any one or more means of heat treatment, plasma processing, and light irradiation in the chamber.

상기 반응성 기체는 수증기(H2O), 산소(O2), 오존(O3), 과산화수소(H2O2), 수소(H2), 암모니아(NH3), 일산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 히드라진(N2H4), 및 실란(SiH4) 중 어느 하나 또는 그 이상일 수 있고, 상기 반응성 기체의 플라즈마는 RF 플라즈마, DC 플라즈마, 또는 리모트(Remote) 플라즈마 중 어느 하나일 수 있다.The reactive gas is water vapor (H 2 O), oxygen (O 2 ), ozone (O 3 ), hydrogen peroxide (H 2 O 2 ), hydrogen (H 2 ), ammonia (NH 3 ), nitrogen monoxide (NO), nitrous oxide It may be any one or more of nitrogen (N 2 O), nitrogen dioxide (NO 2 ), hydrazine (N 2 H 4 ), and silane (SiH 4 ), and the plasma of the reactive gas is RF plasma, DC plasma, or remote (Remote) It may be any one of plasma.

본 발명은 열적 안정성이 우수한 금속 전구체 화합물 및 이를 이용한 금속막 형성방법을 제공하는 효과를 갖는다.The present invention has the effect of providing a metal precursor compound having excellent thermal stability and a method for forming a metal film using the same.

도 1은 본 발명 실시예 1에서 제조된 Cp(Me)(NMe2)2의 NMR이다.
도 2는 본 발명 실시예 2에서 제조된 Cp(Me)(NMe2)2Zr(NMe2)3의 NMR이다.
도 3은 본 발명 실시예 3에서 제조된 Cp(Me)(NMe2)2Hf(NMe2)3의 NMR이다.
도 4는 본 발명 실시예 4에서 제조된 Cp(Me)(NMe2)2Ti(NMe2)3의 NMR이다.
도 5는 본 발명 실시예 5에서 제조된 Cp(Me)(NMe2)2Hf(NEtMe)3의 NMR이다.
도 6은 본 발명 비교예 1에서 제조된 Cp(Me)Zr(NMe2)3의 NMR이다.
도 7은 본 발명 실시예 3에서 제조된 Cp(Me)(NMe2)2Hf(NMe2)3의 TG 그래프이다.
도 8은 본 발명 실시예 3에서 제조된 Cp(Me)(NMe2)2Hf(NMe2)3의 DSC 그래프이다.
도 9는 본 발명 비교예 1에서 제조된 Cp(Me)Zr(NMe2)3의 TG 그래프이다.
도 10은 본 발명 비교예 1에서 제조된 Cp(Me)Zr(NMe2)3의 DSC 그래프이다.
1 is an NMR of Cp(Me)(NMe 2 ) 2 prepared in Example 1 of the present invention.
2 is an NMR of Cp(Me)(NMe 2 ) 2 Zr(NMe 2 ) 3 prepared in Example 2 of the present invention.
3 is an NMR of Cp(Me)(NMe 2 ) 2 Hf(NMe 2 ) 3 prepared in Example 3 of the present invention.
4 is an NMR of Cp(Me)(NMe 2 ) 2 Ti(NMe 2 ) 3 prepared in Example 4 of the present invention.
5 is an NMR of Cp(Me)(NMe 2 ) 2 Hf(NEtMe) 3 prepared in Example 5 of the present invention.
6 is an NMR of Cp(Me)Zr(NMe 2 ) 3 prepared in Comparative Example 1 of the present invention.
7 is a TG graph of Cp(Me)(NMe 2 ) 2 Hf(NMe 2 ) 3 prepared in Example 3 of the present invention.
8 is a DSC graph of Cp(Me)(NMe 2 ) 2 Hf(NMe 2 ) 3 prepared in Example 3 of the present invention.
9 is a TG graph of Cp(Me)Zr(NMe 2 ) 3 prepared in Comparative Example 1 of the present invention.
10 is a DSC graph of Cp(Me)Zr(NMe 2 ) 3 prepared in Comparative Example 1 of the present invention.

이하, 본 발명에 대해 보다 구체적으로 설명한다.Hereinafter, the present invention will be described in more detail.

본 발명을 설명함에 있어서, 관련된 공지 기술에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우 그 상세한 설명은 생략한다. In describing the present invention, if it is determined that a detailed description of a related known technology may unnecessarily obscure the gist of the present invention, the detailed description thereof will be omitted.

본 명세서 상에서 언급한 '포함한다', '갖는다', '이루어진다' 등이 사용되는 경우 '~만'이 사용되지 않는 이상 다른 부분이 추가될 수 있다. 구성 요소를 단수로 표현한 경우에 특별히 명시적인 기재 사항이 없는 한 복수를 포함하는 경우를 포함한다.When 'including', 'having', 'consisting', etc. mentioned in this specification are used, other parts may be added unless 'only' is used. When a component is expressed in the singular, cases including the plural are included unless otherwise explicitly stated.

또한, 구성 요소를 해석함에 있어서, 별도의 명시적 기재가 없더라도 오차 범위를 포함하는 것으로 해석한다.In addition, in interpreting the components, it is interpreted as including an error range even if there is no separate explicit description.

또한, 본 명세서에 있어서, 범위를 나타내는 'X 내지 Y'는 'X 이상 Y 이하'를 의미한다. In addition, in this specification, 'X to Y' representing a range means 'X or more and Y or less'.

금속 전구체 화합물metal precursor compounds

본 발명의 하나의 관점인 금속 전구체 화합물은 일 구체예에 따르면 하기 화학식 1로 표시된다.According to one embodiment, the metal precursor compound according to one aspect of the present invention is represented by the following formula (1).

[화학식 1][Formula 1]

Figure pat00004
Figure pat00004

(상기, 화학식 1에서, M은 금속이고, L은 각각 독립적으로 수소, C1 내지 C6의 알킬기, NR'R", OR', 아미디네이트(amidinate), β-디케토네이트(β-diketonate) 또는 케토이미네이트(keto-iminate)이며, R' 및 R"는 각각 독립적으로 C1 내지 C6의 알킬기, R1 내지 R2는 각각 독립적으로 C1 내지 C6의 알킬기, R11은 각각 독립적으로 C1 내지 C6의 알킬기, n은 1 내지 5의 정수임).(In Formula 1, M is a metal, and L is each independently hydrogen, a C1 to C6 alkyl group, NR'R", OR', amidinate, β-diketonate) or keto-iminate, R' and R" are each independently a C1 to C6 alkyl group, R 1 to R2 are each independently a C1 to C6 alkyl group, R 11 are each independently C1 to C6 of an alkyl group, n is an integer from 1 to 5).

상기 금속 전구체 화합물은 기존 전구체 화합물에 비해 높은 열 안정성으로 증착 공정 유동성이 높아 다양한 공정에 활용될 수 있고, 이에 따라 금속막의 증착률 및 성장률을 개선할 수 있는 장점이 있다.The metal precursor compound has an advantage in that it can be used in various processes due to high thermal stability and high fluidity in the deposition process compared to the conventional precursor compound, and thus the deposition rate and growth rate of the metal film can be improved.

상기 M은 티타늄(Ti), 지르코늄(Zr) 및 하프늄(Hf) 중 하나를 포함할 수 있다. 이 경우, high-k 특성이 우수한 막막 제조가 가능한 장점이 있다.The M may include one of titanium (Ti), zirconium (Zr), and hafnium (Hf). In this case, there is an advantage in that it is possible to manufacture a film having excellent high-k characteristics.

상기 금속 전구체 화합물은 용매를 더 포함하여 전구체 조성물로 적용될 수 있는데, 상기 용매는 상기 금속 전구체 화합물이 실온에서 점도가 높은 액체 상태이거나 고체 상태인 상태로 있는 경우, 이를 희석하여 점도를 낮추거나 용해하기 위하여 첨가되는 것이다. 또한, 상기 용매는 상기 전구체 조성물 중 0.1 중량% 내지 99 중량%, 구체적으로 0.1 중량% 내지 50 중량%, 더욱 구체적으로 1 중량% 내지 20중량%로 포함될 수 있다.The metal precursor compound may be applied as a precursor composition by further including a solvent, and the solvent is used to lower the viscosity or dissolve the metal precursor compound by diluting it when the metal precursor compound is in a high-viscosity liquid or solid state at room temperature. will be added for In addition, the solvent may be included in an amount of 0.1 wt% to 99 wt%, specifically 0.1 wt% to 50 wt%, and more specifically 1 wt% to 20 wt% of the precursor composition.

구체적으로, 사이클로펜타디에닐기를 포함하는 금속 전구체 화합물은 점도 범위가 8.7 내지 10cps 정도로서 용매를 혼합할 경우 점도값이 9 내지 10cps로서 박막 제조 공정에서 요구되는 10cps 이하, 구체적으로 5 내지 9cps의 점도값을 대체로 충족하지만, 구조에 따라서 점도값이 10cps 이상인 화합물이 존재하며, 이러한 금속 전구체 화합물의 점도값을 고려하여 상기 용매는 구조에 따라 상기 함량 범위 내에서 적절한 양을 혼합하여 사용할 수 있다.Specifically, the metal precursor compound containing a cyclopentadienyl group has a viscosity range of about 8.7 to 10 cps, and a viscosity value of 9 to 10 cps when mixing a solvent is 10 cps or less, specifically 5 to 9 cps required in the thin film manufacturing process. However, depending on the structure, there is a compound having a viscosity value of 10 cps or more, and in consideration of the viscosity value of the metal precursor compound, the solvent may be used in an appropriate amount within the content range according to the structure.

구체예에서, 상기 화학식 1의 L 중 하나 이상이 NR'R"일 수 있다.In an embodiment, at least one of L in Formula 1 may be NR′R″.

구체적으로, 상기 금속 전구체 화합물은 하기 화학식 2로 표시될 수 있다.Specifically, the metal precursor compound may be represented by Formula 2 below.

[화학식 2][Formula 2]

Figure pat00005
Figure pat00005

(상기 화학식 2에서, M은 Ti, Zr 또는 Hf이고, R1, R2, 및 R5 내지 R11은 각각 독립적으로 수소, C1-C6의 선형 또는 분지형 알킬기이고, n은 1 내지 5의 정수임).(In Formula 2, M is Ti, Zr, or Hf, R 1 , R 2 , and R 5 to R 11 are each independently hydrogen, a C1-C6 linear or branched alkyl group, and n is 1 to 5 integer).

상기 금속 전구체 화합물은 열 안정성 개선을 위해 상기 R1 및 R2는 각각 독립적으로 C1 내지 C3의 선형 또는 분지형 알킬기일 수 있으며, 또한 상기 R1 및 R2는 서로 동일한 알킬기일 수 있다.In the metal precursor compound, in order to improve thermal stability, R 1 and R 2 may each independently be a C1 to C3 linear or branched alkyl group, and R 1 and R 2 may be the same alkyl group.

구체예에서, 상기 R5와 R6, R7과 R8, 및 R9과 R10 중 한 쌍 이상이 서로 동일한 알킬기일 수 있고, 또한 상기 R5 내지 R10는 서로 동일한 알킬기일 수 있다. 이 경우, 금속 전구체 화합물은 열 안정성 개선으로 공정 유동성이 높아 다양한 공정에 활용될 수 있다.In embodiments, at least one pair of R 5 and R 6 , R 7 and R 8 , and R 9 and R 10 may be the same alkyl group, and R 5 to R 10 may be the same alkyl group. In this case, the metal precursor compound has high process fluidity due to improved thermal stability and thus can be used in various processes.

또 다른 구체예에서, 상기 금속 전구체 화합물은 하기 화학식 3으로 표시될 수 있다.In another embodiment, the metal precursor compound may be represented by Formula 3 below.

[화학식 3][Formula 3]

Figure pat00006
Figure pat00006

(상기 화학식 3에서, M은 Ti, Zr 또는 Hf이고, R1-R11은 각각 독립적으로 수소 또는 C1-C6의 선형 또는 분지형 알킬기이고, m은 0 내지 3의 정수임).(In Formula 3, M is Ti, Zr, or Hf, R 1 -R 11 are each independently hydrogen or a C1-C6 linear or branched alkyl group, and m is an integer of 0 to 3).

구체적으로, 상기 R1-R11은 각각 독립적으로 H, CH3, C2H5, C3H7, CH(CH3)2 및 C(CH3)3 중 하나 이상을 포함할 수 있다. 이 경우, 전이 금속 전구체 화합물의 열 안정성이 최적화될 수 있다.Specifically, each of R 1 -R 11 may independently include one or more of H, CH 3 , C 2 H 5 , C 3 H 7 , CH(CH 3 ) 2 , and C(CH 3 ) 3 . In this case, the thermal stability of the transition metal precursor compound can be optimized.

상기 화학식 3으로 표시되는 금속 전구체 화합물은 ((CH3)(CH3)N)2Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Zr-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Hf-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2Cp-Ti-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Zr-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Zr-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Zr-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Zr-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Zr-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Hf-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Hf-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Hf-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Hf-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Hf-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2Cp-Ti-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(C3H7)N)2Cp-Ti-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2Cp-Ti-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(C3H7)N)2Cp-Ti-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(CH2CH3)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C3H7)(C3H7))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C3H7)(C4H9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C3H7)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C3H7)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C4H9)(CH4CH9))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C4H9)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C4H9)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C5H11)(C5H11))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C5H11)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((C3H7)(C3H7)N)2Cp-Ti-(N(C6H13)(C6H13))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)HN)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)HN)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)HN)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2(Me)Cp-Zr-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2(Me)Cp-Hf-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH3)N)2(Me)Cp-Ti-(N(CH3)(CH2CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH2CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH2CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CH2CH2CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CHCH3CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CHCH3CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH3)(CHCH3CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH2CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH2CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CH2CH2CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CHCH3CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CHCH3CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH3)(CHCH3CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH2CH3)(CH2CH2CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH2CH3)(CH2CH2CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH2CH3)(CH2CH2CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH2CH3)(CHCH3CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH2CH3)(CHCH3CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CH2CH2CH3)(CHCH3CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CHCH3CH3)(CHCH3CH3)N)2(Me)Cp-Zr-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), ((CHCH3CH3)(CHCH3CH3)N)2(Me)Cp-Hf-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)), 및 ((CHCH3CH3)(CHCH3CH3)N)2(Me)Cp-Ti-(N(CH3)(CH3))(N(CH3)(CH3))(N(CH3)(CH3)) 중 하나 이상을 포함할 수 있으나, 이에 제한되지 않는다. 여기서, Cp는 사이클로펜다디에닐(cyclopentadienyl)기이다.The metal precursor compound represented by Formula 3 is ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) (N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 ) )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(CH 3 ))( N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti- (N(CH 3 )(CH 3 ))(N(CH 3 ) 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(CH 3 )) (N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N( CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr -(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) (CH 3 )N) 2 Cp-Hf-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (CH 3 )(CH 3 )N) 2 Cp-Zr-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(CH 3 ) (C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N (CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr- (N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp- Hf-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N ) 2 Cp-Zr-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 ) )N) 2 Cp-Hf-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )( CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) )(CH 3 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(CH 2 CH 3 ) )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N( CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf -(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 3 H 7 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 3 H 7 ) ))(N(CH 3 )(CH 3 ))(N(CH 3 )(C H 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 4 H 9 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 5 H 11 ) ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(C 3 H 7 )( C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(C 3 ) H 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-( N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp -Hf-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 ) N) 2 Cp-Ti-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) (CH 3 )N) 2 Cp-Zr-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (CH 3 )(CH 3 )N) 2 Cp-Hf-(N(C 4 H 9 )(CH 4 CH 9 ) ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(C 4 H 9 )( CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(C 4 ) H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-( N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp -Ti-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 ) N) 2 Cp-Zr-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) (CH 3 )N) 2 Cp-Hf-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (CH 3 )(CH 3 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))( N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 3 )(CH 3 )N) 2 Cp-Zr-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Hf-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 Cp-Ti-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(C 3 H 7 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(C 4 H 9 ) ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )( C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N( CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr -(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 ) N) 2 Cp-Zr-(N(CH 2 CH 3 ) (C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N (CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 ) CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), (( CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 4 H 9 )(CH 4 CH 9 ))( N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp- Zr-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 ) )N) 2 Cp-Zr-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr- (N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N ) 2 Cp-Zr-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 ) H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ) ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 4 H 9 ))(N( CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr- (N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 4 H 9 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 5 ) H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 ) H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr -(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 ) N) 2 Cp-Zr-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) (C 3 H 7 )N) 2 Cp-Zr-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 6 H 13 )(C 6 H 13 )))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(CH 2 CH 3 ) ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 ) )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ) ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(C 5 H 11 ))(N( CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )( CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 4 H 9 ) )(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 3 H) 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp -Zr-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 5 H 11 )) (N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr-(N(C 5 H 11 ) )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Zr -(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 ) )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )( C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 6 H 13 ) )(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 4 H) 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp- Zr-(N(C 4 H 9 )(C 5 ) H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N( C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 ) (C 3 H 7 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 3 H 7 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 3 )(C 4 ) H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N( CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp -Zr-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 C) H 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp -Zr-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 )(C 5 H 11 )) (N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 3 H 7 ) )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr -(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Zr-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 ) (C 3 H 7 )N) 2 Cp-Zr-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 5 H 11 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 6 H 13 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 3 ) H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 2 ) CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf -(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 ) N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) (CH 2 CH 3 )N) 2 Cp-H f-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 ) )N) 2 Cp-Hf-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) ), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ) ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 4 H 9 )(C 5 H 11 ))(N( CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 5 H) 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf- (N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N ) 2 Cp-Hf-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )( C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), (( CH 3 )(C 3 H 7 )N) 2 Cp-Hf-( N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp -Hf-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 ) N) 2 Cp-Hf-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 6 H 13 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 3 ) H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 3 ) H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf -(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 ) N) 2 Cp-Hf-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 ) )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 4 H 9 )(CH 4 CH 9 ) )(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 4 H 9 ) (C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N (C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 ) H 7 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), (( CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 4 H 9 ))( N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N (CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 3 ) H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N( CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 ) (CH 2 CH 3 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 5 H 11 ) ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 3 ) H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp -Hf-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 6 H 13 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Hf-(N(C 6 H 13 )( C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-( N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 5 H 11 ) )(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp- Hf-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 ) H 7 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), (( CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) (CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ) ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 4 H 9 )(CH 4 CH 9 ))( N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 4 H 9 ) (C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf- (N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 ) CH 3 )(C 3 H 7 )N) 2 Cp -Hf-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))( N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(C 4 H 9 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )(C 5 H 11 )) (N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 3 )( C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-( N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 ) N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))( N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N ) 2 Cp-Hf-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 ) )(C 3 H 7 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) ), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 ) )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )( C 3 H 7 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Hf-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(CH 2 CH 3 ))(N( CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 4 H 9 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 5 ) H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 2 ) CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti -(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 ) N) 2 Cp-Ti-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) (CH 2 CH 3 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 3 )(CH 2 CH 3 ) N) 2 Cp-Ti-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) (CH 2 CH 3 )N) 2 Cp-Ti-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 5 H 11 )))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 6 H 13 ) ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 6 H 13 ) )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-( N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp -Ti-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 ) N) 2 Cp-Ti-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 ) )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-( N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))( N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 5 H 11 )) (N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )( C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N( C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp -Ti-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 6 H 13 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 5 ) H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 5 ) H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(C 3 H 7 )N) 2 Cp-Ti -(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 3 ) H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N( CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N (CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N ) 2 Cp-Ti-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 ) )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) ), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 ) )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )( CH 2 CH 3 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 Cp-Ti-(N(C 6 H 13 )(C 6 H 13 )) (N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )( CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-( N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))( N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 5 ) H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N( CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti -(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 5 H 11 ))(N (CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 4 H 9 )( C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-( N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 ) N) 2 Cp-Ti-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((C 3 H 7 )( C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), (( C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 3 )(C 6 H 13 ))(N(CH 3 )( CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 3 H 7 ) )(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 4 H 9 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp- Ti-(N(CH 2 CH 3 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 ) H 7 )N) 2 Cp-Ti-(N(CH 2 CH 3 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), (( C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 3 H 7 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) (CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 4 H 9 ))(N(CH 3 )(CH 3 ) ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 5 H 11 ))( N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 ) )(C 3 H 7 )N) 2 Cp-Ti-(N(C 3 H 7 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) ), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 4 H 9 )(CH 4 CH 9 ))(N(CH 3 )(CH 3 ))(N (CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 5 H 11 ))(N(CH 3 ) )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 4 H 9 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 5 H 11 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )(C 3 H 7 )N) 2 Cp-Ti-(N(C 5 H 11 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((C 3 H 7 )( C 3 H 7 )N) 2 Cp-Ti-(N(C 6 H 13 )(C 6 H 13 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) )), ((CH 3 )(CH 3 )N) 2 (Me)Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) (CH 3 )), ((CH 3 )(CH 3 )N) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N( CH 3 )(CH 3 )), ((CH 3 )HN) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )HN) 2 (Me)Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )HN) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ) ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 ) (CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 (Me)Cp-Hf-( N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 3 )N) 2 (Me) Cp-Ti-(N(CH 3 )(CH 2 CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 ) )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 ) (CH 2 CH 3 )N) 2 (Me)Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 3 )N) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )), ((CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) (N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Zr-(N( CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(C H 3 )(CH 3 )), ((CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ) ))(N(CH 3 )(CH 3 )), ((CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 (Me)Cp-Hf-( N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 3 )N) 2 ( Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ( (CH 2 CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ) )(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Hf-(N( CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 3 )(CHCH 3 CH 3 )N) 2 (Me) Cp-Ti- (N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 2 CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 2 CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 ) (CH 3 )), ((CH 2 CH 2 CH 3 )(CH 2 CH 2 CH 3 )N) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 ) (CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 2 CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 2 CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Hf -(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CH 2 CH 2 CH 3 )(CHCH 3 CH 3 ) N) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), ((CHCH 3 CH 3 ) )(CHCH 3 CH 3 )N) 2 (Me)Cp-Zr-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )) , ((CHCH 3 CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Hf-(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 ))(N(CH 3 )(CH 3 )), and ((CHCH 3 CH 3 )(CHCH 3 CH 3 )N) 2 (Me)Cp-Ti-(N(CH 3 )(CH 3 ))(N(CH 3 )( CH 3 )) (N(CH 3 )(CH 3 ))), but is not limited thereto. Here, Cp is a cyclopentadienyl group.

금속 전구체 화합물 제조방법Method for preparing metal precursor compound

본 발명 하나의 관점에 따른 금속 전구체 화합물은 금속 전구체 화합물 제조방법에 의해 제조될 수 있다.The metal precursor compound according to one aspect of the present invention may be prepared by a method for preparing a metal precursor compound.

일 구체예에서, 상기 금속 전구체 화합물은 하기 반응식 1과 같이 비극성 용매하에서 테트라리간드 금속화합물로 아미노기 치환 사이클로펜다디엔계 화합물을 저온에서 첨가한 후 환류 교환반응을 한 후 감압 증류하는 방법에 의해 제조될 수 있다.In one embodiment, the metal precursor compound is prepared by adding an amino group-substituted cyclopendadiene-based compound as a tetraligand metal compound as a tetraligand metal compound at a low temperature as shown in Scheme 1 below, performing a reflux exchange reaction, and then distilling under reduced pressure. can

[반응식 1][Scheme 1]

Figure pat00007
Figure pat00007

상기 반응식 1에서 M, R1, R2, L, 및 n은 상기에서 정의한 바와 동일하고, Z는 알콕시, 트리알킬실록시, 디알킬아미노기, 비스(트리알킬실릴)아미노기, N,N-알킬,트리알킬실릴아미노기 중 하나일 수 있다.In Scheme 1, M, R 1 , R 2 , L, and n are the same as defined above, and Z is alkoxy, trialkylsiloxy, dialkylamino group, bis(trialkylsilyl)amino group, N,N-alkyl , It may be one of a trialkylsilylamino group.

다른 구체예에서, 상기 금속 전구체 화합물은 하기 반응식 2와 같이 용매하에서 테트라클로라이드 금속(MCl4)을 출발물질로 하여 제조될 수 있다. 용매로는 약한 극성을 가진 디에틸에테르, 테트라하이드로퓨란, 또는 톨루엔을 적용할 수 있다.In another embodiment, the metal precursor compound may be prepared using tetrachloride metal (MCl 4 ) as a starting material in a solvent as shown in Scheme 2 below. As the solvent, diethyl ether, tetrahydrofuran, or toluene having a weak polarity can be applied.

[반응식 2][Scheme 2]

Figure pat00008
Figure pat00008

상기 반응식 2에서 M, R1, R2, L, 및 n은 상기에서 정의한 바와 동일하고, A는 리튬 또는 소듐 금속 양이온을 의미한다.In Scheme 2, M, R 1 , R 2 , L, and n are the same as defined above, and A means a lithium or sodium metal cation.

이 외에도 적절한 합성 메커니즘으로 적용할 수 있다.In addition to this, it can be applied as an appropriate synthesis mechanism.

금속막 형성방법Metal film formation method

본 발명의 다른 관점은 금속막 형성방법이다. 일 구체예에 따르면, 상기 금속막 형성방법은 상기 금속 전구체 화합물을 이용하여 기판 상에 금속막을 증착하는 단계를 포함할 수 있다.Another aspect of the present invention is a method for forming a metal film. According to one embodiment, the method of forming the metal film may include depositing a metal film on the substrate using the metal precursor compound.

이 경우, 상기와 같이 금속 전구체 화합물의 구조에 따라 용매를 포함하여 전구체 조성물로 적용될 수 있다. 상기 용매는 상기 전구체 조성물 중 0.1 중량% 내지 99 중량%, 구체적으로 0.1 중량% 내지 50 중량%, 더욱 구체적으로 1 중량% 내지 20중량%로 포함될 수 있다.In this case, as described above, it may be applied as a precursor composition including a solvent depending on the structure of the metal precursor compound. The solvent may be included in an amount of 0.1 wt% to 99 wt%, specifically 0.1 wt% to 50 wt%, and more specifically 1 wt% to 20 wt% of the precursor composition.

구체적으로, 상기 금속막은 원자층 증착법(ALD), 화학 기상 증착법(CVD), 또는 증발법(Evaporation) 중 하나의 방법에 의해 증착되는 것일 수 있다.Specifically, the metal layer may be deposited by one of atomic layer deposition (ALD), chemical vapor deposition (CVD), and evaporation.

예를 들어, 금속산화물 또는 금속질화물 박막을 증착하는 경우 ALD 법에서는 250℃ 내지 400℃의 증착 온도에서 전구체와 반응가스를 챔버로 주입할 수 있고, CVD 법이나 증발법에서는 상기 온도에서 전구체와 반응가스를 동시에 주입할 수 있다.For example, when depositing a metal oxide or metal nitride thin film, in the ALD method, a precursor and a reaction gas may be injected into the chamber at a deposition temperature of 250° C. to 400° C., and in the CVD method or evaporation method, the precursor and the reaction gas are reacted at this temperature. Gas can be injected simultaneously.

상기 증착은 챔버 내에 기판을 위치하는 단계; 상기 금속 전구체 화합물을 상기 챔버 내에 공급하는 단계; 상기 챔버 내에 반응성 기체 또는 반응성 기체의 플라즈마를 공급하는 단계; 및 상기 챔버 내에서 열처리, 플라즈마 처리 및 광 조사 중 어느 하나 또는 그 이상의 수단에 의해 처리하는 단계;를 포함할 수 있다.The deposition may include placing a substrate in a chamber; supplying the metal precursor compound into the chamber; supplying a reactive gas or plasma of the reactive gas into the chamber; and processing by any one or more means of heat treatment, plasma treatment, and light irradiation in the chamber.

상기 플라즈마 처리는 RF 플라즈마, DC 플라즈마, 리모트(remote) 플라즈마 등을 사용할 수 있다.For the plasma treatment, RF plasma, DC plasma, remote plasma, or the like may be used.

먼저, 본 발명에 따른 금속 전구체 화합물 또는 전구체 조성물을 금속막 형성용 기판 위로 공급한다. 이때 상기 금속막 형성용 기판으로는 기술적 작용으로 인하여 금속막에 의해 코팅될 필요가 있는, 반도체 제조에 사용되는 것이라면 특별한 제한 없이 사용 가능하다. 구체적으로는 규소 기판(Si), 실리카 기판(SiO2), 질화 규소 기판(SiN), 규소 옥시 나이트라이드 기판 (SiON), 티타늄 나이트라이드 기판(TiN), 탄탈륨 나이트라이드 기판(TaN), 텅스텐 기판(W) 또는 귀금속 기판, 예를 들어 백금 기판(Pt), 팔라듐 기판(Pd), 로듐 기판(Rh) 또는 금 기판(Au) 등이 사용될 수 있다.First, the metal precursor compound or precursor composition according to the present invention is supplied onto a substrate for forming a metal film. In this case, the substrate for forming the metal film may be used without particular limitation as long as it is used for semiconductor manufacturing, which needs to be coated with a metal film due to a technical action. Specifically, a silicon substrate (Si), a silica substrate (SiO 2 ), a silicon nitride substrate (SiN), a silicon oxynitride substrate (SiON), a titanium nitride substrate (TiN), a tantalum nitride substrate (TaN), a tungsten substrate (W) or a noble metal substrate such as a platinum substrate (Pt), a palladium substrate (Pd), a rhodium substrate (Rh), or a gold substrate (Au) may be used.

상기 금속 전구체 화합물 또는 전구체 조성물을 상기 기판에 공급하는 금속 전구체 화합물 또는 전구체 조성물 전달 단계에서 상기 전달 방법은 증기압을 이용하여 금속 전구체 화합물(또는 전구체 조성물) 또는 박막 특성을 개선하기 위한 유기 용매의 휘발된 기체를 챔버 내로 이송시키는 휘발 이송 방법, 액상의 전구체 조성물을 직접 주입하는 직접 액체 주입 방법(Direct Liquid Injection) 또는 전구체 조성물을 유기 용매에 용해시켜 이송하는 액체 이송 방법(LDS: Liquid Delivery System)을 적용할 수 있다. 상기 금속 전구체 화합물 또는 전구체 조성물의 액체 이송 방법은 액체운반시스템(LDS: Liquid Delivery System)을 사용하여 액상의 전구체 조성물을 기화기를 통해 기상으로 변화시킨 후 금속 박막 형성용 기판 위로 이송시킴으로써 실시될 수 있다.In the metal precursor compound or precursor composition delivery step of supplying the metal precursor compound or precursor composition to the substrate, the delivery method uses vapor pressure to volatilize the metal precursor compound (or precursor composition) or an organic solvent for improving properties of the thin film. A volatilization transfer method of transferring a gas into the chamber, a direct liquid injection method of directly injecting a liquid precursor composition, or a liquid transfer method (LDS: Liquid Delivery System) of dissolving a precursor composition in an organic solvent and transferring it are applied can do. The liquid delivery method of the metal precursor compound or precursor composition may be carried out by changing the liquid precursor composition into a gas phase through a vaporizer using a liquid delivery system (LDS) and then transferring it onto a substrate for forming a metal thin film. .

상기 금속 전구체 화합물을 유기 용매에 용해시켜 이송하는 액체 이송 방법의 경우, 용매를 추가적으로 포함할 수 있는데, 상기 전구체 조성물로 적용되는 화합물 중 일부 또는 전부가 높은 점도로 인하여 액체 이송 방식의 기화기에서 충분히 기화되기 어려울 경우 활용될 수 있다.In the case of a liquid transfer method of dissolving the metal precursor compound in an organic solvent and transferring it, a solvent may be additionally included, and some or all of the compounds applied as the precursor composition are sufficiently vaporized in a liquid transfer type vaporizer due to high viscosity It can be used when it is difficult to achieve.

예를 들어, 비점이 130℃이하, 또는 30℃ 내지 130℃이고, 상온 25℃에서 밀도가 0.6g/㎤이며, 증기압이 70㎜Hg인 3차 아민이나 알칸을 들 수 있는데, 비점, 밀도 및 증기압 조건을 동시에 충족할 때 막 전구체 조성물의 점도 감소 효과 및 휘발성 개선 효과가 향상되어, 균일성 및 단차 피막 특성이 개선된 박막의 형성이 가능한 것으로 나타났다.For example, tertiary amines or alkanes having a boiling point of 130° C. or less, or 30° C. to 130° C., a density of 0.6 g/cm 3 at room temperature 25° C., and a vapor pressure of 70 mmHg are mentioned, but the boiling point, density and When the vapor pressure condition was simultaneously satisfied, the effect of reducing the viscosity and improving the volatility of the film precursor composition was improved, and it was found that it was possible to form a thin film with improved uniformity and step film properties.

그러나 상기와 같은 용매 외에도 금속 전구체 화합물 또는 전구체 조성물을 용해시키며 액체 이송 방법에 적합한 정도의 점도 및 용해도를 가질 수 있다면 C1 내지 C16의 포화 또는 불포화 탄화수소, 케톤, 에테르, 글라임, 에스테르, 테트라하이드로퓨란, 3차 아민 중 어느 하나 또는 그 이상의 혼합 용매를 사용함으로써 상기 전구체 조성물을 사용한 공정에 적용할 수 있다.However, in addition to the above solvents, if the metal precursor compound or precursor composition is dissolved and it can have a viscosity and solubility suitable for a liquid transport method, C 1 to C 16 saturated or unsaturated hydrocarbons, ketones, ethers, glymes, esters, tetras It can be applied to the process using the precursor composition by using any one or more mixed solvents of hydrofuran and tertiary amine.

일 실시예에서는 디메틸에틸아민을 전구체 조성물 총 중량에 대하여 1 내지 99 중량%로 포함할 경우 이러한 액체 이송 방법이 적용될 수 있는데, 3차 아민의 함량이 1 중량% 미만이면 박막의 물성적 특성 개선효과가 미미하고 99중량%를 초과하면 전구체의 농도가 낮아 증착 속도가 저감되므로 생산성이 감소할 우려가 있으므로 상기 범위 내에서 사용할 수 있다. 보다 구체적으로는, 상기 전구체 조성물은 상기 전구체 조성물과 용매를 90:10 내지 10:90의 중량비로 포함할 수 있다. 전구체 조성물에 대한 3차 아민의 함량이 상기한 중량비 범위를 벗어나 지나치게 낮거나 높을 경우 박막의 균일성 및 단차 피복 개선 효과가 저하될 우려가 있다.In one embodiment, when dimethylethylamine is included in an amount of 1 to 99% by weight based on the total weight of the precursor composition, this liquid transfer method may be applied. If the amount is insignificant and exceeds 99% by weight, the concentration of the precursor is low and the deposition rate is reduced, so there is a fear that productivity may decrease. More specifically, the precursor composition may include the precursor composition and the solvent in a weight ratio of 90:10 to 10:90. If the content of the tertiary amine to the precursor composition is too low or too high outside the above-described weight ratio range, there is a fear that the uniformity of the thin film and the effect of improving the step coverage may be deteriorated.

이와 같이 용매 중에 낮은 점도 및 고휘발성을 나타내는 용매를 포함함으로써 전구체 조성물은 개선된 점도 및 휘발성을 나타낼 수 있고, 기판 형성 시 전구체의 기판 흡착 효율 및 안정성을 증가시키고 공정시간을 단축시킬 수 있다. 또한, 전구체 물질이 용매에 희석된 상태로 기화됨으로써 보다 균일한 상태로 증착 챔버 내로 이송되기 때문에 기판에 고르게 흡착될 수 있고, 그 결과로 증착된 박막의 균일성(uniformity) 및 단차피복(step coverage) 특성을 향상시킬 수 있다. 또한, 3차 아민에서의 잉여 비공유 전자쌍은 전구체 물질의 기판 흡착 과정에서의 안정성을 증가시켜 ALD 공정에서의 화학기상증착(CVD)을 최소화시킬 수 있다. 또한, 상기와 같은 3차 아민 외에 C1 내지 C16의 포화 또는 불포화 탄화수소, 케톤, 에테르, 글라임, 에스테르, 테트라하이드로퓨란 등의 용매 및 이들의 조합을 적용하면 액체 이송을 위한 적절한 점도 조정 외에도 분산성 향상과 이에 따른 전기적 특성의 향상을 달성할 수 있다.As such, by including a solvent exhibiting low viscosity and high volatility in the solvent, the precursor composition may exhibit improved viscosity and volatility, increase substrate adsorption efficiency and stability of the precursor during substrate formation, and shorten process time. In addition, since the precursor material is transferred into the deposition chamber in a more uniform state by being vaporized in a diluted state in a solvent, it can be evenly adsorbed to the substrate, resulting in uniformity and step coverage of the deposited thin film. ) properties can be improved. In addition, the excess lone pair in the tertiary amine can increase the stability of the precursor material in the substrate adsorption process, thereby minimizing chemical vapor deposition (CVD) in the ALD process. In addition, if a solvent such as C 1 to C 16 saturated or unsaturated hydrocarbon, ketone, ether, glyme, ester, tetrahydrofuran, etc. and a combination thereof are applied in addition to the tertiary amine as described above, in addition to appropriate viscosity adjustment for liquid transport It is possible to achieve an improvement in dispersibility and thus an improvement in electrical properties.

본 발명에 따른 금속 전구체 화합물 또는 전구체 조성물이 중심 금속으로 Ti, Zr, 또는 Hf를 포함하는 경우, 본 발명 금속 전구체 화합물의 특정 구조로 인해 제조된 금속막은 통상의 금속 박막에 비해 high K 특성을 크게 향상시킬 수 있다. 또한, 액체 이송에 의해 박막을 형성하는 경우 금속의 분산성이 대단히 높아 증착된 박막은 전체적으로 균일하고 우수한 전기적 특성을 나타내며 누설 전류값(leakage current)도 낮추는 효과를 달성할 수 있다.When the metal precursor compound or precursor composition according to the present invention contains Ti, Zr, or Hf as a central metal, the metal film prepared due to the specific structure of the metal precursor compound of the present invention has a high K characteristic significantly compared to a conventional metal thin film. can be improved In addition, when the thin film is formed by transferring the liquid, the metal dispersibility is very high, so that the deposited thin film exhibits uniform and excellent electrical properties as a whole, and the effect of lowering the leakage current can be achieved.

또한, 상기 전구체 조성물의 공급 시, 최종 형성되는 금속막에서의 전기적 특성, 즉 정전용량 또는 누설 전류값을 더욱 개선시키기 위하여 필요에 따라 제2 금속 전구체로서 규소(Si), 티타늄(Ti), 게르마늄(Ge), 스트론튬(Sr), 니오브(Nb), 바륨(Ba), 하프늄(Hf), 탄탈륨(Ta) 및 란탄족 원자로부터 선택된 1종 이상의 금속(M")을 포함하는 금속 전구체 화합물을 선택적으로 더 공급할 수도 있다. 상기 제2 금속 전구체 화합물은 상기 금속을 포함하는 알킬아미드계 화합물 또는 알콕시계 화합물 일 수 있다. 일례로 상기 금속이 Si인 경우 제2금속 전구체로 SiH(N(CH3)2)3, Si(N(C2H5)2)4, Si(N(C2H5)(CH3))4, Si(N(CH3)2)4, Si(OC4H9)4, Si(OC2H5)4, Si(OCH3)4, Si(OC(CH3)3)4 등이 사용될 수 있다.In addition, when the precursor composition is supplied, silicon (Si), titanium (Ti), germanium as a second metal precursor, if necessary, in order to further improve electrical properties, that is, capacitance or leakage current value in the finally formed metal film. (Ge), strontium (Sr), niobium (Nb), barium (Ba), hafnium (Hf), tantalum (Ta) and a metal precursor compound comprising at least one metal (M") selected from a lanthanide atom optionally The second metal precursor compound may be an alkylamide-based compound or an alkoxy-based compound containing the metal. For example, when the metal is Si, the second metal precursor is SiH(N(CH 3 ) 2 ) 3 , Si(N(C 2 H 5 ) 2 ) 4 , Si(N(C 2 H 5 )(CH 3 )) 4 , Si(N(CH 3 ) 2 ) 4 , Si(OC 4 H 9 ) ) 4 , Si(OC 2 H 5 ) 4 , Si(OCH 3 ) 4 , Si(OC(CH 3 ) 3 ) 4 , etc. may be used.

상기 제2 금속 전구체 화합물의 공급은 상기 금속 전구체 화합물 또는 전구체 조성물의 공급 방법과 동일한 방법으로 실시될 수 있으며, 상기 제2 금속 전구체는 상기 전구체 조성물과 함께 박막 형성용 기판 위로 공급될 수도 있고, 또는 전구체 조성물의 공급 완료 이후 순차적으로 공급될 수도 있다.The supply of the second metal precursor compound may be performed in the same manner as the supply method of the metal precursor compound or precursor composition, and the second metal precursor may be supplied together with the precursor composition on a substrate for forming a thin film, or After the supply of the precursor composition is completed, it may be sequentially supplied.

상기와 같은 금속 전구체 화합물, 전구체 조성물 및 선택적으로 제2 금속 전구체는 상기 금속막 형성용 기판과 접촉시키기 위해 반응 챔버 내로 공급되기 전까지 50℃ 내지 250℃의 온도를 유지할 수 있고, 구체적으로 100℃ 내지 200℃의 온도를 유지할 수 있다.The metal precursor compound, the precursor composition, and optionally the second metal precursor as described above may maintain a temperature of 50° C. to 250° C. before being supplied into the reaction chamber in order to contact the substrate for forming a metal film, and specifically 100° C. to A temperature of 200°C can be maintained.

또한, 금속 전구체 화합물 또는 전구체 조성물의 공급 단계 후 반응성 가스의 공급에 앞서, 상기 전구체 조성물 및 선택적으로 제2 금속 전구체의 기판 위로의 이동을 돕거나, 반응기 내가 증착에 적절한 압력을 갖도록 하며, 또한, 챔버 내에 존재하는 불순물 등을 외부로 방출시키기 위하여, 반응기 내에 아르곤(Ar), 질소(N2), 또는 헬륨(He) 등의 불활성 기체를 퍼지하는 공정이 실시될 수 있다. 이때 불활성 기체의 퍼지는 반응기내 압력이 1 내지 5Torr가 되도록 실시될 수 있다.In addition, after the step of supplying the metal precursor compound or the precursor composition and prior to the supply of the reactive gas, the precursor composition and optionally the second metal precursor are helped to move onto the substrate, or to have a pressure suitable for deposition in the reactor, and also, A process of purging an inert gas such as argon (Ar), nitrogen (N 2 ), or helium (He) in the reactor may be performed in order to discharge impurities present in the chamber to the outside. At this time, the purging of the inert gas may be carried out so that the pressure in the reactor is 1 to 5 Torr.

상기한 금속 전구체들의 공급 완료 후 반응성 가스를 반응기 내로 공급하고, 반응성 가스의 존재하에서 열처리, 플라즈마 처리 및 광 조사로 이루어진 군에서 선택되는 1종의 처리 공정을 실시한다.After the supply of the metal precursors is completed, a reactive gas is supplied into the reactor, and one type of treatment process selected from the group consisting of heat treatment, plasma treatment, and light irradiation is performed in the presence of the reactive gas.

상기 반응성 가스로는 수증기(H2O), 산소(O2), 오존(O3), 과산화수소(H2O2), 수소(H2), 암모니아(NH3), 일산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 히드라진(N2H4), 및 실란(SiH4) 중 어느 하나 또는 이들의 혼합물을 사용할 수 있다. 상기 수증기, 산소, 오존 등과 같은 산화성 가스 존재 하에서 실시될 경우 금속 산화물 박막이 형성될 수 있고, 수소, 암모니아, 히드라진, 실란 등의 환원성 가스 존재 하에서 실시되는 경우 복합금속 또는 금속 질화물의 박막이 형성될 수 있다.As the reactive gas, water vapor (H 2 O), oxygen (O 2 ), ozone (O 3 ), hydrogen peroxide (H 2 O 2 ), hydrogen (H 2 ), ammonia (NH 3 ), nitrogen monoxide (NO), nitrous oxide Any one of nitrogen (N 2 O), nitrogen dioxide (NO 2 ), hydrazine (N 2 H 4 ), and silane (SiH 4 ) or a mixture thereof may be used. When carried out in the presence of an oxidizing gas such as water vapor, oxygen, ozone, etc., a metal oxide thin film may be formed, and when carried out in the presence of a reducing gas such as hydrogen, ammonia, hydrazine, silane, a thin film of a composite metal or metal nitride is formed. can

또한, 상기 열처리, 플라즈마 처리 또는 광조사의 처리 공정은 금속 전구체의 증착을 위한 열에너지를 제공하기 위한 것으로, 통상의 방법에 따라 실시될 수 있다. 구체예에서, 충분한 성장 속도로, 목적하는 물리적 상태와 조성을 갖는 금속 박막을 제조하기 위해서는 반응기내 기판의 온도가 100℃ 내지 1,000℃, 구체적으로 250 내지 400℃가 되도록 상기 처리 공정을 실시할 수 있다.In addition, the heat treatment, plasma treatment, or the treatment process of light irradiation is to provide thermal energy for deposition of a metal precursor, and may be performed according to a conventional method. In an embodiment, in order to produce a metal thin film having a desired physical state and composition at a sufficient growth rate, the treatment process may be carried out so that the temperature of the substrate in the reactor is 100° C. to 1,000° C., specifically 250 to 400° C. .

또한, 상기 처리 공정 시에도 전술한 바와 같이 반응성 가스의 기판 위로의 이동을 돕거나, 반응기 내가 증착에 적절한 압력을 갖도록 하며, 또한 반응기내 존재하는 불순물 또는 부산물 등을 외부로 방출시키기 위하여, 반응기 내에 아르곤(Ar), 질소(N2), 또는 헬륨(He) 등의 불활성 기체를 퍼지하는 공정이 실시될 수 있다.In addition, in the treatment process, as described above, in order to help the movement of the reactive gas onto the substrate, to have an appropriate pressure for deposition in the reactor, and to discharge impurities or by-products existing in the reactor to the outside, A process of purging an inert gas such as argon (Ar), nitrogen (N 2 ), or helium (He) may be performed.

상기와 같은, 금속 전구체 화합물 또는 전구체 조성물의 투입, 반응성 가스의 투입, 그리고 불활성 기체의 투입 처리 공정은 1 사이클로 하여. 1 사이클 이상 반복 실시함으로써 금속 함유 박막이 형성될 수 있다.As described above, the process of inputting the metal precursor compound or the precursor composition, the input of the reactive gas, and the input of the inert gas are performed as one cycle. By repeating one cycle or more, a metal-containing thin film can be formed.

구체적으로, 반응성 가스로서 산화성 가스를 사용할 경우 제조되는 금속 함유 박막은 하기 화학식 7의 금속 산화물을 포함할 수 있다:Specifically, when an oxidizing gas is used as the reactive gas, the metal-containing thin film prepared may include a metal oxide represented by the following Chemical Formula 7:

[화학식 4][Formula 4]

(M1-aM"a)Ob (M 1-a M" a )O b

상기 화학식 4에서, a는 0 ≤ a < 1 이고, b는 0 < b ≤ 2 이며, M는 Zr, Hf 및 Ti 중 하나를 포함하고, M"은 제2 금속 전구체로부터 유도되는 것으로, 규소(Si), 티타늄(Ti), 게르마늄(Ge), 스트론튬(Sr), 니오브(Nb), 바륨(Ba), 하프늄(Hf), 탄탈륨(Ta) 및 란탄족 원자로부터 선택된 것일 수 있다.In Formula 4, a is 0 ≤ a < 1, b is 0 < b ≤ 2, M includes one of Zr, Hf, and Ti, and M" is derived from a second metal precursor, silicon ( Si), titanium (Ti), germanium (Ge), strontium (Sr), niobium (Nb), barium (Ba), hafnium (Hf), tantalum (Ta), and may be selected from a lanthanide atom.

이러한 금속막의 제조방법은, 열 안정성이 우수한 금속 전구체 화합물을 이용함으로써 증착 공정시 종래에 비해 높은 온도에서 증착 공정 실시가 가능하고, 전구체의 열분해에 기인한 파티클 오염이나 탄소 등의 불순물 오염없이 고순도의 금속, 금속 산화물 또는 금속 질화물 박막을 형성할 수 있다. 이에 따라 본 발명의 제조방법에 따라 형성된 금속 함유 박막은 반도체 소자에서의 고유전 물질막, 특히 반도체 메모리 소자에서의 DRAM, CMOS 등에 유용하다.In this method of manufacturing a metal film, by using a metal precursor compound having excellent thermal stability, the deposition process can be carried out at a higher temperature than in the prior art during the deposition process, and high purity without particle contamination or carbon impurity contamination due to thermal decomposition of the precursor. A metal, metal oxide or metal nitride thin film can be formed. Accordingly, the metal-containing thin film formed according to the manufacturing method of the present invention is useful as a high-k material film in a semiconductor device, particularly DRAM, CMOS, and the like in a semiconductor memory device.

또 다른 실시형태로서, 상기 금속막의 형성 방법에 의해 형성된 금속막, 및 상기 박막을 포함하는 반도체 소자를 제공한다. 구체적으로 상기 반도체 소자는 임의 접근 메모리(RAM)용 금속 절연체 금속(MIM)을 포함하는 반도체 소자일 수 있다.As another embodiment, a semiconductor device including a metal film formed by the method for forming the metal film and the thin film is provided. Specifically, the semiconductor device may be a semiconductor device including a metal insulator metal (MIM) for random access memory (RAM).

또한, 상기 반도체 소자는 소자내 DRAM 등 고유전특성이 요구되는 물질막에 본 발명에 따른 금속 함유 박막을 포함하는 것을 제외하고는 통상의 반도체 소자의 구성과 동일하다.In addition, the semiconductor device has the same configuration as a typical semiconductor device, except that the metal-containing thin film according to the present invention is included in a material film requiring high dielectric properties, such as DRAM in the device.

즉, 하부 전극, 유전체 박막, 및 상부 전극이 순차적으로 적층되어 구성되는 커패시터에 있어서, 상기 하부 전극과 상부 전극은 금속 물질을 포함할 수 있고, 상기 하부 전극의 형상은 평판, 실린더, 필라 형상 등의 다양한 형상을 가질 수 있는데, 이때, 상기 유전체 박막으로서 본 발명의 전구체 조성물에 의해 형성된 박막을 적용할 수 있다.That is, in a capacitor in which a lower electrode, a dielectric thin film, and an upper electrode are sequentially stacked, the lower electrode and the upper electrode may include a metal material, and the shape of the lower electrode may be a flat plate, a cylinder, a pillar shape, or the like. may have various shapes of, in this case, a thin film formed by the precursor composition of the present invention may be applied as the dielectric thin film.

예를 들어, 상기 유전체 박막은 지르코늄 산화물 및 하프늄 산화물을 포함할 수 있다. 또한, 지르코늄 산화물 및 하프늄 산화물 중에서 선택된 적어도 2종의 산화물을 포함하는 박막을 적층 또는 혼합하여 형성할 수도 있다.For example, the dielectric thin film may include zirconium oxide and hafnium oxide. In addition, a thin film including at least two kinds of oxides selected from zirconium oxide and hafnium oxide may be laminated or formed by mixing.

실린더 형상 또는 필라 형상인 하부 전극 상에 전술한 방법에 의해 유전체 박막을 증착함으로써 상기 유전체 박막의 결정성, 유전 특성, 및 누설 전류 특성을 개선할 수도 있다.The crystallinity, dielectric properties, and leakage current characteristics of the dielectric thin film may be improved by depositing the dielectric thin film on the cylindrical or pillar-shaped lower electrode by the method described above.

이하, 본 발명의 바람직한 실시예를 통해 본 발명의 구성 및 작용을 더욱 상세히 설명하기로 한다. 다만, 이는 본 발명의 바람직한 예시로 제시된 것이며 어떠한 의미로도 이에 의해 본 발명이 제한되는 것으로 해석될 수는 없다.Hereinafter, the configuration and operation of the present invention will be described in more detail through preferred embodiments of the present invention. However, this is presented as a preferred example of the present invention and cannot be construed as limiting the present invention in any sense.

여기에 기재되지 않은 내용은 이 기술 분야에서 숙련된 자이면 충분히 기술적으로 유추할 수 있는 것이므로 그 설명을 생략하기로 한다.Content not described here will be omitted because it can be technically inferred sufficiently by a person skilled in the art.

실시예 1 : MeCp(NMe2)2 Example 1: MeCp(NMe 2 ) 2

하기 공정으로 MeCp(NMe2)2의 화학식을 가지는 리간드를 제조하고, 이를 도 1과 같이 핵자기공명(NMR)으로 확인하였다.A ligand having a chemical formula of MeCp(NMe 2 ) 2 was prepared by the following process, and this was confirmed by nuclear magnetic resonance (NMR) as shown in FIG. 1 .

[제조공정] 250ml dry flask 내부에 40ml의 Toluene에 희석된 TDMAT 29g(0.129mol)을 교반한다. 그 후 toluene 120ml에 현탁시킨 2-methyl-1,3-cyclopentadiene 13.1g(0.117mol)을 Cannula를 사용하여 상온에서 반응시킨다. 투입이 전부 완료되면 검붉은색 액체상태로 존재하며 상온에서 overnight 교반한다. 반응이 끝나면 filter 후 solvent를 감압 제거한다. 얻은 Product는 증류를 통해 정제할 수 있으며 isomer 상태로 존재한다. Yield:67%[Manufacturing process] In a 250ml dry flask, 29g (0.129mol) of TDMAT diluted in 40ml of Toluene is stirred. Then, 13.1 g (0.117 mol) of 2-methyl-1,3-cyclopentadiene suspended in 120 ml of toluene was reacted at room temperature using cannula. When the input is complete, it exists as a dark red liquid and is stirred overnight at room temperature. After the reaction, filter and remove the solvent under reduced pressure. The obtained product can be purified through distillation and exists in the isomer state. Yield: 67%

H1 NMR: 4.82(t,1H), 2.11(d,2H), 2.54(s,6H), 2.46(s,6H), 2.05(s,3H)H 1 NMR: 4.82(t,1H), 2.11(d,2H), 2.54(s,6H), 2.46(s,6H), 2.05(s,3H)

H1 NMR: 5.02(s,2H), 2.97(q,1H), 2.42(s,12H), 1.3(d,3H)H 1 NMR: 5.02(s,2H), 2.97(q,1H), 2.42(s,12H), 1.3(d,3H)

Figure pat00009
Figure pat00009

실시예 2 : Cp(Me)(NMe2)2Zr(NMe2)3 Example 2: Cp(Me)(NMe 2 ) 2 Zr(NMe 2 ) 3

하기 공정으로 Cp(Me)(NMe2)2Zr(NMe2)3의 화학식을 가지는 금속 전구체 화합물을 제조하고, 이를 도 2와 같이 핵자기공명(NMR)으로 확인하였다.A metal precursor compound having a chemical formula of Cp(Me)(NMe 2 ) 2 Zr(NMe 2 ) 3 was prepared by the following process, and this was confirmed by nuclear magnetic resonance (NMR) as shown in FIG. 2 .

[제조공정] dry 250ml flask에 100ml의 hexane에 희석된 TDMAZr 48.3g(0.18mol)을 교반한다. 그 후 0℃부근에서 hexane 60ml에 희석된 DIA Cp 30g(0.18mol)을 dropwise한다. 투입이 끝나면 24시간 동안 100℃에서 환류시킨다. 잔여한 용매를 감압 제거하면 product를 얻을 수 있다. Yield: 49% [Manufacturing process] In a dry 250ml flask, 48.3g (0.18mol) of TDMAZr diluted in 100ml of hexane is stirred. After that, 30g (0.18mol) of DIA Cp diluted in 60ml of hexane is dropwise around 0℃. Upon completion of the input, reflux at 100° C. for 24 hours. The product can be obtained by removing the remaining solvent under reduced pressure. Yield: 49%

H1 NMR: 5.34(s,2H), 3.08(s,18H), 2.50(s,12H), 2.19(s13H) H 1 NMR: 5.34(s,2H), 3.08(s,18H), 2.50(s,12H), 2.19(s13H)

Figure pat00010
Figure pat00010

실시예 3 : Cp(Me)(NMe2)2Hf(NMe2)3 Example 3: Cp(Me)(NMe 2 ) 2 Hf(NMe 2 ) 3

하기 공정으로 Cp(Me)(NMe2)2Hf(NMe2)3의 화학식을 가지는 금속 전구체 화합물을 제조하고, 이를 도 3과 같이 핵자기공명(NMR)으로 확인하였다.A metal precursor compound having a chemical formula of Cp(Me)(NMe 2 ) 2 Hf(NMe 2 ) 3 was prepared by the following process, and this was confirmed by nuclear magnetic resonance (NMR) as shown in FIG. 3 .

[제조공정] dry 500ml flask에 120ml의 hexane에 희석된 TDMAHf 96g(0.27mol)을 교반한다. 그 후 0℃ 부근에서 hexane 60ml에 희석된 DIA Cp 45g(0.27mol)을 dropwise한다. 투입이 끝나면 24시간 동안 120℃에서 환류 시킨다. 잔여 용매를 감압 제거하면 product를 얻을 수 있다. Yield:37%[Manufacturing process] In a dry 500ml flask, 96g (0.27mol) of TDMAHf diluted in 120ml of hexane is stirred. After that, 45g (0.27mol) of DIA Cp diluted in 60ml of hexane is dropwise around 0℃. When the input is finished, reflux at 120°C for 24 hours. The product can be obtained by removing the residual solvent under reduced pressure. Yield: 37%

H1 NMR:5.31(s,2H), 3.10(s,18H), 2.48(s,12H), 2.17(s,3H)H 1 NMR: 5.31(s,2H), 3.10(s,18H), 2.48(s,12H), 2.17(s,3H)

Figure pat00011
Figure pat00011

실시예 4 : Cp(Me)(NMe2)2Ti(NMe2)3 Example 4: Cp(Me)(NMe 2 ) 2 Ti(NMe 2 ) 3

하기 공정으로 Cp(Me)(NMe2)2Ti(NMe2)3의 화학식을 가지는 금속 전구체 화합물을 제조하고, 이를 도 4와 같이 핵자기공명(NMR)으로 확인하였다.A metal precursor compound having a chemical formula of Cp(Me)(NMe 2 ) 2 Ti(NMe 2 ) 3 was prepared by the following process, and this was confirmed by nuclear magnetic resonance (NMR) as shown in FIG. 4 .

[제조공정] dry 250ml flask에 100ml의 hexane에 희석된 TDMAT 67.4g(03mol)을 교반한다. 그 후 0℃에서 hexane 50ml에 희석된 DIA Cp 50g(0.3mol)을 dropwise한다. 투입이 끝나면 24시간 동안 70℃에서 환류시킨다. 잔여 용매를 감압 제거하여 product를 얻을 수 있다. Yield:42%[Manufacturing process] In a dry 250ml flask, 67.4g (03mol) of TDMAT diluted in 100ml of hexane is stirred. After that, 50 g (0.3 mol) of DIA Cp diluted in 50 ml of hexane at 0° C. is dropwise. When the input is finished, it is refluxed at 70°C for 24 hours. The product can be obtained by removing the residual solvent under reduced pressure. Yield: 42%

H1 NMR: 5.36(s,2H), 3.12(s,18H), 2.51(s,12H), 2.17(s,3H)H 1 NMR: 5.36(s,2H), 3.12(s,18H), 2.51(s,12H), 2.17(s,3H)

Figure pat00012
Figure pat00012

실시예 5 : Cp(Me)(NMe2)2Hf(NEtMe)3 Example 5: Cp(Me)(NMe 2 ) 2 Hf(NEtMe) 3

하기 공정으로 Cp(Me)(NMe2)2Hf(NEtMe)3의 화학식을 가지는 금속 전구체 화합물을 제조하고, 이를 도 5와 같이 핵자기공명(NMR)으로 확인하였다.A metal precursor compound having a chemical formula of Cp(Me)(NMe 2 ) 2 Hf(NEtMe) 3 was prepared by the following process, and this was confirmed by nuclear magnetic resonance (NMR) as shown in FIG. 5 .

[제조공정] dry 250ml flask 내부에 100ml의 Hexane에 희석된 TEMAHf 57.5g(0.14mol)을 교반한다. 그 후 상온에서 Hexane 30ml에 희석한 DIA Cp 23.2g(0.14mol)을 dropwise한다. 24시간 동안 70℃에서 환류시킨다. 잔여 용매를 감압 제거하하여 product를 얻을 수 있다. Yield: 50%[Manufacturing process] 57.5 g (0.14 mol) of TEMAHf diluted in 100 ml of Hexane is stirred in a dry 250 ml flask. After that, 23.2 g (0.14 mol) of DIA Cp diluted in 30 ml of Hexane at room temperature is dropwise. Reflux at 70° C. for 24 hours. The product can be obtained by removing the residual solvent under reduced pressure. Yield: 50%

H1 NMR: 5.31(s,2H), 3.40(q,6H), 3.05(s,9H), 2.51(s,12H), 2.19(s,3H), 1.16(t,9H)H1 NMR: 5.31(s,2H), 3.40(q,6H), 3.05(s,9H), 2.51(s,12H), 2.19(s,3H), 1.16(t,9H)

Figure pat00013
Figure pat00013

비교예 1 : Cp(Me)Zr(NMe2)3 Comparative Example 1: Cp(Me)Zr(NMe 2 ) 3

하기 공정으로 Cp(Me)Zr(NMe2)3의 화학식을 가지는 금속 전구체 화합물을 제조하고, 이를 도 6과 같이 핵자기공명(NMR)으로 확인하였다.A metal precursor compound having a chemical formula of Cp(Me)Zr(NMe 2 ) 3 was prepared by the following process, and this was confirmed by nuclear magnetic resonance (NMR) as shown in FIG. 6 .

[제조공정] dry 3L flask 내부에 2.5M hexane solution의 n-BuLi 624g(2.25mol)을 담아둔다. 그 후 같은 부피만큼의 hexane을 투입한다. 그 후 close condition에서 -10℃ 내지 -20℃를 유지하며 120g(2.66mol)의 dimethyl amine gas를 서서히 투입시킨다. 투입이 끝나면 N2 flow 상태로 상온에서 overnight 교반하여 lithium dimethyl amine salt를 형성시킨다. Overnight 교반이 끝나면, water bath에서 25℃ 내지 45℃를 유지하면서 120g(0.51mol)의 Zirconium Chloride를 투입한다. 투입이 완료되면 내온을 55℃정도로 유지시켜주며 overnight 교반한다. 그 후 5℃ 내지 10℃를 유지하며 66.1g(0.58gmol)의 methylcyclopentadiene을 dropwise한 후, 상온에서 overnight 교반한다. Filter 후 dry하면 Crude product를 얻을 수 있다. distillation하여 colorless liquid를 얻을 수 있다.[Manufacturing process] Put 624 g (2.25 mol) of n-BuLi in 2.5M hexane solution in a dry 3L flask. After that, the same volume of hexane is added. After that, while maintaining -10℃ to -20℃ in close condition, 120g (2.66mol) of dimethyl amine gas is slowly introduced. After the input is completed, the lithium dimethyl amine salt is formed by stirring overnight at room temperature under N 2 flow. After overnight stirring, 120 g (0.51 mol) of Zirconium Chloride is added while maintaining 25° C. to 45° C. in a water bath. When the input is complete, the internal temperature is maintained at about 55°C, and the mixture is stirred overnight. After that, 66.1 g (0.58 gmol) of methylcyclopentadiene was dropwise maintained at 5° C. to 10° C., followed by stirring at room temperature overnight. Crude product can be obtained by drying after filtering. A colorless liquid can be obtained by distillation.

H1 NMR: 5.65(t,2H), 5.59(t,2H), 3.07(s,18H) 2.07(s,3H)H 1 NMR: 5.65(t,2H), 5.59(t,2H), 3.07(s,18H) 2.07(s,3H)

평가 방법Assessment Methods

(1) 열중량 분석(TGA): 실시예 3 및 비교예 1을 30℃에서 시작하여 10℃/분의 승온 속도로 500℃까지 온도를 상승시키면서, 1.5 bar/분의 압력으로 아르곤 기체를 주입하였다. 최초 질량에 대하여 열중량 분석 수행 후 중량이 반으로 감소하는 온도를 T1/2(℃)로 표시하여 표 1에 나타내내고, 실시예 3의 그래프는 도 7에, 비교예 1의 그래프는 도 9에 나타내었다. (1) Thermogravimetric analysis (TGA): Example 3 and Comparative Example 1 starting at 30 ℃ while increasing the temperature to 500 ℃ at a temperature increase rate of 10 ℃ / min, argon gas was injected at a pressure of 1.5 bar / min did The temperature at which the weight is reduced by half after performing thermogravimetric analysis on the initial mass is shown in Table 1 as T 1/2 (°C), the graph of Example 3 is in FIG. 7, and the graph of Comparative Example 1 is in FIG. 9 is shown.

(2) 시차주사 열량 분석(DSC): 실시예 3 및 및 비교예 1을 30℃에서 시작하여 10℃/분의 승온 속도로 450℃까지 온도를 상승시키면서, 1.5 bar/분의 압력으로 아르곤 기체를 주입하고, 시차주사 열량 분석을 수행하고 그래프를 실시예 3은 도 8에, 비교예 1은 도 10에 나타내었다.(2) Differential scanning calorimetry (DSC): Example 3 and Comparative Example 1 starting at 30 ℃, while increasing the temperature to 450 ℃ at a temperature increase rate of 10 ℃ / min, argon gas at a pressure of 1.5 bar / min was injected, differential scanning calorimetry was performed, and the graph of Example 3 is shown in FIG. 8 and Comparative Example 1 is shown in FIG. 10 .

실시예 3Example 3 비교예 1Comparative Example 1 TGA(T1/2℃)TGA(T 1/2 ℃) 236.3236.3 202.2202.2

상기 표 1에 나타난 바와 같이, 본 발명 금속 전구체 화합물은 T1/2℃가 약 230℃이상으로 본 발명의 전구체는 리간드가 열적으로 분해되지 않고, 금속 전구체 화합물은 고온에서도 증착이 가능하여 공정 유동성이 높다.As shown in Table 1, the metal precursor compound of the present invention has a T 1/2 ℃ of about 230 ℃ or higher, the ligand is not thermally decomposed in the precursor of the present invention, and the metal precursor compound can be deposited even at a high temperature, so process flowability this is high

반면, 비교예 1의 금속 전구체 화합물은 T1/2℃가 약 205℃미만으로 본 발명 금속 전구체에 비해 열적 안정성이 떨어지는 것을 알 수 있다.On the other hand, it can be seen that the metal precursor compound of Comparative Example 1 has a T 1/2 ℃ of less than about 205 ℃, which is inferior to the thermal stability compared to the metal precursor of the present invention.

이상 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야 한다.Although the embodiments of the present invention have been described above, the present invention is not limited to the above embodiments, but may be manufactured in a variety of different forms, and those of ordinary skill in the art to which the present invention pertains will appreciate the technical spirit of the present invention. However, it will be understood that the invention may be embodied in other specific forms without changing essential features. Therefore, it should be understood that the embodiments described above are illustrative in all respects and not restrictive.

Claims (15)

하기 화학식 1로 표시되는 금속 전구체 화합물:
[화학식 1]
Figure pat00014

(상기, 화학식 1에서, M은 금속이고, L은 각각 독립적으로 수소, C1 내지 C6의 알킬기, NR'R", OR', 아미디네이트(amidinate), β-디케토네이트(β-diketonate) 또는 케토이미네이트(keto-iminate)이며, R' 및 R"는 각각 독립적으로 C1 내지 C6의 알킬기, R1 내지 R2는 각각 독립적으로 C1 내지 C6의 알킬기, R11은 각각 독립적으로 C1 내지 C6의 알킬기, n은 1 내지 5의 정수임).
A metal precursor compound represented by Formula 1 below:
[Formula 1]
Figure pat00014

(In Formula 1, M is a metal, and L is each independently hydrogen, a C1 to C6 alkyl group, NR'R", OR', amidinate, β-diketonate) or keto-iminate, R' and R" are each independently a C1 to C6 alkyl group, R 1 to R2 are each independently a C1 to C6 alkyl group, R 11 are each independently C1 to C6 of an alkyl group, n is an integer from 1 to 5).
제1항에 있어서,
상기 M은 티타늄(Ti), 지르코늄(Zr) 및 하프늄(Hf) 중 하나를 포함하는 금속 전구체 화합물.
The method of claim 1,
Wherein M is a metal precursor compound comprising one of titanium (Ti), zirconium (Zr), and hafnium (Hf).
제1항에 있어서,
상기 화학식 1의 L 중 하나 이상이 NR'R"인 금속 전구체 화합물.
The method of claim 1,
A metal precursor compound wherein at least one of L in Formula 1 is NR′R″.
제1항에 있어서,
상기 금속 전구체 화합물은 하기 화학식 2로 표시되는 금속 전구체 화합물:
[화학식 2]
Figure pat00015

(상기 화학식 2에서, M은 Ti, Zr 또는 Hf이고, R1, R2, 및 R5 내지 R11은 각각 독립적으로 수소, C1-C6의 선형 또는 분지형 알킬기이고, n은 1 내지 5의 정수임).
The method of claim 1,
The metal precursor compound is a metal precursor compound represented by the following Chemical Formula 2:
[Formula 2]
Figure pat00015

(In Formula 2, M is Ti, Zr, or Hf, R 1 , R 2 , and R 5 to R 11 are each independently hydrogen, a C1-C6 linear or branched alkyl group, and n is 1 to 5 integer).
제4항에 있어서,
상기 R1 및 R2는 각각 독립적으로 C1 내지 C3의 선형 또는 분지형 알킬기인 금속 전구체 화합물.
5. The method of claim 4,
Wherein R 1 and R 2 are each independently a C1 to C3 linear or branched alkyl group of a metal precursor compound.
제4항에 있어서,
상기 R1 및 R2는 서로 동일한 알킬기인 금속 전구체 화합물.
5. The method of claim 4,
Wherein R 1 and R 2 are the same alkyl group as a metal precursor compound.
제4항에 있어서,
상기 R5와 R6, R7과 R8, 및 R9과 R10 중 한 쌍 이상이 서로 동일한 알킬기인 금속 전구체 화합물.
5. The method of claim 4,
wherein at least one pair of R 5 and R 6 , R 7 and R 8 , and R 9 and R 10 is the same alkyl group.
제4항에 있어서,
상기 R5 내지 R10는 서로 동일한 알킬기인 금속 전구체 화합물.
5. The method of claim 4,
Wherein R 5 To R 10 Are the same alkyl group as a metal precursor compound.
제1항에 있어서,
상기 금속 전구체 화합물은 하기 화학식 3으로 표시되는 금속 전구체 화합물:
[화학식 3]
Figure pat00016

(상기 화학식 3에서, M은 Ti, Zr 또는 Hf이고, R1-R11은 각각 독립적으로 수소 또는 C1-C6의 선형 또는 분지형 알킬기이고, m은 0 내지 3의 정수임).
According to claim 1,
The metal precursor compound is a metal precursor compound represented by the following Chemical Formula 3:
[Formula 3]
Figure pat00016

(In Formula 3, M is Ti, Zr, or Hf, R 1 -R 11 are each independently hydrogen or a C1-C6 linear or branched alkyl group, and m is an integer of 0 to 3).
제9항에 있어서,
상기 R1-R11은 각각 독립적으로 H, CH3, C2H5, C3H7, CH(CH3)2 및 C(CH3)3 중 하나 이상을 포함하는 금속 전구체 화합물.
10. The method of claim 9,
Wherein R 1 -R 11 are each independently H, CH 3 , C 2 H 5 , C 3 H 7 , CH(CH 3 ) 2 and C(CH 3 ) 3 Metal precursor compound comprising at least one.
상기 제1항의 금속 전구체 화합물을 이용하여 기판 상에 금속막을 증착하는 단계를 포함하는 금속막 형성방법.
A method of forming a metal film comprising depositing a metal film on a substrate using the metal precursor compound of claim 1 .
제11항에 있어서,
상기 금속막은 원자층 증착법(ALD), 화학 기상 증착법(CVD), 또는 증발법(Evaporation) 중 하나의 방법에 의해 증착되는 금속막 형성방법.
12. The method of claim 11,
The metal film is deposited by one of atomic layer deposition (ALD), chemical vapor deposition (CVD), and evaporation.
제11항에 있어서,
상기 금속 전구체 화합물을 상기 기판에 공급하는 금속 전구체 화합물 전달 단계를 추가적으로 포함하며,
상기 금속 전구체 화합물 전달 단계는 증기압을 이용하여 휘발 이송 방법, 직접 액체 주입 방법, 또는 액체 이송 방법 중 어느 하나인 금속막 형성방법.
12. The method of claim 11,
Further comprising a metal precursor compound delivery step of supplying the metal precursor compound to the substrate,
The metal precursor compound delivery step is a metal film forming method of any one of a volatilization transfer method, a direct liquid injection method, or a liquid transfer method using vapor pressure.
제11항에 있어서,
상기 증착은,
챔버 내에 기판을 위치하는 단계;
상기 금속 전구체 화합물을 상기 챔버 내에 공급하는 단계;
상기 챔버 내에 반응성 기체 또는 반응성 기체의 플라즈마를 공급하는 단계; 및
상기 챔버 내에서 열처리, 플라즈마 처리 및 광 조사 중 어느 하나 또는 그 이상의 수단에 의해 처리하는 단계;
를 포함하는 금속막 형성방법.
12. The method of claim 11,
The deposition is
positioning the substrate in the chamber;
supplying the metal precursor compound into the chamber;
supplying a reactive gas or plasma of the reactive gas into the chamber; and
processing in the chamber by any one or more means of heat treatment, plasma treatment, and light irradiation;
A metal film forming method comprising a.
제14항에 있어서,
상기 반응성 기체는 수증기(H2O), 산소(O2), 오존(O3), 과산화수소(H2O2), 수소(H2), 암모니아(NH3), 일산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 히드라진(N2H4), 및 실란(SiH4) 중 어느 하나 또는 그 이상이며, 상기 반응성 기체의 플라즈마는 RF 플라즈마, DC 플라즈마, 또는 리모트(Remote) 플라즈마 중 어느 하나인 금속막 형성방법.
15. The method of claim 14,
The reactive gas is water vapor (H 2 O), oxygen (O 2 ), ozone (O 3 ), hydrogen peroxide (H 2 O 2 ), hydrogen (H 2 ), ammonia (NH 3 ), nitrogen monoxide (NO), nitrous oxide any one or more of nitrogen (N 2 O), nitrogen dioxide (NO 2 ), hydrazine (N 2 H 4 ), and silane (SiH 4 ), and the plasma of the reactive gas is RF plasma, DC plasma, or remote ( Remote) A method of forming a metal film in any one of plasma.
KR1020200161191A 2020-11-26 2020-11-26 Metal precursor compound and deposition method for preparing film using the same KR102550599B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200161191A KR102550599B1 (en) 2020-11-26 2020-11-26 Metal precursor compound and deposition method for preparing film using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200161191A KR102550599B1 (en) 2020-11-26 2020-11-26 Metal precursor compound and deposition method for preparing film using the same

Publications (2)

Publication Number Publication Date
KR20220073275A true KR20220073275A (en) 2022-06-03
KR102550599B1 KR102550599B1 (en) 2023-07-03

Family

ID=81983345

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200161191A KR102550599B1 (en) 2020-11-26 2020-11-26 Metal precursor compound and deposition method for preparing film using the same

Country Status (1)

Country Link
KR (1) KR102550599B1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101684660B1 (en) * 2016-07-08 2016-12-09 (주)이지켐 Precursor composition for forming zirconium-containing thin film and method for preparing zirconium-containing thin film using the same
KR20200072407A (en) * 2018-12-12 2020-06-22 에스케이트리켐 주식회사 Precursor composition for film deposition, deposition method of film and semiconductor device of the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101684660B1 (en) * 2016-07-08 2016-12-09 (주)이지켐 Precursor composition for forming zirconium-containing thin film and method for preparing zirconium-containing thin film using the same
KR20200072407A (en) * 2018-12-12 2020-06-22 에스케이트리켐 주식회사 Precursor composition for film deposition, deposition method of film and semiconductor device of the same

Also Published As

Publication number Publication date
KR102550599B1 (en) 2023-07-03

Similar Documents

Publication Publication Date Title
KR102215341B1 (en) Metal precursor and metal containing thin film prepared by using the same
EP2644741B1 (en) Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
TWI390070B (en) Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US11972941B2 (en) Precursor solution for thin film deposition and thin film forming method using same
US9828402B2 (en) Film-forming composition and method for fabricating film by using the same
JP7214955B2 (en) Group 5 metal compound for thin film deposition and method for forming group 5 metal-containing thin film using the same
KR101785594B1 (en) Precusor compositions and Method for forming a thin film using thereof
KR20190108281A (en) Precursor composition for film deposition, deposition method of film and semiconductor device of the same
TWI801355B (en) Compound, raw material for forming thin film, raw material for forming thin film for use in atomic layer deposition method, and method for manufacturing thin film
KR102589267B1 (en) Metal precursor compound for and deposition method for preparing film using the same
KR102550599B1 (en) Metal precursor compound and deposition method for preparing film using the same
KR102544077B1 (en) Precursor composition for film deposition and methods of forming a film using the same
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
KR20220058190A (en) Group 3 metal precusor and thin film containing metal
KR20230113111A (en) Metal precursor compound including cyclopentadienyl ligand and deposition method for preparing film using the same
KR102622013B1 (en) Precursor for film deposition, deposition method of film and semiconductor device of the same
KR102514278B1 (en) Precursor for magnesium containing thin film, deposition method of film and semiconductor device of the same
KR102632516B1 (en) Composition for forming thin film
JP7262912B2 (en) Precursor composition for forming a metal film, method for forming a metal film using the same, and semiconductor device including the metal film
KR102486128B1 (en) Precursor comprising organometal halide, deposition method of film and semiconductor device of the same
KR20240073582A (en) Precursor comprising amidinate ligand for film deposition, deposition method of film and semiconductor device of the same
KR102666160B1 (en) Precursor comprisi ng for yttrium or actinoid containg thin film, deposition method of film and semiconductor device of the same
TW202302619A (en) Metal precursor compound for manufacturing semiconductor thin film and metal-containing thin film manufactured using the same
KR20220157858A (en) Precursor for film deposition, deposition method of film and semiconductor device of the same
KR20220058191A (en) Group 3 metal precusor compound and preparing method of thin film containing metal using the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant