KR20220042197A - 로봇 장착 비젼 장치 - Google Patents

로봇 장착 비젼 장치 Download PDF

Info

Publication number
KR20220042197A
KR20220042197A KR1020227006929A KR20227006929A KR20220042197A KR 20220042197 A KR20220042197 A KR 20220042197A KR 1020227006929 A KR1020227006929 A KR 1020227006929A KR 20227006929 A KR20227006929 A KR 20227006929A KR 20220042197 A KR20220042197 A KR 20220042197A
Authority
KR
South Korea
Prior art keywords
arm
image
substrate
link
robotic arm
Prior art date
Application number
KR1020227006929A
Other languages
English (en)
Inventor
자이로 테라 모우라
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20220042197A publication Critical patent/KR20220042197A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1612Programme controls characterised by the hand, wrist, grip control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1656Programme controls characterised by programming, planning systems for manipulators
    • B25J9/1664Programme controls characterised by programming, planning systems for manipulators characterised by motion, path, trajectory planning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1692Calibration of manipulator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/22Matching criteria, e.g. proximity measures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/70Determining position or orientation of objects or cameras
    • G06T7/73Determining position or orientation of objects or cameras using feature-based methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/70Determining position or orientation of objects or cameras
    • G06T7/73Determining position or orientation of objects or cameras using feature-based methods
    • G06T7/74Determining position or orientation of objects or cameras using feature-based methods involving reference images or patches
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/10Terrestrial scenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/50Constructional details
    • H04N23/54Mounting of pick-up tubes, electronic image sensors, deviation or focusing coils
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30204Marker
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Theoretical Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Multimedia (AREA)
  • Data Mining & Analysis (AREA)
  • Quality & Reliability (AREA)
  • Health & Medical Sciences (AREA)
  • Human Computer Interaction (AREA)
  • Orthopedic Medicine & Surgery (AREA)
  • General Health & Medical Sciences (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Artificial Intelligence (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Evolutionary Biology (AREA)
  • Signal Processing (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

기판 이송 장치는 이송 챔버, 구동 섹션, 로봇 아암, 이송 챔버에 대해 미리결정된 위치에서 구동 섹션의 장착 인터페이스를 통해 장착되고, 아암의 부분을 이미지화하도록 배치된 카메라를 갖는 이미징 시스템, 및 이미징 시스템에 연결되고, 카메라로 미리결정된 위치로 또는 그 안에 이동하는 아암을 이미지화하도록 된 제어기를 포함하고, 제어기는 미리결정된 위치에 근접한 또는 그 안에 아암의 레지스트리 상의 아암의 제 1 이미지의 캡처를 초래하고, 제어기는 아암의 보정 이미지와 제 1 이미지의 비교로부터 아암의 위치 분산을 계산하고, 아암의 연장된 위치를 변경하는 모션 보상 계수를 결정하도록 된다. 제 1 이미지의 캡처를 초래하는 각각의 카메라는 장착 인터페이스의 페리미터 내부에 배치된다.

Description

로봇 장착 비젼 장치
본 출원은 2019년 7월 30일에 출원된 미국 가특허 제62/880,521호의 정규 특허출원로서, 그에 대하여 우선권을 청구하며, 그 내용은 전체로서 여기에 편입된다.
예시적인 실시예는 일반적으로 기판 처리 장치에 관한 것이고, 그리고 더 구체적으로 기판 이송 장치에 관한 것이다.
일반적으로 반도체 자동화는 클러스터 툴에 결합된 또는 그를 형성하는 바와 같은 다양한 처리 모듈 스테이션에 의해 부과된 미상의 고온 변수로 진공 환경에서 작동한다. 로봇 설계와 같은 종래의 반도체 자동화 설계는 기판이 공간에서 취급되는 위치로부터 떨어져 위치되어 장착된 위치 피드백 장치에 의존한다. 예를 들어, 아날로그 또는 디지털 회전식 또는 선형 인코더는 모터 액츄에이터의 위치를 검출하도록 사용되고, 이러한 정보는 머니퓰레이터의 추정된 키네마틱 모델을 통해 공간에서 로봇 엔드-이펙터의 기대 위치를 계산하도록 사용된다. (열 효과와 같은) 로봇 아암이 작동하는 환경에 의해 부과되는 로봇 아암 링크에서의 높은 치수 변동성으로 인해, 링크 및 엔드-이펙터의 실제 위치는 알려질 수 없다.
일반적으로, 기판 핸들링 정확도를 위한 다른 해법이 제안되어왔다. 일 예로서, 시각 시스템은 추가적인 위치 피드백 루프를 제공할 수 있도록 처리 모듈 스테이션에 사용되어왔다. GPS에 기반한 것과 같은 다른 유형의 로봇 위치 감지 역시 로봇을 공간에 위치시킬 뿐만아니라 각각의 처리 모듈 스테이션을 찾는 방법으로서 제안되어왔다. 다른 접근은 엔드-이펙터에 관한 웨이퍼/기판 오프셋을 보정하도록 처리 모듈 스테이션의 게이트 밸브의 부근에 위치된 반사 또는 스루 빔 레이저 센서를 사용한다. 이들 해법은 열 보상을 갖는 액티브 웨이퍼 센터링(Active Wafer Centering: AWC)으로서 언급될 수 있다. AWC의 일부 버전 역시 아암의 치수가 열적으로 변함에 따라, 처리 모듈 스테이션 또는 게이트 밸브에 배치된 바와 같이 AWC 센서를 사용하여 엔드 이펙터 또는 로봇 아암의 리스트(wrist)의 특징을 추적함에 의한 바와 같이 열 효과로 인한 로봇 아암 링크 치수 변동성을 보상한다.
위에 언급된 기판 핸들링 정확도를 위한 종래의 해법은 예를 들어, 클러스터 툴 (또는 다른 처리 장비 구성)에 대한 (예를 들어, 비용, 정지시간 등으로 인한) 원치않는 변경이 부가적인 위치 또는 온도 피드백 정보를 지지하도록 요구된다는 점에서 결함적일 수 있다. 감지 기술은 고온 또는 부식성 기체와 같은 공격적인 환경 부근에서 잘 작동하지 않을 수 있다. 감지 기술은 열 성장 (또는 수축)을 적절하게 예측하기에 충분한 정보를 제공하지 못할 수 있다.
본 발명은 종래 기술의 문제점을 해결한 기판 이송 장치 및 방법을 제공하고자 한다.
본 발명의 하나 이상의 측면에 따른 기판 이송 장치는:
기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는 이송 챔버;
이송 챔버에 연결된 장착 인터페이스를 갖고, 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한, 구동 섹션으로서, 여기서 장착 인터페이스는 구동 섹션을 이송 챔버에 장착하고, 페리미터(perimeter)의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성하는, 구동 섹션;
이송 챔버 내부에 장착되고, 그 위에 기판을 지지하도록 구성된 로봇 아암의 원위부에서의 엔드 이펙터를 구비한, 로봇 아암으로서, 여기서 로봇 아암은 적어도 하나의 독립적인 구동 축으로, 반경 방향으로 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로 반경 방향으로, 엔드 이펙터를 이동시키는 적어도 아암 모션을 발생시키는 구동 섹션에 작동가능하게 연결되는, 로봇 아암;
이송 챔버에 대해 미리결정된 위치에서 장착 인터페이스를 통해 장착되고, 로봇 아암의 적어도 일부를 이미지화하도록 배치된 카메라를 갖는 이미징 시스템; 및
이미징 시스템에 통신가능하게 연결되고 카메라로 미리결정된 위치로 또는 그 안에 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 로봇 아암의 적어도 일부를 이미지화하도록 구성된 제어기로서, 여기서 제어기는 미리결정된 위치에 근접한 또는 그 안에 로봇 아암의 레지스트리 상의 로봇 아암의 적어도 일부의 제 1 이미지를 캡처하게 하는, 제어기;를 포함하되,
여기서 제어기는 로봇 아암의 적어도 일부의 보정 이미지와 제 1 이미지의 비교로부터 로봇 아암의 적어도 일부의 위치 분산(positional variance)을 계산하고, 위치 분산으로부터, 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수(motion compensation factor)를 결정하도록 구성되고, 여기서 제 1 이미지의 캡처를 초래하는 각각의 카메라는 장착 인터페이스의 페리미터 내부에 배치된다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지와, 로봇 아암의 적어도 일부의 보정 이미지의 비교로부터 제어기에 의해 계산된 위치 분산은 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 로봇 아암의 연장된 위치를 변경한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에서 캡처된 로봇 아암의 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터를 포함하고, 기판을 갖는 엔드 이펙터는 제 1 이미지에서 이미지화되고, 제어기는 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률(substrate eccentricity)을 결정한다.
본 발명의 하나 이상의 측면에 따라서, 로봇 아암의 적어도 하나의 링크는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하는 특징을 갖고, 제어기는 이미징 시스템으로 캡처된 특징의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록한다.
본 발명의 하나 이상의 측면에 따라서, 로봇 아암은 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 숄더 축은 페리미터의 내부 상에 위치된다.
본 발명의 하나 이상의 측면에 따라서, 각각의 카메라는 연장된 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 숄더 축에 근접하여 위치된다.
본 발명의 하나 이상의 측면에 따른 방법은:
기판 이송 장치의 이송 챔버를 제공하는 단계로서, 여기서 이송 챔버는 기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는, 이송 챔버를 제공하는 단계;
이송 챔버에 연결된 장착 플래지를 갖고, 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한, 구동 섹션을 제공하는 단계로서, 여기서 장착 플랜지는 구동 섹션을 이송 챔버에 장착하고, 페리미터의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성하는, 구동 섹션을 제공하는 단계;
이송 챔버 내부에 장착되고, 그 위에 기판을 지지하도록 구성된, 로봇 아암의 원위부에서 엔드 이펙터를 구비한, 로봇 아암을 제공하는 단계로서, 여기서 로봇 아암은 구동 섹션에 작동가능하게 연결되는, 로봇 아암을 제공하는 단계;
적어도 하나의 독립적인 구동 축으로, 반경 방향에서 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로, 반경 방향에서, 엔드 이펙터를 이동시키는, 적어도 로봇 아암 모션을 발생시키는 단계;
이송 챔버에 대해 미리결정된 위치에서 장착 플랜지를 통해 장착된 이미징 시스템의 카메라로, 적어도 하나의 독립적인 구동 축에 의해 규정된 미리결정된 위치로 또는 그 안에서 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 로봇 아암의 적어도 일부를 이미지화하는 단계;
이미징 시스템에 통신가능하게 연결된 제어기로, 미리결정된 위치에 근접한 또는 그 안에서 로봇 아암의 레지스트리 상의 로봇 아암의 적어도 일부의 제 1 이미지를 캡처하는 단계; 및
제어기로, 로봇 아암의 적어도 일부의 보정 이미지와 제 1 이미지의 비교로부터 로봇 아암의 적어도 일부의 위치 분산(positional variance)을 계산하고, 위치 분산으로부터, 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수(motion compensation factor)를 결정하는 단계로서, 여기서 제 1 이미지의 캡처를 초래하는 각각의 카메라는 장착 플랜지의 페리미터 내부에 배치되는, 위치 분산을 계산하고, 모션 보상 계수를 결정하는 단계;를 포함한다.
본 발명의 하나 이상의 측면에 따라서, 상기 방법은 제어기로, 제 1 이미지와, 로봇 아암의 적어도 일부의 보정 이미지의 비교로부터 위치 분산을 계산하는 단계를 더 포함하고, 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 비교하는 단계를 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 로봇 아암의 연장된 위치를 변경한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에서 캡처된 로봇 아암의 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터를 포함하고, 기판을 갖는 엔드 이펙터는 제 1 이미지에서 이미지화되고, 방법은 제어기로, 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정하는 단계를 더 포함한다.
본 발명의 하나 이상의 측면에 따라서, 로봇 아암의 적어도 하나의 링크는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하는 특징을 갖고, 방법은 제어기로써, 이미징 시스템으로 캡처된 특징의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록하는 단계를 더 포함한다.
본 발명의 하나 이상의 측면에 따라서, 로봇 아암은 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 숄더 축은 페리미터의 내부 상에 위치된다.
본 발명의 하나 이상의 측면에 따라서, 각각의 카메라는 연장된 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 숄더 축에 근접하여 위치된다.
본 발명의 하나 이상의 측면에 따라, 기판 이송 장치는:
기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는 이송 챔버;
이송 챔버에 연결된 장착 인터페이스를 갖고, 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한 구동 섹션;
이송 챔버 내부에 장착되고, 그 위에 기판을 지지하도록 구성된, 멀티-링크 로봇 아암의 원위부에서 엔드 이펙터를 구비한, 멀티-링크로봇 아암으로서, 여기서 멀티-링크 로봇 아암은 적어도 하나의 독립적인 구동 축으로, 반경 방향으로 멀티-링크 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로 반경 방향으로, 엔드 이펙터를 이동하는 적어도 아암 모션을 발생시키는 구동 섹션에 작동가능하게 연결되는, 멀티-링크로봇 아암;
반경 방향에 대해 멀티-링크 로봇 아암의 적어도 하나의 링크의 선형 및 회전 특성 모두를 특징으로 하는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트;
이송 챔버에 대해 미리결정된 위치에서 장착 인터페이스를 통해 장착되고, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부를 이미지화하도록 배치된 적어도 하나의 이미징 센서를 갖는 이미징 시스템; 및
이미징 시스템에 통신가능하게 연결되고 적어도 하나의 이미징 센서로, 미리결정된 위치로 또는 그 안에 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부를 이미지화하도록 구성된 제어기로서, 여기서 제어기는 미리결정된 위치에 근접한 또는 그 안에 멀티-링크 로봇 아암의 레지스트리 상의 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 제 1 이미지를 캡처하게 하는, 제어기;를 포함하되,
여기서 제어기는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지와 제 1 이미지의 비교로부터, 멀티-링크 로봇 아암의 엔드 이펙터의 기판 홀딩 스테이션의 위치 분산을 계산하고, 위치 분산으로부터, 멀티-링크 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수를 결정하고, 여기서 제 1 이미지의 캡처를 초래하는 적어도 하나의 이미징 센서의 각각은 장착 인터페이스의 페리미터 내부에 배치된다.
본 발명의 하나 이상의 측면에 따라서, 장착 인터페이스는 구동 섹션을 이송 챔버에 장착하고, 페리미터의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에 갭처된 하나 이상의 표시의 세트 중 적어도 일부는 엔드 이펙터의 기판 홀딩 스테이션의 위치 분산에 결정적이다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지와, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지의 비교로부터 제어기에 의해 계산된 위치 분산은 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 멀티-링크 로봇 아암의 연장된 위치를 변경한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에서 캡처된 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터를 포함하고, 기판을 갖는 엔드 이펙터는 제 1 이미지에서 이미지화되고, 제어기는 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정한다.
본 발명의 하나 이상의 측면에 따라서, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하고, 제어기는 이미징 시스템으로 캡처된 하나 이상의 표시의 세트의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록한다.
본 발명의 하나 이상의 측면에 따라서, 멀티-링크 로봇 아암은 멀티-링크 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 숄더 축은 페리미터의 내부 상에 위치된다.
본 발명의 하나 이상의 측면에 따라서, 적어도 하나의 이미징 센서의 각각은 연장된 멀티-링크 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 숄더 축에 근접하여 위치된다.
본 발명의 하나 이상의 측면에 따른 방법은:
기판 이송 장치의 이송 챔버를 제공하는 단계로서, 여기서 이송 챔버는 기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는, 이송 챔버를 제공하는 단계;
이송 챔버에 연결된 장착 플랜지를 갖고, 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한, 구동 섹션을 제공하는 단계;
이송 챔버 내부에 장착되고, 그 위에 기판을 지지하도록 구성된, 멀티-링크 로봇 아암의 원위부에 엔드 이펙터를 구비한, 멀티-링크 로봇 아암을 제공하는 단계로서, 여기서 멀티-링크 로봇 아암은 구동 섹션에 작동가능하게 연결되는, 멀티-링크 로봇 아암을 제공하는 단계;
적어도 하나의 독립적인 구동 축으로, 반경 방향에서 멀티-링크 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로, 반경 방향에서, 엔드 이펙터를 이동시키는, 적어도 멀티-링크 로봇 아암 모션을 발생시키는 단계;
반경 방향에 대해 멀티-링크 로봇 아암의 적어도 하나의 링크의 선형 및 회전 특성 모두를 특징으로 하는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트를 제공하는 단계;
이송 챔버에 대해 미리결정된 위치에서 장착 플랜지를 통해 장착된 이미징 시스템의 적어도 하나의 이미징 센서로, 미리결정된 위치로 또는 그 안에 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부를 이미지화하는 단계;
이미징 시스템에 통신가능하게 연결된 제어기로, 미리결정된 위치에 근접한 또는 그 안에서 멀티-링크 로봇 아암의 레지스트리 상의 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 제 1 이미지를 캡처하는 단계; 및
제어기로, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지와 제 1 이미지의 비교로부터 멀티-링크 로봇 아암의 적어도 일부의 위치 분산을 계산하고, 위치 분산으로부터, 멀티-링크 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수를 결정하는 단계로서, 여기서 제 1 이미지의 캡처를 초래하는 적어도 하나의 이미징 센서의 각각은 장착 플랜지의 페리미터 내부에 배치되는, 위치 분산을 계산하고 모션 보상 계수를 결정하는 단계;를 포함한다.
본 발명의 하나 이상의 측면에 따라서, 장착 플랜지는 구동 섹션을 이송 챔버에 장착하고, 페리미터의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에 갭처된 하나 이상의 표시의 세트 중 적어도 일부는 엔드 이펙터의 기판 홀딩 스테이션의 위치 분산에 결정적이다.
본 발명의 하나 이상의 측면에 따라서, 상기 방법은 제어기로, 제 1 이미지와, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지의 비교로부터 위치 분산을 계산하는 단계를 더 포함하고, 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 비교하는 단계를 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 멀티-링크 로봇 아암의 연장된 위치를 변경한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에서 캡처된 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터를 포함하고, 기판을 갖는 엔드 이펙터는 제 1 이미지에서 이미지화되고, 방법은 제어기로, 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정하는 단계를 더 포함한다.
본 발명의 하나 이상의 측면에 따라서, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하고, 방법은 제어기로, 이미징 시스템으로 캡처된 하나 이상의 표시의 세트의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록하는 단계를 더 포함한다.
본 발명의 하나 이상의 측면에 따라서, 멀티-링크 로봇 아암은 멀티-링크 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 숄더 축은 페리미터의 내부 상에 위치된다.
본 발명의 하나 이상의 측면에 따라서, 적어도 하나의 이미징 센서의 각각은 연장된 멀티-링크 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 숄더 축에 근접하여 위치된다.
개시된 실시예에 관해 앞서언급된 측면 및 다른 특징은 첨부된 도면에 관련해서 취해진, 다음의 기재에서 설명되고, 여기서:
도 1a 내지 도 1d는 본 발명의 측면을 병합하는 기판 처리 기구의 개략적인 도시이고;
도 2a-도 2e는 본 발명의 측면에 따른 이송 아암의 개략적인 도시이고;
도 3은 본 발명의 측면에 따른 기판 처리 기구의 일부의 개략적인 도시이며;
도 4는 본 발명의 측면에 따른 기판 처리 기구의 일부의 개략적인 도시이며;
도 5는 본 발명의 측면에 따른 기판 처리 기구의 일부의 개략적인 도시이며;
도 6은 본 발명의 측면에 따른 기판 처리 기구의 일부의 개략적인 도시이며;
도 7은 본 발명의 측면에 따른 기판 처리 기구의 기판 이송의 개략적인 도시이며;
도 8a는 본 발명의 측면에 따른 기판 처리 기구의 기판 이송의 개략적인 도시이며;
도 8b는 본 발명의 측면에 따른 기판 이송의 예시적인 목표물의 개략적인 도시이며;
도 8c는 본 발명의 측면에 따른 기판 처리 기구의 기판 이송의 개략적인 도시이며;
도 9는 본 발명의 측면에 따른 열 효과로 인한 목표물 위치 변화의 개략적인 도시이며;
도 10a는 본 발명의 측면에 따른 베이스라인 조건에서 기판 이송 아암의 키네마틱 모델의 개략적인 도시이며;
도 10b는 본 발명의 측면에 따른 비-베이스라인 조건에서 기판 이송 아암의 키네마틱 모델의 개략적인 도시이며;
도 11은 본 발명의 측면에 따른 키네마틱 모델 보정을 위한 예시적이고 개략적인 제어기 흐름도이고;
도 12는 본 발명의 측면에 따른 기판 이송의 아암 링크의 팽창의 개략적인 도시이며;
도 13은 본 발명의 측면에 따른 기계 학습 열 보상 모델용 훈련 데이터 수집의 개략적인 도시이며;
도 14a 및 도 14b는 본 발명의 측면에 따른 카메라 측정의 관점에서 링크 길이 팽창의 도출을 위한 예시적인 도시이고;
도 15는 개시된 실시예의 하나 이상의 측면에 따른 기판 이송 장치의 작동의 방법의 흐름도이며; 그리고
도 16은 개시된 실시예의 하나 이상의 측면에 따른 기판 이송 장치의 작동의 방법의 흐름도이다.
도 1a 내지 도 1d는 본 발명의 측면에 따른 기판 처리 기구의 예시적인 개략도를 도시한다. 본 발명의 측면이 도면을 참조하여 기재될 것임에도, 본 발명의 측면이 여러 형태로 실시될 수 있다는 것이 이해되어야만 한다. 덧붙여, 임의의 적합한 크기, 형태, 또는 유형의 요소 또는 소재가 사용될 수 있다.
높은 정확도 기판 핸들링의 개념은 환경 변화에도 불구하고 최소 위치 변동성 내에서 원하는 위치에 기판을 위치시킬 수 있다는 발상에서 유래한다. 아래에 더 구체적으로 기재될 바와 같이, 본 발명의 측면은 도 1a 내지 도 1d의 기판 처리 기구 또는 임의의 다른 적합한 기판 처리 장비/챔버에서 발견되는 닫힌 또는 밀봉된 환경과 같은 닫힌 또는 밀봉된 환경 내에서 기판 이송 배치 정확도를 개선하기 위한 기구 및 방법을 제공한다. 본 발명의 측면은 기판 처리 기구 및 챔버에 대해 수정할 필요 없이 시각 (및/또는 다른 비접촉) 기반 감지 시스템을 채용하는 자급식 해법을 제공한다. 본 발명의 측면은 기판 처리 기구의 구조에 대한 변경 또는 수정 없이, 진공 환경에 전자 장치를 추가하지 않고, 그리고 기판 이송 장치 아암 및/또는 머니퓰레이터 기계적 설계에 최소 충격으로 또는 어떠한 충격도 없이, 공간에서 기판 이송 장치 위치 (예를 들어, 머니퓰레이터 또는 엔드 이펙터의 위치)의 높은 정확도 피드백 및 열 보상을 제공한다.
본 발명의 측면에 따라서, 기판 이송 장치 비젼 기반 센서 시스템은 공간에서의 하나 이상의 아암 링크 위치에서 아암의 링크를 갖는 기판 이송 장치의 아암 상의 적어도 하나의 지점 또는 목표물의 위치를 측정하도록 처리 시스템의 대기 측면 (예를 들어, 기판 이송 장치의 아암이 작동하는 진공 챔버/환경의 측면) 상에 제공되거나 위치된다. 아암 링크의 하나의 예시적인 위치는 아암의 보트(bot) 탑 센터 포즈 (또는 아암의 충분히 후퇴된 위치/배향) 또는 임의의 다른 적합한 미리결정된 포즈이다. 보트 탑 센터 포즈 (또는 다른 미리결정된 아암 포즈)는 (예를 들어, 모터 인코더의 홈 또는 제로 위치에서와 같이) 아암의 제조에서 보정되거나 설정된다.
비젼 기반 센서 시스템은 기준 위치 및 온도(예를 들어, 베이스라인 측정)를 확립하도록 이송 챔버의 베이스라인 온도에서 아암 상의 적어도 하나의 지점 또는 목표물을 이미지화한다. 기판 이송 장치의 제어기 내에 작동하는 로봇 키네마틱 모델에 정확한 조절을 제공하도록, 아암이 작동하고 이송 챔버 내의 온도가 베이스라인 측정에 관련해 변함에 따라, 아암의 키네마틱은 새로운 측정으로 업데이트될 수 있다. 본 발명에 의해 제공된 비젼 기반 센서 시스템은 기판 이송 장치의 아암 또는 고객의 반도체 제작 공정을 방해하지 않는다.
도 1a 및 도 1b를 참조하여, 예를 들어, 반도체 툴 스테이션(11090)과 같은 처리 기구가 개시된 실시예의 측면에 따라 도시된다. 반도체 툴(11090)이 도면에 도시됨에도, 여기 기재된 개시 실시예의 측면이 임의의 툴 스테이션 또는 로봇 머니퓰레이터를 채용하는 어플리케이션에 적용될 수 있다. 이러한 실시예에서, 툴(11090)은 클러스터 툴로서 도시되지만, 개시된 실시예의 측면은 도 1c 및 도 1d에 도시되고, 그 개시가 전체로서 여기 참조에 의해 병합된 2013년 3월 19일에 등록된 “선형으로 분산된 반도체 워크피스 가공 툴”로 명명된 미국 특허 제8,398,355호에 기재된 바와 같은 예를 들어, 선형 툴 스테이션과 같은 임의의 적합한 툴 스테이션에 적용될 수 있다. 툴 스테이션(11090)은 일반적으로 대기 전방 단부(11000), 진공 부하 로크부(11010) 및 진공 후방 단부(11020)를 포함한다. 다른 측면에서, 툴 스테이션은 임의의 적합한 구성을 가질 수 있다. 전방 단부(11000), 부하 로크부(11010) 및 후방 단부(11020)의 각각의 구성요소는 예를 들어, 클러스터 아키텍처 제어와 같은 임의의 적합한 제어 아키텍처의 일부일 수 있는 제어기(11091)에 연결될 수 있다. 제어 시스템은 그 개시가 전체로서 참조에 의해 여기 병합된 2011년 3월 8일에 등록된 “스케일러블 모션 제어 시스템”으로 명명된 미국 특허 제7,904,182호에 개시된 이들과 같은 마스터 제어기, 클러스터 제어기, 및 자율 원격 제어기를 갖는 폐 루프 제어기일 수 있다. 다른 측면에서, 임의의 적합한 제어기 및/또는 제어 시스템이 사용될 수 있다. 제어기(11091)는 임의의 적합한 메모리, 및 자동 기판 센터링 및/또는 기판 처리 기구의 기판 홀딩 스테이션의 자동 위치를 초래하도록 여기 기재된 처리 기구를 작동하고, 여기 기재된 바와 같은 기판 홀딩 스테이션의 위치를 기판 이송 장치에 교시하기 위한 비-일시적 프로그램 코드를 포함하는 프로세서를 포함한다. 예를 들어, 일 측면에서, 제어기(11091)는 (예를 들어, 기판과 기판 이송 장치의 엔드 이펙터 사이의 편심률을 결정하기 위한) 임베디드 기판 위치결정 명령어를 포함한다. 일 측면에서, 기판 위치결정 명령어는 하나 이상의 자동 기판 센터링 센서를 지나거나 그를 통해, 기판, 및 기판이 홀딩되는 엔드 이펙터를 이동시키는 임베디드 피크/플레이스(pick/place) 명령어일 수 있다. 제어기는 기판의 중심 및 엔드 이펙터의 기준 위치를 결정하고, 엔드 이펙터의 기준 위치에 관련해 기판의 편심률을 결정하도록 구성된다. 일 측면에서, 제어기는 엔드 이펙터 및/또는 기판 이송 장치/로봇의 이송 아암의 하나 이상의 특징에 대응하는 검출 신호를 수신하고, 예를 들어, 처리 모듈 내의 온도로 인한, 기판 이송 장치 또는 기판 이송 장치의 구성요소의 열 팽창 또는 수축을 결정하도록 구성된다.
구현될 수 있는 바와 같이 그리고 여기 기재된 바와 같이, 일 측면에서, 기판 스테이션은 내부에 위치되고, 여기 기재된 자동-교시는 내부에 진공 압력 환경을 갖는 처리 모듈에서 발생한다. 일 측면에서, 진공 압력은 10-5 토르 이하와 같은 높은 진공이다. 일 측면에서, 여기 기재된 자동-센터링 및/또는 교시는 (예를 들어, 기판을 처리하기 위한) 처리 보안의 상태에 있는 예를 들어, 처리 모듈 내에 위치된 기판 스테이션 특징 내에서 발생한다. 기판을 처리하기 위한 처리 보안의 상태는 처리 모듈의 조건이고, 여기서 처리 모듈은 처리 진공 또는 대기를 처리 모듈에 도입하기 위해 준비된 청결 상태, 또는 생산 웨이퍼를 처리 모듈에 도입하기 위해 준비된 상태에서 밀봉된다.
일 측면에서, 전방 단부(11000)는 일반적으로 예를 들어, 이큅먼트 프론트 엔드 모듈(EFEM)과 같은 부하 포트 모듈(11005) 및 미니-환경(11060)을 포함한다. 부하 포트 모듈(11005)은 300 mm 부하 포트, 전방 열림 또는 바닥 열림 박스/포드 및 카세트용 SEMI 표준 E15.1, E47.1, E62, E19.5, 또는 E1.9를 따르는 툴 표준(BOLTS) 인터페이스에 대한 박스 오프너/로더일 수 있다. 다른 측면에서, 부하 포트 모듈은 200 mm 웨이퍼 또는 450 mm 웨이퍼 인터페이스 또는 예를 들어, 더 크거나 더 작은 웨이퍼 또는 평판 디스플레이용 평판과 같은 임의의 다른 적합한 기판 인터페이스로서 구성될 수 있다. 두 개의 부하 포트 모듈(11005)이 도 1a에 도시됨에도, 다른 측면에서, 임의의 적합한 수의 부하 포트 모듈이 전방 단부(11000)에 병합될 수 있다. 부하 포트 모듈(11005)은 오버헤드 이송 시스템, 자동 안내 차량, 개인 안내 차량, 레일 안내 차량으로부터 또는 임의의 다른 적합한 이송 방법으로부터 기판 캐리어 또는 카세트(11050)를 수용하도록 구성될 수 있다. 부하 포트 모듈(11005)은 부하 포트(11040)를 통해 미니-환경(11060)과 접속할 수 있다. 일 측면에서, 부하 포트(11040)는 기판 카세트(11050)와 미니-환경(11060) 사이의 기판 통과를 허용한다.
일 측면에서, 미니-환경(11060)은 일반적으로 여기 기재된 개시 실시예의 하나 이상의 측면을 병합하는 임의의 적합한 이송 로봇(11013)을 포함한다. 일 측면에서, 로봇(11013)은 그 개시가 전체로서 여기 참조에 의해 병합된 예를 들어, 미국 특허 제6,002,840호에 기재된 바와 같은 트랙 장착 로봇 또는 다른 측면에서, 임의의 적합한 구성을 갖는 임의 다른 적합한 이송 로봇일 수 있다. 미니-환경(11060)은 다중 부하 포트 모듈 사이의 기판 이송을 위한 제어된 클린 존을 제공할 수 있다.
진공 부하 로크부(11010)는 미니-환경(11060)과 후방 단부(11020) 사이에 위치될 수 있고 그들에 연결될 수 있다. 여기 사용된 바와 같은 용어 진공은 기판이 처리되는 10-5 토르 이하와 같은 높은 진공을 나타낼 수 있다는 것이 다시 언급된다. 부하 로크부(11010)는 일반적으로 대기 및 진공 슬롯 밸브를 포함한다. 슬롯 밸브는 대기 전방 단부로부터 기판을 로딩한 후에 부하 로크부를 도입시키고, 질소와 같은 불활성 기체로 로크부를 벤팅할 때 이송 챔버에서 진공을 유지하도록 채용된 환경적 격리를 제공한다. 일 측면에서, 부하 로크부(11010)는 처리를 위해 원하는 위치에 기판의 기준을 정렬하기 위한 얼라이너(11011)를 포함한다. 다른 측면에서, 진공 부하 로크부는 처리 기구의 임의의 적합한 위치에 위치될 수 있고, 임의의 적절한 구성 및/또는 계량 장비를 가질 수 있다.
진공 후방 단부(11020)는 일반적으로 이송 챔버(11025), 하나 이상의 처리 스테이션 또는 모듈(11030) 및 임의의 적합한 이송 로봇 또는 기구(11014)를 포함한다. 이송 로봇(11014)은 아래에 설명될 것이고 부하 로크부(11010)와 다양한 처리 스테이션(11030) 사이에 기판을 이송하도록 이송 챔버(11025) 내에 위치될 수 있다. 처리 스테이션(11030)은 다양한 증착, 에칭, 또는 기판 상에 전기 회로 또는 다른 원하는 구조를 형성하기 위한 다른 유형의 공정을 통해 기판 상에 작동할 수 있다. 일반적인 공정은 플라즈마 식각 또는 다른 에칭 공정과 같은 진공을 사용하는 박막 공정, 화학 기상 증착법(CVD), 플라즈마 기상 증착법(PVD), 이온 주입과 같은 주입, 계량, 급속 열 처리(RTP), 건식 스트립 원자층 증착(ALD), 산화/확산, 질화물의 형성, 진공 리소그래피, 에피택시(EPI), 와이어 본더, 및 증착(evaporation) 또는 진공 압력을 사용하는 다른 박막 공정을 포함하지만 이에 한정되지 않는다. 처리 스테이션(11030)은 기판이 이송 챔버(11025)로부터 처리 스테이션(11030)으로 통과되도록 허용하는 이송 챔버(11025)에 연결되고, 그 역도 성립한다. 일 측면에서, 부하 포트 모듈(11005) 및 부하 포트(11040)는 진공 후방 단부(11020)에 실질적으로 직접 결합되어서, 부하 포트 상에 장착된 카세트(11050)는 이송 챔버(11025)의 진공 환경 및/또는 처리 스테이션(11030)의 처리 진공(예를 들어, 처리 진공 및/또는 진공 환경은 처리 스테이션(11030)과 카세트(11050) 사이에 연장하고 그들 사이에 공통이다)과 실질적으로 직접 접속한다(예를 들어, 일 측면에서, 적어도 미니-환경(11060)이 생략되는 한편, 다른 측면에서, 카세트(11050)가 진공 부하 로크부(11010)의 것과 유사한 방식으로 진공으로 펌핑 다운되는 바와 같이 진공 부하 로크부(11010) 역시 생략된다).
이제 도 1c를 참조하여, 선형 기판 처리 시스템(2010)의 개략적인 평면도가 도시되고, 여기서 툴 인터페이스 섹션(2012)은 이송 챔버 모듈(3018)에 장착되어서, 인터페이스 섹션(2012)은 일반적으로 이송 챔버(3018)의 길이방향 축(X)을 향해(예를 들어, 내부를 향해) 마주하지만, 그로부터 상쇄된다. 앞서 참조에 의해 여기에 병합된 미국 특허 제8,398,355호에 기재된 바와 같이, 이송 챔버 모듈(3018)은 다른 이송 챔버 모듈(3018A, 3018I, 3018J)을 인터페이스(2050, 2060, 2070)에 부착함으로써 임의의 적합한 방향으로 연장될 수 있다. 각각의 이송 챔버 모듈(3018, 3019A, 3018I, 3018J)은 임의의 적합한 기판 이송부(2080)를 포함하고, 이는 기판을 처리 시스템(2010)에 걸쳐 그리고 예를 들어, (일 측면에서, 위에 기재된 처리 스테이션(11030)과 실질적으로 유사한) 처리 모듈(PM)로 그리고 그로부터 이송하기 위해, 여기 기재된 개시 실시예의 하나 이상의 측면을 포함할 수 있다. 구현될 수 있는 바와 같이, 각각의 챔버 모듈은 격리되거나 제어된 대기(예를 들어, N2, 깨끗한 공기, 진공)를 홀딩할 수 있다.
도 1d를 참조하여, 선형 이송 챔버(416)의 길이방향 축(X)을 따라 취해질 수 있는 바와 같이 예시적인 처리 툴(410)의 개략적인 정면도가 도시된다. 도 1d에 도시된 개시 실시예의 측면에서, 툴 인터페이스 섹션(12)은 이송 챔버(416)에 대표적으로 연결될 수 있다. 이러한 측면에서, 인터페이스 섹션(12)은 툴 이송 챔버(416)의 일 단부를 규정할 수 있다. 도 1d에 도시된 바와 같이, 이송 챔버(416)는 예를 들어, 인터페이스 섹션(12)으로부터 반대편 단부에 또 다른 워크피스 입구/출구 스테이션(412)을 가질 수 있다. 다른 측면에서, 이송 챔버로부터 워크 피스를 삽입하고/제거하기 위한 다른 입구/출구 스테이션이 제공될 수 있다. 일 측면에서, 인터페이스 섹션(12) 및 입구/출구 스테이션(412)은 툴로부터 워크피스의 로딩 및 언로딩을 허용할 수 있다. 다른 측면에서, 워크피스는 일 단부로부터 툴로 로딩될 수 있고 다른 단부로부터 제거될 수 있다. 일 측면에서, 이송 챔버(416)는 하나 이상의 이송 챔버 모듈(18B, 18i)을 가질 수 있다. 각각의 챔버 모듈은 격리되거나 제어된 대기(예를 들어, N2, 깨끗한 공기, 진공)를 홀딩할 수 있다. 앞서 언급된 바와 같이, 도 1d에 도시된 이송 챔버 모듈(18B, 18i), 부하 로크 모듈(56A, 56) 및 이송 챔버(416)를 형성하는 워크피스 스테이션의 구성/배열은 단지 예시적이고, 다른 측면에서, 이송 챔버는 임의의 원하는 모듈 배열에 배치된 더 많거나 더 적은 모듈을 가질 수 있다. 도시된 측면에서, 스테이션(412)은 부하 로크부일 수 있다. 다른 측면에서, 부하 로크 모듈은 (스테이션(412)과 유사한) 단부 입구/출구 스테이션 사이에 위치될 수 있거나, (모듈(18i)과 유사한) 인접한 이송 챔버 모듈은 부하 로크부로서 작동하도록 구성될 수 있다.
역시 앞서 언급된 바와 같이, 이송 챔버 모듈(18B, 18i)은 하나 이상의 상응하는 이송 장치(26B, 26i)를 가질 수 있고, 이들은 그 안에 위치된, 여기 기재된 개시 실시예의 하나 이상의 측면을 포함할 수 있다. 각각의 이송 챔버 모듈(18B, 18i)의 이송 장치(26B, 26i)는 이송 챔버에서 선형으로 분산된 워크피스 이송 시스템을 제공하도록 협력할 수 있다. 이러한 측면에서, (도 1a 및 도 1b에 도시된 클러스터 툴의 이송 장치(11013, 11014)와 실질적으로 유사할 수 있는) 이송 장치(26B)는 (다른 측면에서, 이송 아암은 예를 들어, 도 2b에 도시된 바와 같은 선형 슬라이딩 아암(214) 또는 임의의 적합한 아암 연결 매커니즘을 갖는 다른 적합한 아암과 같은 임의의 다른 원하는 배열을 가질 수 있음에도) 일반적인 스카라(SCARA) 아암 구성을 가질 수 있다. 아암 연결 메커니즘의 적절한 예는 그 개시가 전체로서 여기에 참조에 의해 모두 병합된, 예를 들어, 2009년 8월 25일 등록된 미국 특허 제7,578,649호, 1998년 8월 18일 등록된 제5,794,487호, 2011년 5월 24일 등록된 제7,946,800호, 2002년 11월 26일에 등록된 제6,485,250호, 2011년 2월 22일에 등록된 제7,891,935호, 2013년 4월 16일에 등록된 제8,419,341호, 및 “듀얼 아암 로봇”으로 명명되고 2011년 11월 10일에 출원된 미국 특허 출원 제13/293,717호, 및 “Z 모션 및 관절형 아암을 갖는 선형 진공 로봇”으로 명명되고 2013년 9월 5일 출원된 제13/861,693호에서 발견될 수 있다. 개시된 실시예의 측면에서, 적어도 하나의 이송 아암은 상부 아암, 밴드-구동 포어아암(forearm) 및 밴드-제한 엔드-이펙터를 포함하는, 종래의 스카라(선택적 순응 관절형 로봇 아암) 유형 설계로부터, 또는 텔레스코핑 아암 또는 임의의 다른 적합한 아암 설계로부터 유도될 수 있다. 이송 아암의 적합한 예는 그 개시가 전체로서 여기에 참조에 의해 병합된 예를 들어, 2008년 5월 8일에 출원된 “기계적 스위치 메커니즘을 이용하는 다중 이동가능한 아암을 갖는 기판 이송 장치”로 명명된 미국 특허 출원 제12/117,415호, 및 2010년 1월 19일에 등록된 미국 특허 제7,648,327호에서 발견될 수 있다. 이송 아암의 동작은 서로 독립적일 수 있고(예를 들어, 각각의 아암의 연장/후퇴는 서로 독립적이다), 로스트 모션 스위치를 통해 작동될 수 있거나, 아암이 적어도 하나의 공통 구동 축을 공유하는 바와 같이 임의의 적절한 방식으로 작동가능하게 연결될 수 있다. 여전히 다른 측면에서, 이송 아암은 프로그-레그 아암(216)(도 2a) 구성, 리프(leap) 프로그 아암(217)(도 2d) 구성, 이중-대칭 아암(218)(도 2c) 구성 등과 같은 임의의 다른 원하는 배열을 가질 수 있다. 또 다른 측면에서, 도 2e를 참조하여, 이송 아암(219)은 적어도 제 1 및 제 2 관절형 아암(219A, 219B)을 포함하고, 여기서 각각의 아암(219A, 219B)은 공통 이송 평면에서 나란히 적어도 두 개의 기판(S1, S2)을 홀딩하도록 구성된 엔드 이펙터(219E)를 포함하고(엔드 이펙터(219E)의 각각의 기판 홀딩 위치는 기판(S1, S2)을 집거나 위치시키도록 공통 구동부를 공유한다), 여기서 기판(S1, S2) 사이의 간격(DX)은 나란한 기판 홀딩 위치들 사이의 고정된 간격에 상응한다. 이송 아암의 적절한 예는 그 개시가 전체로서 여기에 참조에 의해 모두 병합된, 2001년 5월 15일 등록된 미국 특허 제6,231,297호, 1993년 1월 19일에 등록된 제5,180,276호, 2002년 10월 15일에 등록된 제6,464,448호, 2001년 5월 1일에 등록된 제6,224,319호, 1995년 9월 5일 등록된 제5,447,409호, 2009년 8월 25일 등록된 제7,578,649호, 1998년 8월 18일 등록된 제5,794,487호, 2011년 5월24일에 등록된 제7,946,800호, 2002년 11월 26일에 등록된 제6,485,250호, 2011년 2월 22일에 등록된 제7,891,935호, 및 “듀얼 아암 로봇”으로 명명되고 2011년 11월 10일에 출원된 미국 특허 출원 제13/293,717호, 및 “동축 구동 진공 로봇”으로 명명되고 2011년 10월 11일에 출원된 제13/270,844호에서 발견될 수 있다. 개시된 실시예의 측면은 일 측면에서, 그 개시가 전체로서 참조에 의해 본원에 편입되는 예를 들어, 미국 특허 제8,293,066호 및 제7,988,398호에 기재된 이들과 같은 선형 이송 셔틀의 이송 아암에 병합된다.
도 1d에 도시된 개시 실시예의 측면에서, 이송 장치(26B)의 아암은 무엇이 피크/플레이스 위치로부터 웨이퍼를 신속하게 스와프하도록 (예를 들어, 기판 홀딩 위치으로부터 웨이퍼를 집고, 그런 후에 즉시 웨이퍼를 동일한 기판 홀딩 위치에 위치시키도록) 이송을 허용하는 패스트 스와프 배열로서 언급될 수 있는지를 제공하도록 배열될 수 있다. 이송 아암(26B)은 임의의 적합한 수의 자유도(예를 들어, Z 축 모션을 갖는 숄더 및 엘보우 조인트 주위의 독립적인 회전)를 갖는 각각의 아암을 제공하기 위한 임의의 적절한 구동 선택(예를 들어, 동축으로 배열된 구동 샤프트, 나란한 구동 샤프트, 수평으로 인접한 모터, 수직으로 적층된 모터 등)을 가질 수 있다. 도 1d에 도시되는 바와 같이, 이러한 측면에서, 모듈(56A, 56, 30i)은 이송 챔버 모듈(18B, 18i ) 사이의 틈으로 위치될 수 있고 적합한 처리 모듈, 부하 로크부(LL), 버퍼 스테이션, 계량 스테이션 또는 임의의 다른 원하는 스테이션을 규정할 수 있다. 예를 들어, 부하 로크부(56A, 56) 및 워크피스 스테이션(30i)과 같은 층간 모듈은 각기 이송 챔버의 선형 축(X)을 따라 이송 챔버의 길이를 통해 이송을 초래하는 이송 아암 또는 워크피스와 협력할 수 있는 고정 워크피스 지지부/선반(56S1, 56S2, 30S1, 30S2)을 가질 수 있다. 예로서, 워크피스는 인터페이스 섹션(12)에 의해 이송 챔버(416)에 로딩될 수 있다. 워크피스는 인터페이스 섹션의 이송 아암(15)을 갖는 부하 로크 모듈(56A)의 지지부 상에 위치될 수 있다. 부하 로크 모듈(56A)에서의 워크피스는 모듈(18B)에서 이송 아암(26B)에 의해 부하 로크 모듈(56A)과 부하 로크 모듈(56) 사이에, 그리고 유사하고 연속적인 방식으로, (모듈(18i)에서) 아암(26i)으로 부하 로크부(56)와 워크피스 스테이션(30i) 사이에, 그리고 모듈(18i)에서 아암(26i)으로 스테이션(30i)과 스테이션(412) 사이에 이동될 수 있다. 이러한 공정은 반대 방향으로 워크피스를 이동하도록 전체적으로 또는 부분적으로 역전될 수 있다. 따라서, 일 측면에서, 워크피스는 축(X)을 따라서 임의의 방향으로 그리고 이송 챔버를 따라서 임의의 위치로 이동될 수 있고, 이송 챔버(를 처리하거나 그렇지 않으면 그)와 연통하는 임의의 원하는 모듈로부터 로딩되고 언로딩될 수 있다. 다른 측면에서, 고정 워크피스 지지부 또는 선반을 갖는 층간 이송 챔버 모듈은 이송 챔버 모듈(18B, 18i) 사이에 제공되지 않을 수 있다. 그러한 측면에서, 인접한 이송 챔버 모듈의 이송 아암은 이송 챔버를 통해 워크피스를 이동하도록 엔드 이펙터 또는 하나의 이송 아암로부터 또 다른 이송 아암의 엔드 이펙터로 직접 워크피스를 전달할 수 있다. 처리 스테이션 모듈은 다양한 증착, 에칭, 또는 기판 상에 전기 회로 또는 다른 원하는 구조를 형성하도록 다른 유형의 공정을 통해 기판 상에 작동할 수 있다. 처리 스테이션 모듈은 기판이 이송 챔버로부터 처리 스테이션으로 통과되도록 허용하는 이송 챔버 모듈에 연결되고, 그 역도 성립한다. 도 1d에 도시된 처리 기구에 대한 유사한 일반적인 특징을 갖는 처리 툴의 적합한 예가 전체로서 참조에 의해 앞서 병합된 미국 특허 제8,398,355호에 기재된다.
도 3을 참조하여, (위에 기재된 바와 같은) 기판 이송 장치(2300)가 적어도 하나의 멀티-링크 또는 스카라 아암(2300A)을 갖는 바와 같이 기재될 것이나, 본 발명의 이러한 측면은 위에 설명된 바와 같은 그리고 리프 프로그 아암 구성, 이중-대칭 아암 구성, 및 관절형 리스트 구성을 포함하지만 그에 한정되지 않는 임의의 적합한 이송 아암에 동일하게 적용가능하다. 일반적으로, 이송 장치(2300)는 상부 아암(23201), 포어아암(23202), (그 위에 기판 홀딩 스테이션을 갖는) 기판 홀더 또는 엔드 이펙터(23203), 및 구동 섹션(23204)을 갖는 (일반적으로 아암(2300A)으로서 언급되는) 스카라 아암(2300A)을 포함한다. 제어기(11091)는 소망되는 바와 같이 스카라 아암(2300A)의 아암 섹션을 이동하도록 이송 장치(2300)에 연결될 수 있다. 다른 측면에서, 아암 조립체는 임의의 다른 소망되는 일반적인 스카라 구성을 가질 수 있다. 예를 들어, 조립체는 다중 포어아암 및/또는 다중 기판 홀더를 가질 수 있다.
기판 홀더(23203)는 이송 장치(2300)의 리스트(23755)에서 샤프트 조립체(23754)에 의해 포어아암(23202)에 회전가능하게 연결된다. 기판 홀더(23203)는 지지 샤프트(23698)에 의해 포어아암(23202)에 회전가능하게 연결될 수 있다. 일 측면에서, 기판 홀더(23203)는 포크형 엔드 이펙터일 수 있다. 기판 홀더(23203)는 능동 기계적 또는 수동 에지 그리핑을 가질 수 있다. 일 측면에서, 기판 홀더(23202)는 진공 척을 갖는 패들 엔드 이펙터일 수 있다. 포어아암(23202)은 이송 장치(2300)의 엘보우(23646)에서 상부 아암(23201)에 동축 샤프트 조립체(23675)에 의해 회전가능하게 연결된다. 기판 홀더(23203)는 미리결정된 중심을 갖고, 여기서 엔드 이펙터는 기판을 홀딩하도록 구성되어서, 기판의 중심은 여기에 기재된 이들과 같은 기판 처리 기구 내에 기판을 이송하기 위한 엔드 이펙터의 미리결정된 중심과 일치한다. 상부 아암(23201)은 숄더(23652)에서 구동 섹션(23204)에 회전가능하게 연결된다. 이러한 측면에서, 상부 아암(23201) 및 포어아암(23202)은 동일한 길이를 가지지만, 다른 측면에서, 상부 아암(23201)은 예를 들어, 포어아암(23202)보다 길이가 더 짧을 수 있거나 그 역도 성립한다.
도시된 측면에서, 구동 섹션(23204)은 동축 샤프트 조립체(23660), 및 세 개의 모터(23662, 23664, 23666), 또는 각각이 예를 들어, 각각의 고정자(23678a-23678c) (및 고정자에 결합된 각각의 구동 샤프트(23668a-23668c))의 회전 위치를 결정하기 위한 각각의 인코더(570,571,572)를 갖는 구동 축을 하우징하는 외부 하우징(23634H)을 가질 수 있다. 다른 측면에서, 구동 섹션은 세 개보다 더 많거나 더 적은 모터를 가질 수 있다. 구동 샤프트 조립체(23660)는 세 개의 구동 샤프트(23668a, 23668b, 23668c)를 가진다. 다른 측면에서, 세 개보다 더 많거나 더 적은 구동 샤프트가 제공될 수 있다. 제 1 모터(23662)는 고정자(23678a) 및 내부 샤프트(23668a)에 연결된 회전자(23680a)를 포함한다. 제 2 모터(23662)는 고정자(23678b) 및 중간 샤프트(23668b)에 연결된 회전자(23680b)를 포함한다. 제 3 모터(23666)는 고정자(23678c) 및 외부 샤프트(23668c)에 연결된 회전자(23680c)를 포함한다. 세 개의 고정자(23678a, 23678b, 23678c)는 하우징을 따라 다른 수직 높이 또는 위치에서 하우징(23634H)에 고정되게 부착된다. 이러한 측면에서, 제 1 고정자(23678a)는 바닥 고정자이고, 제 2 고정자(23678b)는 중간 고정자이며, 제 3 고정자(23678c)는 최상부 고정자이다. 각각의 고정자는 일반적으로 전자기 코일을 포함한다. 세 개의 샤프트(23668a, 23668b, 23668c)는 동축 샤프트로서 배열된다. 세 개의 회전자(23680a, 23680b, 23680c)는 바람직하게 영구 자석으로 구성되지만, 대안적으로 영구 자석을 갖지 않는 자기 유도 회전자를 포함할 수 있다. 슬리브(23663)는 이송 장치(2300)를 진공 환경에서 이용가능하게 하도록 회전자(23680)와 고정자(23678) 사이에 위치되고, 여기서 구동 샤프트 조립체(23660)는 진공 환경 내에 위치되고, 고정자(23678)는 진공 환경의 외부에 위치된다. 그러나, 이송 장치(2300)가 대기 환기에서의 사용을 위해서만 의도된다면, 슬리브(23663)는 제공될 필요가 없다.
제 1 샤프트(23668a)는 내부 샤프트이고, 바닥 고정자(23678a)로부터 연장한다. 내부 샤프트는 바닥 고정자(23678a)와 정렬된 제 1 회전자(23680a)를 가진다. 중간 샤프트(23668b)는 중간 고정자(23678b)로부터 위로 연장한다. 중간 샤프트는 제 2 고정자(23678b)와 정렬된 제 2 회전자(23680b)를 가진다. 외부 샤프트(23668c)는 최상부 고정자(23678c)로부터 위로 연장한다. 외부 샤프트는 상부 고정자(23678c)와 정렬된 제 3 회전자(23680c)를 가진다. 각각의 샤프트를 서로 그리고 하우징(23634H)에 관련해 독립적으로 회전가능하게 하도록 다양한 베어링이 샤프트(23668) 및 하우징(23634H) 주위에 제공된다. 각각의 샤프트(23668)에는 서로에 관련해 그리고/또는 하우징(23634H)에 관련해 샤프트(23668)의 회전 위치의 제어기(11091)에 신호를 보내도록 (예를 들어, 각각의 인코더(570-572)와 같은) 적합한 위치 센서가 제공될 수 있다. 광학 센서 또는 유도 센서와 같은 임의의 적합한 센서가 사용될 수 있다.
외부 샤프트(23668c)는 상부 아암(23201)에 고정되게 연결되어서, 샤프트(23668c) 및 상부 아암(23201)은 축(Z1) 주위의 유닛으로서 함께 회전한다. 도 23에 도시된 바와 같이, 중간 샤프트(23668b)는 상부 아암(23201)에서 제 1 전송(23620)에 연결되고, 내부 샤프트(23668a)는 상부 아암(23201)에서 제 2 전송(23610)에 연결된다. 제 1 전송(23620)은 바람직하게 구동 풀리(23622), 아이들 풀리(23624) 및 구동 케이블 또는 벨트(23626)를 포함한다. 구동 풀리(23622)는 중간 샤프트(23668b)의 최상부에 고정되게 장착되고 구동 벨트(23626)에 의해 아이들 풀리(23624)에 연결된다. 아이들 풀리(23624)는 포어아암(23202)을 상부 아암(23201)에 연결하는 동축 샤프트 조립체(23675)의 내부 샤프트(23672)의 바닥에 고정되게 장착된다. 상부 아암(23201)에서 제 2 전송(23610)은 바람직하게 구동 풀리(23612), 아이들 풀리(23614) 및 구동 벨트 또는 케이블(23616)을 포함한다. 구동 풀리(23612)는 구동 섹션(23204)에서 동축 샤프트 조립체(23660)의 내부 샤프트(23668a)의 최상부에 고정되게 장착된다. 아이들 풀리(23614)는 포어아암(23202)을 상부 아암(23201)에 연결하는 동축 샤프트 조립체의 외부 샤프트(23674)의 바닥에 고정되게 장착된다. 구동 벨트(23616)는 구동 풀리(23612)를 아이들 풀리(23614)에 연결한다. 제 1 전송(23626)의 아이들 및 구동 풀리(23624, 23622) 사이의 그리고 제 2 전송(23610)의 아이들 및 구동 풀리(23614, 23612) 사이의 직경비(예를 들어, 풀리 비)는 여기 기재된 이들과 같은 임의의 적합한 구동비일 수 있다. 구동 벨트(23616, 23626)는 상응하는 구동 풀리(23612, 23622)와 동일한 방향으로 각각의 아이들 풀리(23614, 23624)를 회전시키도록 구성된다(예를 들어, 구동 풀리(23612, 23622)의 시계방향 회전은 아이들 풀리(23614, 23624)의 시계방향 회전을 일으킨다).
포어아암(23202)을 상부 아암(23201)에 연결하는 동축 샤프트 조립체(23675)는 샤프트 조립체의 외부 및 내부 샤프트(23674, 23672)를 서로 관련해 그리고 상부 아암(23201)에 관련해 축(Z2) 주위로 회전하게 하는 적합한 베어링에 의해 상부 아암(23201)으로부터 회전가능하게 지지된다. 동축 샤프트 조립체(23675)의 외부 샤프트(23674)는 포어아암(23202)에 고정되게 장착되어서, 샤프트(23674) 및 포어아암(23202)은 Z2 주위의 유닛으로서 함께 회전한다. 상부 아암(23201)에서 제 2 전송(23610)의 아이들 풀리(23614)가 구동 섹션(23204)의 내부 샤프트(23668a)에 의해 회전될 때, 포어아암(23202)은 축(Z2) 주위로 회전된다. 따라서, 구동 섹션(23204)의 내부 샤프트(23668a)는 상부 아암(23201)에 관련해 포어아암(23202)을 독립적으로 회전시키도록 사용된다.
동축 샤프트 조립체의 내부 샤프트(23672)는 포어아암(23202)에서 제 3 전송(23752)의 구동 풀리(23753)에 고정되게 부착된다. 상부 아암(23202)에서 제 3 전송(23752)은 바람직하게 구동 풀리(23753), 아이들 풀리(23750) 및 구동 벨트 또는 케이블(23751)을 포함한다. 아이들 풀리(23750)는 샤프트(23698)에 고정되게 장착된다. 구동 벨트(23751)는 구동 풀리(23753)를 아이들 풀리(23750)에 연결한다. 샤프트(23698)는 샤프트(23698)를 포어아암(23202)에 관련해 축(Z3) 주위로 회전하게 하는 적합한 베어링에 의해 포어아암(23202)으로부터 회전가능하게 지지된다, 이러한 측면에서 제 3 전송(23752)의 아이들 및 구동 풀리(23750, 23753) 사이의 직경비는 여기 기재된 이들과 같은 임의의 적합한 구동비이다. 구동 벨트(23751)는 구동 풀리(23753)와 동일한 방향으로 아이들 풀리(23750)를 회전시키도록 구성된다(예를 들어, 구동 풀리(23753)의 시계방향 회전은 아이들 풀리(23750)의 시계방향 회전을 일으킨다).
샤프트(23698)는 기판 홀더(23203)에 고정되게 장착된다. 따라서, 샤프트(23698) 및 기판 홀더(23203)는 축(Z3) 주위로 유닛으로서 함께 회전한다. 제 3 전송(23752)의 아이들 풀리(23750)가 구동 풀리(23753)에 의해 회전될 때, 기판 홀더(23203)는 축(Z3) 주위로 회전된다. 결국, 구동 풀리(23753)는 동축 샤프트 조립체(23675)의 내부 샤프트(23672)에 의해 회전된다. 상부 아암(23201)에서 제 1 전송(23626)의 아이들 풀리(23624)가 구동 섹션(23204)의 중간 샤프트(23268b)에 의해 회전될 때, 내부 샤프트(23672)는 회전된다. 따라서, 기판 홀더(23203)는 축(Z3) 주위로 포어아암(23202) 및 상부 아암(23201)에 대해서 독립적으로 회전될 수 있다.
도 4를 참조하면, 일 측면에서, 이송 장치(2300)는 실질적으로 아암(2300A)과 유사한 두 개의 스카라 아암(25155A, 25155B)을 포함할 수 있다. 예를 들어, 각각의 스카라 아암(25155A, 25155B)은 상부 아암 링크(25155UA, 25155UB), 포어아암 링크(25155FA, 25155FB), 및 엔드 이펙터(25155EA, 25155EB)를 포함한다. 이러한 측면에서, 엔드 이펙터(25155EA, 25155Eb)는 상부 아암에 슬레이브되나, 다른 측면에서, 엔드 이펙터는 독립적으로 구동될 수 있다. 아암(25155A, 25155B)은 세 개의 링크 스카라 아암으로서 도시되고, 구동 섹션(23204)에 동축으로 결합될 수 있고, (예를 들어, 네 개의 축 드라이브를 사용하여-구동 샤프트(23668d) 참조) 독립적인 세타 모션 또는 (예를 들어, 세 개의 축 드라이브를 사용하여) 결합된 세타 모션을 허용하도록 서로의 최상부 상에 수직으로 적층될 수 있고, 여기서 결합된 세타 모션은 실질적으로 연장 또는 후퇴 없이 숄더 축(Z1) 주위의 유닛으로서 로봇 아암의 회전이다. 각각의 아암(25155A, 25155B)은 한 쌍의 모터에 의해 구동되고 임의의 적합한 구동 풀리 배열을 가질 수 있다. 일 측면에서, 각각의 아암에 대한 숄더 풀리, 엘보우 풀리 및 리스트 풀리 사이의 직경비는 비제한적인 예시의 목적을 위해, 1:1:2 비 또는 2:1:2 비일 수 있다. 예를 들어, 1:1:2 비를 사용하여 각각의 아암을 연장하기 위해, 한 쌍의 모터에서의 각각의 모터는 실질적으로 동일하고 반대인 방향으로 회전된다. 예를 들어, 2:1:2 비를 사용하여 각각의 아암을 연장하기 위해, 숄더 풀리는 실질적으로 고정되게 홀딩되고(예를 들어, 실질적으로 회전하기 않는다), 상부 아암에 결합된 모터는 아암을 연장하도록 회전된다. 세타 모션은 실질적으로 동일한 속도로 동일한 방향에서 모터를 회전시킴으로써 제어된다. 엔드 이펙터가 동일한 평면 상에 있는 곳에서, 서로에 관련한 아암의 각각의 세타 모션은 제한되지만, 아암이 함께 이동된다면, 아암은 세타에서 무한히 이동할 수 있다. 구현될 수 있는 바와 같이, 엔드 이펙터가 동일한 평면 상에 없는 곳에서, 네 개의 축 구동부를 사용할 때와 같이 각각의 아암이 다른 아암에 독립적으로 구동될 때, 각각의 아암은 세타에서 무한히 이동할 수 있다.
도 5를 참조하여, (여기 기재된 기판 처리 기구의 임의의 적합한 이송 챔버의 것과 같은) 진공 챔버(599)의 진공 챔버 벽(500)에 결합된 구동 섹션(23204)이 도시된다. 진공 챔버가 기재되는 한편, 챔버(599)는 그 안에 임의의 적합한 처리 환경을 가질 수 있다는 것이 언급된다. 여기서, 구동 섹션(23204)은 진공 챔버(599)의 외부를 둘러싼 대기 환경으로부터 진공 챔버(599) 내의 진공 환경을 실질적으로 격리시키는 (또는 밀봉하는) 격리 장벽을 형성하도록, 진공 챔버 벽(500)과 밀봉되게 결합하는 장착 인터페이스(510)를 포함한다. 예를 들어, 장착 인터페이스(510)는 구동 섹션(23204)을 진공 챔버(599)에 장착하고, 페리미터(510P)의 내부 상의 진공 챔버(599)의 외부로부터 페리미터의 외부 상의 진공 챔버(599)의 내부를 분리하는 페리미터를 형성한다. 로봇 아암(2300A)의 연장 및 후퇴가 페리미터(510P) 내부에 위치된 숄더 축(Z1)에 대한 것임이 언급된다. 여기서, 구동 섹션(23204)의 적어도 일부는 대기 환경에 배치된다. 장착 인터페이스(510)는, 장착 인터페이스(510)의 부분(511)이 진공 환경에 노출되도록 그리고 장착 인터페이스(510)의 또 다른 부분(512)이 대기 환경에 배치되도록 구성된다.
도 6을 참조하면, 진공 챔버(599) 내부에 장착된 아암(2300A)을 갖는 이송 장치(2300)가 도시된다. 위에 기재된 바와 같이, 아암(2300A)은 그 위에 기판을 지지하도록 구성된 아암(2300A)의 원위부에 엔드 이펙터(23203)를 포함한다. 아암(2300A)은 (위에 기재된 바와 같은) 적어도 하나의 독립 구동 축으로, 후퇴 위치(예를 들어, 도 7에 도시된 바와 같은 보트 탑 센터 포즈)로부터 연장된 위치로, 반경 방향(R)에서, 아암(2300A)을 연장하고 후퇴시키고, 엔드 이펙터(23203)를 이동시키는, 반경 방향(R)(예를 들어, 도 7 참조)에서 적어도 아암 모션을 발생시키는 구동 섹션(23204)에 작동가능하게 연결된다. 이송 장치(2300)는 진공 챔버(599)에 대해 미리결정된 위치에서 장착 인터페이스(510)를 통해 장착되고, 아암(2300A)의 적어도 일부를 이미지화하도록 배치된, 적어도 하나의 이미징 센서(601)를 갖는 이미징 시스템(600)을 포함한다. 각각의 이미징 센서(601)는 연장된 로봇(2300A) 아암을 갖는 로봇 아암(2300A) 엔드 이펙터(23203)의 원위 위치에 대해 숄더 축에 근접해 위치된다. 일 측면에서, 이미징 센서(601)는 그를 통해 이미징 센서(601) 시야가 진공 챔버(599) 내부로 연장하는 윈도우(605)를 포함하는 센서 하우징(601)에 배치되거나 그렇지 않으면 그에 결합된다. 윈도우(605)는 유리 또는 다른 적합한 투명한 소재로 구성될 수 있고 제어기(11091)에 의해 수용되어야만 하는 비젼 기반 정보의 유형에 따라 선택될 수 있다(예를 들어, 윈도우는 이미징 센서에 의해 검출된 파장의 전송 및 진공과 대기 환경 사이의 이동을 허용하는 광학 특성을 제공한다). 일 측면에서, 진공 챔버(599) 내부의 다양한/다른 부분을 조망하거나 그렇지 않으면, 적어도 부분적으로, 이미징 센서(601)의 시야 및 이미징 특성을 규정하기 위해, 윈도우(605) 투명체는 이미징 센서(601) 시야에 (예를 들어, 진공 챔버(599) 내부 및/또는 이송 로봇의 특정 부분 상에) 하나 이상의 초점을 맞추고, 이미징 센서(601) 시야를 확대하고, 이미징 센서(601)의 시야의 방향을 바꾸도록 구성된 렌즈를 형성할 수 있다(즉, 내부 렌징 형상을 가진다). 다른 측면에서, 윈도우(605)는 위에 설명된 바와 유사한 방식으로, 렌즈를 수용하도록 구성될 수 있고(즉, 렌즈가 윈도우에 결합될 수 있다), 여기서 윈도우(605)에 결합된 렌즈는 이미징 센서(601) 시야에 하나 이상의 초점을 맞추고, 이미징 센서(601) 시야를 확대하고, 이미징 센서(601)의 시야의 방향을 바꾸도록 구성될 수 있다(예를 들어, 렌즈는 윈도우(605)에 관련해 고정될 수 있거나 가변적인/조절가능한 뷰잉 특성을 가질 수 있는 광각 렌즈일 수 있고, 여기서 렌즈 조절 시스템은 진공 챔버에 대해 윈도우(605) 외부 상에 배치될 수 있다). 윈도우(605)는 진공 챔버(599) 내의 진공 환경과 진공 챔버(599)의 외부의 대기 환경 사이의 차압을 가로지는 경계를 형성하도록 구성된다. 윈도우(605)는 장착 인터페이스(510)의 애퍼처(606)와 정렬될 수 있고, 센서 하우징(610) 및/또는 윈도우(605)는 대기 환경에 노출된 장착 인터페이스(510)의 부분(512) 상의 장착 인터페이스(510)에 대해 밀봉된다. 일 측면에서, 진공 챔버(599)에 비제한된 이미징 센서(601) 시야를 제공하도록 윈도우가 이미징 센서(601) 애퍼처보다 더 클 수 있는 바와 같이, 윈도우(605) (및 애퍼처(606))의 크기는 이미징 센서(601)의 애퍼처 크기에 의해 제한되지 않는다. 다른 측면에서, 윈도우(605) 및 윈도우(605)의 투명체는 장착 인터페이스(510)에 대해 오버사이즈되고, 이미징 센서(601)는 애퍼처를 가져서, 윈도우(605)는 진공 챔버(599) 내에 (예를 들어, 넓은 시야 또는 임의의 적절한 크기를 갖는 시야로서) 이미징 센서 시야를 설정한다. 여전히 다른 측면에서, 윈도우(605)가 예를 들어, 아세탈 호모폴리머 수지와 같은 임의의 적합한 소재로 구성된 윈도우 클램프 링(615) 또는 다른 적합한 패스너에 의해 장착 인터페이스(510)의 장착부(512)에 대해 유지되는 바와 같이 센서 하우징(610)은 생략될 수 있다. 이미징 센서(601)는 CCD 또는 CMOS 센서, 적외선 센서, 및 /또는 장착 인터페이스(510)에 장착되거나 그렇지 않으면 임의의 적합한 방식으로 위치되는 적외선 카메라와 같은 임의의 적합한 이미징 센서일 수 있고, 그래서 이미징 센서(601) 시야는 윈도우(605) 및 애퍼처(606)를 통해 진공 챔버(599)의 내부로 연장한다.
제어기(11091)는 (예를 들어, 적합한 유선 및/또는 무선 연결을 통해) 이미징 시스템(600)에 통신가능하게 연결되고, 이미징 센서(601)로, 적어도 하나의 독립적인 구동 축에 의해 규정된 미리결정된 반복가능한 위치/포즈(예를 들어, 보트 탑 센터 포즈 또는 다른 미리결정된 포즈)로 또는 그 안에서 이동하는 아암(2300A)의 적어도 일부(또는 여기에 기재된 바와 같은 아암(2300A) 상에 제공된 하나 이상의 표시의 세트 중 적어도 일부)를 이미지화하거나, 다른 측면에서, 이미징 센서(601)로, 미리결정된 위치로 또는 그 안에서 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 로봇 아암(2300A)의 적어도 일부 (또는 여기에 기재된 바와 같은 아암 상의 하나 이상의 표시의 세트 중 적어도 일부)를 이미지화하도록 구성된다. 제어기는 로봇 아암(2300A)의 적어도 일부, 또는 멀티-링크 로봇 아암(2300A) 상의 (여기 기재된 바와 같은) 하나 이상의 표시(701-702)의 세트 중 적어도 일부의 보정 이미지와 제 1 또는 뒤이은 이미지의 비교로부터, 로봇 아암(2300A)의 적어도 일부, 또는 멀티-링크 로봇 아암(2300A)의 엔드 이펙터(23203)의 기판 홀딩 스테이션의 위치적 분산을 계산하고, 그 위치적 분산으로부터, 로봇 아암(2300A)의 연장된 위치를 변경하는 모션 보상 계수를 결정하도록 구성되고, 여기서 제 1 또는 뒤이은 이미지의 캡처를 초래하는 각각의 이미징 센서(601-603)는 장착 인터페이스(510)의 페리미터 내부에 배치된다. 제 1 또는 뒤이은 이미지에 갭처된 하나 이상의 표시(701-702)의 세트 중 적어도 일부는 엔드 이펙터(23203)의 기판 홀딩 스테이션의 위치 분산에 결정적이다. 제 1 또는 뒤이은 이미지와, 로봇 아암(2300A)의 적어도 일부의 보정 이미지의 비교로부터 제어기에 의해 계산된 위치 분산은 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 로봇 아암(2300A)의 연장된 위치를 변경한다(적어도 여기 기재된 도 10a 및 도 10b 참조). 제 1 또는 뒤이은 이미지에 캡처된 로봇 아암(2300A)의 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터(23203)를 포함하고, 여기서 기판을 갖는 엔드 이펙터(23203)는 제 1 또는 뒤이은 이미지에 이미지화되고, 제어기(11091)는 그 개시가 전체로서 참조에 의해 여기에 병합된, 2019년 1월 25일에 출원된 “자동 웨이퍼 센터링 방법 및 기구”로 명명된 미국 특허 출원 제16/257,595호에 기재된 바와 유사한 방식으로 엔드 이펙터의 미리결정된 기판 홀드 위치에 대한 기판 편심률을 결정한다.
제어기는 미리결정된 반복가능한 위치에 근접한 또는 그 안에, 또는 미리결정된 위치에 근접한 또는 그 안에 아암(2300A)의 레지스트리 상의 아암(2300A)의 적어도 일부의 제 1 이미지의 캡처를 초래한다. 적어도 하나의 구동 축의 인코더(570-572)(도 5도 참조)가 홈 또는 제로 위치(예를 들어, 홈 또는 제로 위치는 그로부터 적어도 하나의 구동 축의 회전 (및 아암 연장)의 정도가 측정되는 위치이다)에 있는 바와 같이, 아암(2300A)의 레지스트리는 미리결정된 배향에서 적어도 하나의 구동 축을 갖는 적어도 하나의 독립적인 구동 축에 대한 아암(2300A)의 설치에서 발생할 수 있다. 위에 기재된 바와 같이, 일 측면에서, 적어도 하나의 구동 축의 이러한 홈 또는 제로 위치는 보트 탑 센터 포즈에 상응한다. 도 7을 간략히 참조하여, 여기에 더 기재될 바와 같이, 로봇 아암(2300A)의 적어도 하나의 링크는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하는 특징을 갖고, 제어기(11091)는 이미징 시스템으로 캡처된 특징의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록한다. 일 측면에서, 아암 위치의 선형 및 회전 특성 모두를 설명하거나 그렇지 않으면 특징으로 하는 특징, 또는 반경(연장/후퇴) 방향에 대한 멀티-링크 로봇 아암(2300A)의 적어도 하나의 링크는 아암(2300A) 상의 열 효과 및 다른 효과를 결정하도록 이미징 센서(601)에 의해 이미지화되는 아암(2300A) 상의 하나 이상의 목표물 또는 표시(701-702)의 세트를 포함한다. (미리결정된 레지스트리/보정 온도에서) 아암(2300A)의 레지스트리 상에, 이미징 센서(601)는 아암(2300A)을 보정하고, 아암(2300A)의 (예를 들어, 무엇이 보정 이미지로서 언급될 수 있는지에서) 베이스라인 측정을 결정하도록 아암(2300A) 및 목표물(701-702)을 이미지화한다.
아암(2300A)이 제거되고 다른 아암으로 교체되면, 홈 또는 제로 위치에서 적어도 하나의 구동 축을 갖는 다른 아암의 목표물을 이미화하고 보정 이미지와 다른 아암의 이미지를 비교함으로써 다른 아암의 보정이 단순화될 수 있다는 것이 언급된다. 그러한 바와 같이, 이미징 센서(601)는 구동 섹션(23204)/아암(2300A)에 대해 미리 보정될 수 있고, 실질적으로 유닛 모듈로서 구동 섹션(23204)과 함께 설치될 수 있다. 본 발명의 측면이 예를 들어, 열 효과로 인한 이송 아암 상의 효과를 해소하는 것에 대해 여기에 기재되는 한편, 본 발명의 다른 측면은 또한 진공 챔버(599)의 공정 환경에 침입하지 않고 여기에 기재된 방식으로 장착 인터페이스/플랜지(510)를 통해 장착된, 이미징 센서(601) 대신에 또는 그와 조합해서, 위에 언급된 바와 같이, 비접촉 열 센서(예를 들어, 적외선 센서, 적외선 카메라 등)를 포함함으로써 그와 같이, 아암 링크의 온도를 모니터링하도록 채용될 수 있다.
도 7을 참조하여, 예시적인 이미징 센서(601)(또는 다른 적합한 센서) 위치가 도시된다. 도 7에서, 위에 기재된 방식으로 장착 인터페이스(510)에 결합된 세 개의 이미징 센서(601-603)가 있다. 도시된 예시적인 위치에서, 세 개의 이미징 센서(601-603)가 임의의 적합한 목표물로 식별되는 아암(2300A) 상의 지점/위치를 측정하도록 채용될 수 있다. 예를 들어, 도 8a 및 도 8b를 역시 참조하여, 목표물(700-702)은 상부 아암(22301), 포어아암(23202), 엔드 이펙터(23203)를 따르는 바와 같이, 아암(2300A)을 따라 임의의 적합한 위치에 위치될 수 있다. 예를 들어, 목표물(700)은 상부 아암(23201) 상에 위치될 수 있다. 목표물(701)은 포어아암(23202)의 리스트 베어링 위치에 (예를 들어, 엔드 이펙터(23203)를 포어아암(23202)에 결합시키는 리스트의 회전 축에) 배치될 수 있다. 목표물(702)은 엔드 이펙터(23203)의 리스트 플레이트(23203P) 상에 배치될 수 있다. 목표물(700-702)은 아암 상에 배치되고, 이미징 센서(601-603) 중 하나 이상에 의해 이미지화될 때, (예를 들어, 기판 이송 평면 또는 아암 링크가 작동하는 평면과 같은) 공간에서 미리규정된 평면을 따라 각각의 아암 링크의 각각의 위치 및 배향을 제공하도록 구성된다. 목표물(700-702)은 기계가공/에칭/인그레이빙 공정을 통해 아암(2300A)의 각각의 링크에 임베디드되거나, 임의의 적절한 방식으로 아암(2300A)에 결합될 수 있다.
도 8b에 보여질 수 있는 바와 같이, 목표물(700-702)은 교차 구성(700A), 원형 구성(700B), 및 이중 십자형 구성(700C)과 같은 임의의 적합한 구성을 가질 수 있다. 각각의 아암 링크가 팽창하고 수축함에 따라, 예를 들어, 베이스라인 측정과 비교할 때, 목표물(700-702)의 형상에서 식별가능한 변화(예를 들어, 연신 또는 단축)가 이미징 시스템(600)에 의해 검출될 수 있는 바와 같이, 목표물(700-702)은 구성될 수 있다. 예를 들어, 역시 도 16을 참조하여, 아암(2300A)은 예를 들어, (완전히) 후퇴된 보트 탑 센터 포즈 (또는 미리결정된 위치-도 7, 도 8a, 및 도 8c 참조)에서, 미리결정된 반복가능한 위치로 이동한다(도 16, 블록 (1601)). 미리결정된 반복가능한 위치에서, 이미징 센서(601)는 그를 이미지화하는 한편, 제어기(11091)는 아암(2300A) 상의 목표물(700-704)의 적어도 뒤이은 이미지를 캡처한다(도 16, 블록(1602, 1603)). 뒤이은 이미지는 보정 이미지와 비교된다(도 16, 블록(1604)). 위치 분산은 두 개의 이미지의 비교에 기반해서 결정된다(도 16, 블록(1605)).
다른 측면에서, 각각의 이미징 센서(601-603)의 시야에서 목표물(700-704)의 위치는 베이스라인 측정과 비교하여 변할 수 있다. 제어기(11091)는 목표물(700-702)의 형상 또는 위치에서의 이러한 변화를 식별하거나/검출할 수 있고, 기판을 집고 위치시키기 위한 아암(2300A)의 제어된 움직임을 수정하도록 아암 링크의 열 팽창/수축을 결정할 수 있다. 하나 이상의 목표물 위치의 측정은 동시에 또는 다른 시간에 취해질 수 있다. 예를 들어, 도 8a 및 도 8c를 참조하여, 아암 키네마틱으로 인해, 모든 목표물 측정을 동시에 얻어지는 것이 가능하지 않을 수 있다. 그러나 측정은 아암(2300A)의 메커니즘 및/또는 모션 제한을 수용하도록 다른 아암 위치에서 취해질 수 있다 (도 8a 및 도 8c 비교, 여기서 아암(2300A)은 리스트 베어링 위치에 위치된 목표물(701)을 측정하도록 연장된다). 이들 측정이 전체 엔드-이펙터(23203) 위치의 열 팽창 보상을 위해 사용되는 어플리케이션에 대해, 다른 위치로부터의 측정은 다른 시간에 취해질 수 있고, 여기서 아암(2300A) 상의 다른 위치로부터의 측정 사이의 시간 간격은 아암/웨이퍼 열 시스템의 시간 상수의 프랙션으로서 제한된다.
공간에서 (예를 들어, 아암 처리 환경 내에서) 정확한 아암 위치를 추적하기 위해, 이미징 시스템(600)은 기준 또는 베이스라인 측정 값과 관련해 아암(2300A)에서의 변화를 추적하게 하도록 정보를 획득하기 위해 제어기(11091)에 의해 채용될 수 있다. 기준 또는 베이스라인은 (예를 들어, 인코더(570-572)와 같은 적합한 위치 피드백 장치에 의해 보고된) 알려진 위치 및 (예를 들어, 주변 온도와 같은) 조건에서의 측정(예를 들어, 이미지 및/또는 온도)으로서 정의될 수 있다. 예를 들어, 도 5를 참조하여, 인코더(570-572)는 제어기(11091)에 각각의 아암 구동 샤프트(23668a-23668c)의 절대 위치를 제공하는 회전식 (또는 다른 적합한) 인코더일 수 있다. 예시적인 목적만을 위해, 실온에서, 아암은 도 7에 지시된 바와 같은 위치에 있을 수 있다. 도 7에 도시된 아암(2300A) 위치 및 측정된 주변 온도 조건에서, 구동 샤프트 인코더 위치는 지시된 바와 같이 상부 아암(23201) 및 엔드-이펙터(23203)와 중첩하는 이미징 센서(600-602)에 의해 기록된 목표물 이미지와 고유하게 상관된다. 이들 이미지는 “기준 또는 베이스라인 측정 값”으로서 제어기(11091)에 의해 채용된다. 아암(2300A)이 시간에 걸쳐 사용되고 고온 웨이퍼 핸들링 동작을 수행함에 따라, 아암(2300A) 및 엔드-이펙터(23203) 온도는 상승할 것이고, 결과적으로 링크 길이는 기준 또는 베이스라인 조건에 관련해 변할 것이다. 제어기(11091)는, 구동 샤프트(23668a-23668c)가 미리규정된 기준 위치에 도달할 때, 목표물(700-702)의 이미지를 캡처하도록 이미징 센서(601-603)를 활성화하도록 구성된다(예를 들어, 프로그램된다). 아암(2300A) 열 팽창으로 인해, 이미지 내의 목표물(700-702)의 위치 및/또는 목표물(700-702)의 형상이 위치 및/또는 배향에서 변할 것이다.
또한 도 9를 참조하여, 제어기(11091)는 각기 벡터( DP ) 및 회전( Rz )에 의해 지시된 바와 같은 기준/베이스라인 이미지(902)와 관련해 “새로운” 또는 뒤이은 이미지(901)에서 목표물(700-702)의 변환, 변형, 및/또는 회전의 양을 계산하도록 구성된다. 변환, 변형, 및/또는 회전의 양의 계산은 각각의 이미징 센서에 의해 측정될 수 있는 각각의 목표물(700-702)에 대해 수행될 수 있다. 본 발명의 측면을 따라서, 아암(2300A)에/그 위에 위치된 각각의 목표물 “ i ”에 의해 보고된 각각의 측정된 변동성의 양( DPi , Rzi )은 기판 이송 장치(2300)의 (아암(2300A)의 열 효과를 고려하지 않는 키네마틱 모델과 비교될 때) 더 정밀한 키네마틱 모델을 계산하도록 (제어기(11091)의 키네마틱 리졸버(11091K)(도 1a 참조)에 의해 그러한 바와 같이) 제어기(11091)에 의해 채용될 수 있다. 역시 도 10a 및 도 10b 및 도 11을 참조하여, 열 효과에 기반한 키네마틱 모델의 예시적인 수정이 도시된다. 이러한 예에서, 2-링크 아암이 도시되지만, 다른 측면에서, 로봇 아암은 두 개보다 더 많거나 더 적은 링크를 가질 수 있다. 여기서, (예를 들어, 상부 아암(23201) 및 포어아암(23202)과 같은) 각각의 아암 링크는 기준 주변 온도에 관련해 열 팽창에 영향을 받는다. 도 10a는 열 팽창 없이(예를 들어, 베이스라인 키네마틱 모델) 제어기(11091)에 의해 채용된 키네마틱 모델(예를 들어, 아암 길이(L1, L2), 아암 각도(θ1, θ2) 등)를 도시한다. 도 10b는 열 팽창 하의 키네마틱 모델을 도시한다 (예를 들어, 여기서 dL1 및 dL2은 베이스라인 치수에 걸쳐 각각의 아암 링크의 길이에서의 변경을 나타내고, DP1, DP2는 상부 아암(23201) 및 포어아암(23202)의 목표물(700, 704)의 위치에서의 변경을 나타낸다). 이미징 시스템(600)으로부터의 정보에 기반해 제어기(11091)로 결정된 바와 같은 목표물(DP1, DP2)의 위치에서의 변경은, 키네마틱 모델이 공간에서 아암 위치를 더 잘 결정하도록 보정될 수 있는 바와 같이 링크 열 팽창(dL1, dL2)을 추정하도록 채용된다. 예를 들어, 도 11을 참조하여, 제어기(11091)는 dL1 및 dL2을 결정하기 위해 입력으로서, 예를 들어, L1, L2, θ1, θ2, DP1, 및 DP2를 사용하고, 아암(2300A) 상의 열 효과를 보상하도록 보정된 키네마틱 모델(1101)을 발생시키는 보상 알고리즘(1100)으로 구현된다. 제어기(11091)는 (여기에 기재된 이들과 같은) 기판 처리 시스템의 기판 홀딩 위치에서 기판을 집고 위치시키도록 아암(2300A) 움직임을 발생시키기 위해 모션 제어 알고리즘(1102)에서 보정된 키네마틱 모델(1101)을 채용한다.
도 12를 참조하여, 열 팽창으로 인한 아암(2300A)에서의 변경의 예시적인 결정이 더 구체적으로 설명될 것이다. 위에 기재된 바와 같이, 목표물(700-704)은 각기 예를 들어, 이미징 시스템(600)에 의한 적어도 하나의 목표물(700-704)의 감지에 대해, 스카라 아암 링크(23201, 23202, 23203) 길이에서의 이산적 분산(ΔL), 및 각각이 각각의 스카라 아암 링크(23201, 23202, 23203)의 온도에서의 변화로 인한 풀리 효과(ΔVi)에 결정적인 구성을 가진다. 예를 들어, 적어도 하나의 목표물(700-704)은 스카라 아암(2300A) 상에 배치되어서, 이미징 시스템(600)은 미리결정된 위치에서(예를 들어, 일 측면에서, 스카라 아암(2300)의 반경 모션으로 온 더 플라이 방식으로) 목표물을 검출한다. 여기서 목표물(700-704)은 각각의 스카라 아암 링크(23201, 23202, 23203)에서 온도에서의 다른 변경(ΔTi)으로 인해 각각의 다른 스카라 아암 링크(23201, 23202, 23203)의 다른 이산적 분산(예를 들어, ΔLi)의 각각 사이에 판별을 결정하고, 따라서 스카라 아암의 분산에 대해 각각의 풀리 분산(ΔVi) 및 상응하는 비선형 효과(기여)를 결정하도록 각각의 다른 이산적 분산을 차별적으로 적용한다. 이산적 분산은 미리결정된 데이텀 참조(예를 들어, 기준 온도(TREF) 및 기준 온도에서의 최초 링크 길이(Li))에 대한 분산에 관련해서, (그 개시가 전체로서 참조에 의해 여기에 병합된) 2016년 7월 13일에 출원된 “온 더 플라이 자동 웨이퍼 센터링 방법 및 기구”로 명명된 미국 특허 출원 제15/209,497호에 기재된 바와 유사한 방식으로, 상응하는 비율 또는 팽창 계수(KS(i))로 표현될 수 있다.
목표물(700-704)의 구성은 상부 아암 링크(23201), 포어아암 링크(23202), 및 엔드 이펙터(23203)를 갖는 3 링크 스카라 아암(2300A)의 판별에 대해 (또는 다른 이산적 분산의 각각 사이의 위의 결정적 판별에 따라) 결정적이지만, 다른 측면에서, 목표물(700-704)은 n-링크 아암(예를 들어, 임의의 적합한 수의 아암 링크를 갖는 아암)의 결정적 판별을 위한 임의의 적합한 구성을 가질 수 있다. 목표물(700-704)의 구성은 식 [1]-[4]에 대해 아래 더 상세히 기재될 바와 같이 목표물(700-704)의 위치를 감지하는 것으로부터, 다른 이산적 분산(ΔLi, ΔVi), 또는 팽창 계수 (KS(i))의 판별에 대해 결정적이다.
일 측면에서, 제어기(11091) (또는 제어기의 키네마틱 리졸버(11091K))는 적어도 목표물(700-704)의 검출로부터, 각각의 아암 링크(23201, 23202, 23203)에 대한 다른 이산적 분산(ΔLi)을 결정하고, 숄더 축(Z1)으로부터 엔드 이펙터(23203)의 기준 위치(EEC)(즉,웨이퍼/엔드 이펙터 중심 위치)까지의 스카라 아암 분산(예를 들어, 좌표계에 따라 ΔX, ΔY 또는 R, θ이 사용된다)을 결정하는데 있어 다른 이산적 분산 사이에 판별하도록 구성된다. 이전에 언급된 바와 같이, 각각의 아암 링크(23201, 23202, 23203)에 상응하는 팽창 계수(KS(i))로 표현된 분산으로, 제어기(11091)는 목표물의 검출로부터 각각의 상응하는 아암 링크(23201, 23202, 23203)의 다른 팽창 계수(KS(i)) 사이의 이산 관계를 결정하고, 엔드 이펙터(23203)의 기준 위치(EEC)에 대해 분산을 결정하는데 있어서 다른 상응하는 아암 링크(23201, 23202, 23203)의 다른 팽창 계수(KS(i)) 사이에 판별하도록 구성된다. 달리 말해서, 제어기는 이미징 시스템(600)에 의한 적어도 하나의 목표물(700-704)의 검출로부터, 스카라 아암(2300A)의 반경 모션으로 온 더 플라이 방식으로 스카라 아암의 분산을 결정하여, 결정된 비율 계수(KS(i))와 스카라 아암(2300A)의 각각의 다른 아암 링크( 23201, 23202, 23203)에 대한 각각의 다른 이산 분산(ΔLi) 사이의 이산 관계를 결정하도록 구성된 키네마틱 효과 리졸버를 포함한다. 적어도 하나의 목표물(700-704)의 검출로부터, 제어기(11091)는 이미징 시스템(600)의 각각의 이미징 센서(601)에 의한 스카라 아암(2300)의 원 패스에서 스카라 아암(2300A)의 분산(ΔX, Δy)을 결정하도록 구성된다. 또한, 제어기(11091)(또는 키네마틱 리졸버(11091K))는 아암 조인트 또는 풀리 축(Z1, Z2, Z3)에서 다른 온도로 인한 각각의 풀리의 다른 각각의 비선형 키네마틱 효과(ΔVi) 사이에 판별하여, 온도에서의 변경(ΔTi)으로 인한 각각의 풀리(예를 들어, 도 3의 풀리 참조)의 비선형 키네마틱 효과(ΔVi)를 해소하도록 구성된다. 비선형 키네마틱 효과(ΔVi)에 상응하는 풀리 분산은 각각이 각각의 아암 링크(23201, 23202, 23203)의 반대편 단부에서의 풀리 사이의 풀리 구동비로서 표현될 수 있다.
도 12를 참조하여, 예시적인 목적 및 편의를 위해, 이송 장치가 단일 스카라 아암을 갖는 것으로 도시되고, 여기서 스카라 아암(2300A)의 상부 아암 및 포어아암 링크(23201, 23202)는 기준 온도(TREF)에서 동일한 길이(L)를 갖는 것으로 도시되지만, 다른 측면에서, 상부 아암 및 포어아암 링크는 동일하지 않은 길이를 가질 수 있다. 다른 측면에서, 본 발명의 측면은 임의의 적합한 아암에 적용될 수 있다. 또한, 예시적인 목적과 편의를 위해, 스카라 아암 링크는 유사한 열 팽창의 계수를 갖도록 유사한 소재로 구성되지만, 다른 측면에서, 아암 링크는 다른 열 팽창의 계수를 갖도록 다른 소재로 구성될 수 있다. 일 측면에서, 예시적인 목적만을 위해, 상부 아암 링크(23201) 및 포어아암 링크(23202)는 각각의 모터 축에 의해 구동되는 한편, 엔드 이펙터(23203)는 상부 아암 링크(23201)에 슬레이브된다. 스카라 아암(2300A)은 열 팽창 전과 후에 동일한 모터 위치 하에 도 12에 도시된다(열적으로 팽창된 아암은 팬텀(phantom) 라인으로 도시된다). 스카라 아암의 일반적인 키네마틱은 다음과 같이 작성될 수 있다:
기준 온도에서:
Figure pct00001
Figure pct00002
온도 가열 및 열 팽창 후에:
Figure pct00003
Figure pct00004
여기서:
Figure pct00005
Figure pct00006
G1 및 G2 상부 아암 대 엘보우 및 리스트 대 엘보우에 대한 풀리 기어비이다.
보정 온도(TREF)에서, 상부 아암 링크(23201) 및 포어아암 링크(23202) 각각은 길이(L)를 가진다. 온도가 변한 후에, 상부 아암 링크(23201)의 길이는 L1으로 나타내고, 포어아암 링크(23202)의 길이는 길이(L2)로 나타낸다.
동일한 모터 위치에서, ΔT1 에 의해 변경된 상부 아암 온도, 및 ΔT2 에 의해 변경된 포어아암 온도, 및 상부 아암 링크(23201)에 대한 열 팽창 계수가 α1이고, 포어아암 링크(23020)에 대한 열 팽창 계수가 α2임을 가정하면, 열 팽창 후의 상부 아암 길이(L1) 및 포어아암 길이(L2)는:
Figure pct00007
Figure pct00008
여기서 팽창 계수는 다음과 같이 정의된다:
Figure pct00009
Figure pct00010
온도는 엔드 이펙터(23203)로부터 스카라 아암(2300A)의 숄더 축(Z1)으로 분산되기 때문에, 특히 온도가 정상 상태로 증가하는 동안, 분산된 온도는 다른 속도에서의 풀리의 열 팽창으로 인해 스카라 아암 조인트(예를 들어, 축(Z1, Z2, Z3))에서 풀리의 풀리비를 변경한다. 이러한 풀리의 열 팽창은 포함된 각도 및 엔드 이펙터 배향을 변경할 것이다. 도 27에 대해 다시 참조하여, 엔드 이펙터 센터(EEC)에 대한 풀리 구동비 변경의 영향을 도시하는 시뮬레이션 결과의 예는 풀리가 다른 온도에 있지만, 링크 길이는 변경되지 않는다는 것을 가정한다.
다음의 표는 스카라 아암(2300A)의 풀리에 대한 예시적인 풀리 구동비를 도시하고, 여기서 풀리의 위치가 식별되고 직경은 제네릭 측정단위로 표현된다.
위치 직경
UA 숄더 2
UA 엘보우 1
FA 엘보우 1
FA 리스트 2
스카라 아암(2300A)에 있어서, 숄더 축(Z1)은 2:1 구동비를 갖는 풀리를 포함하는 전송으로 엘보우 축(Z2)에 연결되고, 리스트 축(Z3)은 2:1의 구동비를 갖는 풀리를 포함하는 전송으로 엘보우 축(Z2)에 연결된다.
숄더 축(Z1)에서의 온도 변경이 T1이고, 엘보우 축에서의 온도 변경이 T2이고, 아암 링크 소재의 열 계수임을 가정하면, 숄더 축(Z1) 대 엘보우 축(Z2)의 풀리 비는 다음과 같이 표현될 수 있다:
G1 = 2*(1 + α*ΔΥ1)/(1+α*ΔΥ2) ;
식 [3] 및 식 [4]를 사용하여:
Figure pct00011
그러므로 풀리 비에서의 변경 후 각도는:
Figure pct00012
엔드 이펙터에 대한 온도 변경이 T3 라고 가정하면, 리스트 축(Z3) 및 포어아암 축(Z2) 사이의 풀리 비는 다음과 같이 표현될 수 있다:
G2 = 2*(1 + α*ΔT3)/(1+α*ΔΥ2)
그리고 팽창 계수는 다음과 같이 정의될 수 있다:
Ks3 = (1 + α*ΔΥ3);
그런 후에:
G2 = 2*Ks3/Ks2
여기서 엔드 이펙터(23203)의 각도 변화는 다음과 같이 표현될 수 있다:
Figure pct00013
위에 기재되고, 도 11에 도시된 바와 같은 “보상 알고리즘”은 분석적 도출 또는 기계 학습 방법의 결과로서 실행될 수 있고, 여기서 훈련 그리드는 공간에서 실제 로봇 엔드-이펙터 위치를 정확하게 모니터링할 수 있는 외부 측정 장치의 도움으로 실행될 수 있다. 도 13은 로봇 아암 오류 보상에 관한 기계 학습 기반 모델을 개발하도록 훈련 그리드 데이터를 얻는 것에 대한 예를 도시한다. 환경적 조건과 이용가능한 측정이 주어질 때, 실제 엔드-이펙터 위치는 기계 학습 기반 모델을 적절하게 훈련시키도록 데이터를 제공하기 위해 다양한 입력 조건에 대해 (예를 들어, 카메라 또는 다른 적합한 센서와 같은) 외부 장치(1300)로 측정될 수 있다.
또 다른 측면에서, 도 14a 및 도 14b는 이미징 센서 측정(DP1, DP2)의 관점에서 상부 아암(230201) 및 포어아암(23202)의 링크 열 팽창을 계산하는 방법에 관한 분석적 도출의 예를 도시한다. 분석적 도출은 공간에서 로봇 엔드-이펙터의 위치를 정확하게 결정하도록 로봇 키네마틱 모델을 보정하도록 계산된 링크 열 팽창(dL1 및 dL2)을 채용할 수 있다는 것이 언급된다.
이제 도 15를 참조하여, 본 발명의 측면의 예시적인 작동이 기재될 것이다. 일 측면에서, 방법(1300)은 (위에 기재된 이들과 같은) 기판 이송 장치의 (위에 기재된 이들과 같은) 이송 챔버를 제공하는 단계를 포함한다(도 15, 블록(1301)). 이송 챔버는 (진공 챔버 또는 다른 적합한 기판 홀딩 위치와 같은) 기판 스테이션 모듈과 연통하는 기판 이송 개구(125OP)를 가진다. 방법은 적어도 하나의 독립적인 구동 축을 규정하는 (여기 기재된 이들과 같은) 모터를 구비한, 구동 섹션(23204)에 이송 챔버에 연결된 장착 플랜지 또는 인터페이스 마운트(510)를 제공하는 단계를 더 포함하고(도 15, 블록(1302)), 여기서 장착 플랜지(510)는 구동 섹션(23204)을 이송 챔버에 장착하고, 페리미터의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성한다. 방법(1300)은 이송 챔버 내부에 장착된 엔드 이펙터(23203)를 갖는 로봇 아암(2300A)을 제공하는 단계를 더 포함한다(도 15, 블록(1303)). 로봇 아암(2300A)은 적어도 하나의 독립적인 구동 축으로, 반경 방향(R)에서 로봇 아암(2300A)을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로, 반경 방향(R)에서, 엔드 이펙터(23203)를 이동시키는, 적어도 아암 모션을 발생시키는 구동 섹션(23204)에 작동가능하게 연결된다. 로봇 아암(2300A)이 적어도 하나의 독립적인 구동 축에 의해 규정된, 여기 기재된 미리결정된 반복가능한 위치 중 하나에 있는 한편, 이미징 시스템(600)은 장착 플랜지(510)를 통해 장착된 하나 이상의 이미징 센서(601-603)로, 로봇 아암(2300A)의 적어도 일부를 이미지화한다(도 15, 블록(1304)). 이미징 시스템(600)은 이송 챔버에 대해 미리결정된 위치에서 장착 인터페이스(510) 상에 장착되고, 로봇 아암(2300A)은 미리결정된 반복가능한 위치로 또는 그 안에서 이동하며, 또는 미리결정된 위치로 또는 그 안에서 이동하며 이미지화된다. 제어기(11091)는 미리결정된 반복가능한 위치에 근접한 또는 그 안에, 또는 미리결정된 위치에 근접한 또는 그 안에 로봇 아암(2300A)의 레지스트리 상의 로봇 아암(2300A)의 적어도 일부의 뒤이은 이미지를 캡처한다(도 15, 블록(1305)). 뒤이은 이미지로, 위치 분산(ΔPV)이 로봇 아암(2300A)의 연장된 위치를 변경하는 모션 보상 계수를 결정하도록 보정 이미지와 뒤이은 이미지의 비교로부터 식별되고(도 15, 블록(1306)), 여기서 제 1 이미지의 캡처를 초래하는 각각의 이미지 센서는 위에 기재된 바와 같이 장착 플랜지의 페리미터 내부에 배치된다.
본 발명의 측면이 후퇴하는 또는 후퇴된 위치에서의 아암(2300A)에 대해 기재됨에도, 본 발명의 측면이 아암(2300A)의 연장을 위해 사용될 수도 있음이 언급된다. 예를 들어, 아암(2300A)은 아암(2300A)의 보정 동안 선택된 반복가능한 연장된 위치를 가질 수 있다. 반복가능한 연장된 위치는 구동 축 인코더 데이텀으로부터 알려진 미리결정된 회전 위치(축 드라이브의 θ 회전)를 갖는 처리 모듈에서의 예를 들어, 기판 홀드 위치에 있을 수 있다. 아암(2300A)이 반복가능한 연장된 위치에 있음을 나타내도록 인코더가 알려진 미리결정된 회전 위치에 도달할 때, 제어기(11091)는 인코더로부터 신호를 수신한다. 일단 반복가능한 연장된 위치에 있으면, 후퇴된 위치에서 아암(2300A)에 대한 위의 것과 실질적으로 유사한 모션 보상이 결정된다(즉, 이미지는 캡처되고 미리-프로그램된 보정 이미지와 비교된다).
본 발명의 하나 이상의 측면에 따른 기판 이송 장치는:
기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는 이송 챔버;
이송 챔버에 연결된 장착 인터페이스를 갖고, 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한, 구동 섹션으로서, 여기서 장착 인터페이스는 구동 섹션을 이송 챔버에 장착하고, 페리미터의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성하는, 구동 섹션;
이송 챔버 내부에 장착되고, 그 위에 기판을 지지하도록 구성된 로봇 아암의 원위부에서의 엔드 이펙터를 구비한, 로봇 아암으로서, 여기서 로봇 아암은 적어도 하나의 독립적인 구동 축으로, 반경 방향으로 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로 반경 방향으로, 엔드 이펙터를 이동시키는 적어도 아암 모션을 발생시키는 구동 섹션에 작동가능하게 연결되는, 로봇 아암;
이송 챔버에 대해 미리결정된 위치에서 장착 인터페이스를 통해 장착되고, 로봇 아암의 적어도 일부를 이미지화하도록 배치된 카메라를 갖는 이미징 시스템; 및
이미징 시스템에 통신가능하게 연결되고 카메라로 미리결정된 위치로 또는 그 안에 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 로봇 아암의 적어도 일부를 이미지화하도록 구성된 제어기로서, 여기서 제어기는 미리결정된 위치에 근접한 또는 그 안에 로봇 아암의 레지스트리 상의 로봇 아암의 적어도 일부의 제 1 이미지를 캡처하게 하는, 제어기;를 포함하되,
여기서 제어기는 로봇 아암의 적어도 일부의 보정 이미지와 제 1 이미지의 비교로부터 로봇 아암의 적어도 일부의 위치 분산을 계산하고, 위치 분산으로부터, 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수를 결정하도록 구성되고, 여기서 제 1 이미지의 캡처를 초래하는 각각의 카메라는 장착 인터페이스의 페리미터 내부에 배치된다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지와, 로봇 아암의 적어도 일부의 보정 이미지의 비교로부터 제어기에 의해 계산된 위치 분산은 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 로봇 아암의 연장된 위치를 변경한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에서 캡처된 로봇 아암의 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터를 포함하고, 기판을 갖는 엔드 이펙터는 제 1 이미지에서 이미지화되고, 제어기는 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정한다.
본 발명의 하나 이상의 측면에 따라서, 로봇 아암의 적어도 하나의 링크는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하는 특징을 갖고, 제어기는 이미징 시스템으로 캡처된 특징의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록한다.
본 발명의 하나 이상의 측면에 따라서, 로봇 아암은 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 숄더 축은 페리미터의 내부 상에 위치된다.
본 발명의 하나 이상의 측면에 따라서, 각각의 카메라는 연장된 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 숄더 축에 근접하여 위치된다.
본 발명의 하나 이상의 측면에 따른 방법은:
기판 이송 장치의 이송 챔버를 제공하는 단계로서, 여기서 이송 챔버는 기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는, 이송 챔버를 제공하는 단계;
이송 챔버에 연결된 장착 플래지를 갖고, 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한, 구동 섹션을 제공하는 단계로서, 여기서 장착 플랜지는 구동 섹션을 이송 챔버에 장착하고, 페리미터의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성하는, 구동 섹션을 제공하는 단계;
이송 챔버 내부에 장착되고, 그 위에 기판을 지지하도록 구성된, 로봇 아암의 원위부에서 엔드 이펙터를 구비한, 로봇 아암을 제공하는 단계로서, 여기서 로봇 아암은 구동 섹션에 작동가능하게 연결되는, 로봇 아암을 제공하는 단계;
적어도 하나의 독립적인 구동 축으로, 반경 방향에서 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로, 반경 방향에서, 엔드 이펙터를 이동시키는, 적어도 로봇 아암 모션을 발생시키는 단계;
이송 챔버에 대해 미리결정된 위치에서 장착 플랜지를 통해 장착된 이미징 시스템의 카메라로, 적어도 하나의 독립적인 구동 축에 의해 규정된 미리결정된 위치로 또는 그 안에서 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 로봇 아암의 적어도 일부를 이미지화하는 단계;
이미징 시스템에 통신가능하게 연결된 제어기로, 미리결정된 위치에 근접한 또는 그 안에서 로봇 아암의 레지스트리 상의 로봇 아암의 적어도 일부의 제 1 이미지를 캡처하는 단계; 및
제어기로, 로봇 아암의 적어도 일부의 보정 이미지와 제 1 이미지의 비교로부터 로봇 아암의 적어도 일부의 위치 분산(positional variance)을 계산하고, 위치 분산으로부터, 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수(motion compensation factor)를 결정하는 단계로서, 여기서 제 1 이미지의 캡처를 초래하는 각각의 카메라는 장착 플랜지의 페리미터 내부에 배치되는, 위치 분산을 계산하고 모션 보상 계수를 결정하는 단계;를 포함한다.
본 발명의 하나 이상의 측면에 따라서, 상기 방법은 제어기로, 제 1 이미지와, 로봇 아암의 적어도 일부의 보정 이미지의 비교로부터 위치 분산을 계산하는 단계를 더 포함하고, 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 비교하는 단계를 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 로봇 아암의 연장된 위치를 변경한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에서 캡처된 로봇 아암의 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터를 포함하고, 기판을 갖는 엔드 이펙터는 제 1 이미지에서 이미지화되고, 방법은 제어기로, 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정하는 단계를 더 포함한다.
본 발명의 하나 이상의 측면에 따라서, 로봇 아암의 적어도 하나의 링크는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하는 특징을 갖고, 방법은 제어기로, 이미징 시스템으로 캡처된 특징의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록하는 단계를 더 포함한다.
본 발명의 하나 이상의 측면에 따라서, 로봇 아암은 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 숄더 축은 페리미터의 내부 상에 위치된다.
본 발명의 하나 이상의 측면에 따라서, 각각의 카메라는 연장된 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 숄더 축에 근접하여 위치된다.
본 발명의 하나 이상의 측면에 따라, 기판 이송 장치는:
기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는 이송 챔버;
이송 챔버에 연결된 장착 인터페이스를 갖고, 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한 구동 섹션;
이송 챔버 내부에 장착되고, 그 위에 기판을 지지하도록 구성된, 멀티-링크 로봇 아암의 원위부에서 엔드 이펙터를 구비한, 멀티-링크로봇 아암으로서, 여기서 멀티-링크 로봇 아암은 적어도 하나의 독립적인 구동 축으로, 반경 방향으로 멀티-링크 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로 반경 방향으로, 엔드 이펙터를 이동하는 적어도 아암 모션을 발생시키는 구동 섹션에 작동가능하게 연결되는, 멀티-링크로봇 아암;
반경 방향에 대해 멀티-링크 로봇 아암의 적어도 하나의 링크의 선형 및 회전 특성 모두를 특징으로 하는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트;
이송 챔버에 대해 미리결정된 위치에서 장착 인터페이스를 통해 장착되고, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부를 이미지화하도록 배치된 적어도 하나의 이미징 센서를 갖는 이미징 시스템; 및
이미징 시스템에 통신가능하게 연결되고 적어도 하나의 이미징 센서로, 미리결정된 위치로 또는 그 안에 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부를 이미지화하도록 구성된 제어기로서, 여기서 제어기는 미리결정된 위치에 근접한 또는 그 안에 멀티-링크 로봇 아암의 레지스트리 상의 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 제 1 이미지를 캡처하게 하는, 제어기;를 포함하되,
여기서 제어기는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지와 제 1 이미지의 비교로부터, 멀티-링크 로봇 아암의 엔드 이펙터의 기판 홀딩 스테이션의 위치 분산을 계산하고, 위치 분산으로부터, 멀티-링크 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수를 결정하고, 여기서 제 1 이미지의 캡처를 초래하는 적어도 하나의 이미징 센서의 각각은 장착 인터페이스의 페리미터 내부에 배치된다.
본 발명의 하나 이상의 측면에 따라서, 장착 인터페이스는 구동 섹션을 이송 챔버에 장착하고, 페리미터의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에 갭처된 하나 이상의 표시의 세트 중 적어도 일부는 엔드 이펙터의 기판 홀딩 스테이션의 위치 분산에 결정적이다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지와, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지의 비교로부터 제어기에 의해 계산된 위치 분산은 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 멀티-링크 로봇 아암의 연장된 위치를 변경한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에서 캡처된 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터를 포함하고, 기판을 갖는 엔드 이펙터는 제 1 이미지에서 이미지화되고, 제어기는 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정한다.
본 발명의 하나 이상의 측면에 따라서, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하고, 제어기는 이미징 시스템으로 캡처된 하나 이상의 표시의 세트의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록한다.
본 발명의 하나 이상의 측면에 따라서, 멀티-링크 로봇 아암은 멀티-링크 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 숄더 축은 페리미터의 내부 상에 위치된다.
본 발명의 하나 이상의 측면에 따라서, 적어도 하나의 이미징 센서의 각각은 연장된 멀티-링크 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 숄더 축에 근접하여 위치된다.
본 발명의 하나 이상의 측면에 따른 방법은:
기판 이송 장치의 이송 챔버를 제공하는 단계로서, 여기서 이송 챔버는 기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는, 이송 챔버를 제공하는 단계;
이송 챔버에 연결된 장착 플랜지를 갖고, 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한, 구동 섹션을 제공하는 단계;
이송 챔버 내부에 장착되고, 그 위에 기판을 지지하도록 구성된, 멀티-링크 로봇 아암의 원위부에 엔드 이펙터를 구비한, 멀티-링크 로봇 아암을 제공하는 단계로서, 여기서 멀티-링크 로봇 아암은 구동 섹션에 작동가능하게 연결되는, 멀티-링크 로봇 아암을 제공하는 단계;
적어도 하나의 독립적인 구동 축으로, 반경 방향에서 멀티-링크 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로, 반경 방향에서, 엔드 이펙터를 이동시키는, 적어도 멀티-링크 로봇 아암 모션을 발생시키는 단계;
반경 방향에 대해 멀티-링크 로봇 아암의 적어도 하나의 링크의 선형 및 회전 특성 모두를 특징으로 하는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트를 제공하는 단계;
이송 챔버에 대해 미리결정된 위치에서 장착 플랜지를 통해 장착된 이미징 시스템의 적어도 하나의 이미징 센서로, 미리결정된 위치로 또는 그 안에 적어도 하나의 독립적인 구동 축에 의해 규정된 경로를 따라 이동하는 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부를 이미지화하는 단계;
이미징 시스템에 통신가능하게 연결된 제어기로, 미리결정된 위치에 근접한 또는 그 안에서 멀티-링크 로봇 아암의 레지스트리 상의 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 제 1 이미지를 캡처하는 단계; 및
제어기로, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지와 제 1 이미지의 비교로부터 멀티-링크 로봇 아암의 적어도 일부의 위치 분산을 계산하고, 위치 분산으로부터, 멀티-링크 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수를 결정하는 단계로서, 여기서 제 1 이미지의 캡처를 초래하는 적어도 하나의 이미징 센서의 각각은 장착 플랜지의 페리미터 내부에 배치되는, 위치 분산을 계산하고 모션 보상 계수를 결정하는 단계;를 포함한다.
본 발명의 하나 이상의 측면에 따라서, 장착 플랜지는 구동 섹션을 이송 챔버에 장착하고, 페리미터의 내부 상의 이송 챔버의 외부로부터 페리미터의 외부 상의 이송 챔버의 내부를 분리하는 페리미터를 형성한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에 갭처된 하나 이상의 표시의 세트 중 적어도 일부는 엔드 이펙터의 기판 홀딩 스테이션의 위치 분산에 결정적이다.
본 발명의 하나 이상의 측면에 따라서, 상기 방법은 제어기로, 제 1 이미지와, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지의 비교로부터 위치 분산을 계산하는 단계를 더 포함하고, 반경 방향에서 위치 분산 성분, 및 반경 방향을 갖는 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 비교하는 단계를 포함하고, 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 멀티-링크 로봇 아암의 연장된 위치를 변경한다.
본 발명의 하나 이상의 측면에 따라서, 제 1 이미지에서 캡처된 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트 중 적어도 일부는 그 위에 기판을 갖는 엔드 이펙터를 포함하고, 기판을 갖는 엔드 이펙터는 제 1 이미지에서 이미지화되고, 방법은 제어기로, 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정하는 단계를 더 포함한다.
본 발명의 하나 이상의 측면에 따라서, 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하고, 방법은 제어기로, 이미징 시스템으로 캡처된 하나 이상의 표시의 세트의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록하는 단계를 더 포함한다.
본 발명의 하나 이상의 측면에 따라서, 멀티-링크 로봇 아암은 멀티-링크 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 숄더 축은 페리미터의 내부 상에 위치된다.
본 발명의 하나 이상의 측면에 따라서, 적어도 하나의 이미징 센서의 각각은 연장된 멀티-링크 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 숄더 축에 근접하여 위치된다.
앞서 언급된 기재가 본 발명의 측면에 관해 도시적일 뿐임이 이해되어야만 한다. 다양한 대안 및 수정이 본 발명의 측면으로부터 벗어나지 않고 해당 기술분야의 당업자에 의해 고안될 수 있다. 따라서, 본 발명의 측면은 여기에 첨부된 임의의 청구항의 범위 내에 해당하는 모든 그러한 대안, 수정, 및 변화를 포괄하도록 의도된다. 또한, 다른 특징이 상호 다른 종속 또는 독립항에 재인용된다는 단순 사실은 이들 특징의 조합이 유리하게 사용될 수 없음을 나타내지 않고, 그러한 조합은 본 발명의 측면의 범위 내에 해당한다.
11000: 전방 단부
11010: 진공 부하 로크부
11020: 후방 단부
11030: 처리 스테이션
11090: 반도체 툴
11091: 제어기
2012: 인터페이스 섹션
3018: 이송 챔버 모듈

Claims (28)

  1. 기판 이송 장치로서,
    기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는 이송 챔버;
    상기 이송 챔버에 연결된 장착 인터페이스를 가지며 적어도 하나의 독립적인 구동 축을 정의하는 모터를 구비한 구동 섹션으로서, 상기 장착 인터페이스는 상기 구동 섹션을 상기 이송 챔버에 장착하고, 페리미터의 내부 상의 상기 이송 챔버의 외부와 상기 페리미터의 외부 상의 상기 이송 챔버의 내부를 분리하는 페리미터를 형성하는, 구동 섹션;
    상기 이송 챔버의 내부에 장착되고, 그 위에 기판을 지지하도록 된 로봇 아암의 원위부에서의 엔드 이펙터를 구비한 로봇 아암으로서, 상기 로봇 아암은 상기 적어도 하나의 독립적인 구동 축으로, 반경 방향으로 상기 로봇 아암을 연장하고 후퇴시키고 후퇴된 위치로부터 연장된 위치로 반경 방향으로 상기 엔드 이펙터를 이동시키는 적어도 아암 모션을 발생시키는 상기 구동 섹션에 작동가능하게 연결되는, 로봇 아암;
    상기 이송 챔버에 대해 미리결정된 위치에서 상기 장착 인터페이스를 통해 장착되고, 상기 로봇 아암의 적어도 일부를 이미지화하도록 배치된 카메라를 갖는 이미징 시스템; 및
    상기 카메라를 가진 상기 이미징 시스템에 통신가능하게 연결되고, 상기 미리결정된 위치로 또는 그 내부에 상기 적어도 하나의 독립적인 구동 축에 의해 정의된 경로를 따라 이동하는 상기 로봇 아암의 적어도 일부를 이미지화하도록 된 제어기로서, 상기 제어기는 상기 미리결정된 위치에 근접하게 또는 그 내부에 상기 로봇 아암의 레지스트리 상의 상기 로봇 아암의 적어도 일부의 제 1 이미지의 캡처하도록 하는, 제어기;를 포함하며,
    상기 제어기는 상기 로봇 아암의 적어도 일부의 보정 이미지와 상기 제 1 이미지의 비교로부터 상기 로봇 아암의 적어도 일부의 위치 분산(positional variance)을 계산하고, 상기 위치 분산으로부터, 상기 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수(motion conpensation factor)를 결정하도록 되고, 상기 제 1 이미지의 캡처를 초래하는 각각의 카메라는 상기 장착 인터페이스의 상기 페리미터 내부에 배치되는 것을 특징으로 하는 기판 이송 장치.
  2. 제 1 항에 있어서,
    상기 제 1 이미지와 상기 로봇 아암의 적어도 일부의 보정 이미지의 비교로부터 상기 제어기에 의해 계산된 상기 위치 분산은 반경 방향에서 위치 분산 성분, 및 반경 방향에 대하여 영이 아닌 교차각으로 각이 진 방향에서 또 다른 분산 성분을 포함하고, 상기 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 상기 로봇 아암의 연장된 위치를 변경하는 것을 특징으로 하는 기판 이송 장치.
  3. 제 1 항에 있어서,
    상기 제 1 이미지에서 캡처된 상기 로봇 아암의 적어도 일부는 그 위에 기판을 갖는 상기 엔드 이펙터를 포함하고, 상기 기판을 갖는 상기 엔드 이펙터는 상기 제 1 이미지에서 이미지화되고, 상기 제어기는 상기 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정하는 것을 특징으로 하는 기판 이송 장치.
  4. 제 1 항에 있어서,
    상기 로봇 아암의 적어도 하나의 링크는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하는 특징부를 가지며, 상기 제어기는 상기 이미징 시스템으로 캡처된 상기 특징부의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록하는 것을 특징으로 하는 기판 이송 장치.
  5. 제 1 항에 있어서,
    상기 로봇 아암은 상기 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 상기 숄더 축은 상기 페리미터의 내부 상에 위치되는 것을 특징으로 하는 기판 이송 장치.
  6. 제 5 항에 있어서,
    각각의 카메라는 연장된 상기 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 상기 숄더 축에 근접하여 위치되는 것을 특징으로 하는 기판 이송 장치.
  7. 기판 이송 장치의 이송 챔버를 제공하는 단계로서, 상기 이송 챔버는 기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 가지는, 이송 챔버를 제공하는 단계;
    상기 이송 챔버에 연결된 장착 플랜지를 구비하며, 적어도 하나의 독립적인 구동 축을 정의하는 모터를 구비한 구동 섹션을 제공하는 단계로서, 상기 장착 플랜지는 상기 구동 섹션을 상기 이송 챔버에 장착하고, 페리미터의 내부 상의 상기 이송 챔버의 외부와 상기 페리미터의 외부 상의 상기 이송 챔버의 내부를 분리하는 상페리미터를 형성하는, 구동 섹션을 제공하는 단계;
    상기 이송 챔버의 내부에 장착되고, 그 위에 기판을 지지하도록 된 로봇 아암의 원위 단부에서 엔드 이펙터를 구비한 로봇 아암을 제공하는 단계로서, 상기 로봇 아암은 상기 구동 섹션에 작동가능하게 연결되는, 로봇 아암을 제공하는 단계;
    상기 적어도 하나의 독립적인 구동 축으로, 반경 방향에서 상기 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로 반경 방향으로 상기 엔드 이펙터를 이동시키는, 적어도 로봇 아암 모션을 발생시키는 단계;
    상기 이송 챔버에 대해 미리결정된 위치에서 상기 장착 플랜지를 통해 장착된 이미징 시스템의 카메라로써, 상기 미리결정된 위치로 또는 그 안에 상기 적어도 하나의 독립적인 구동 축에 의해 정의된 경로를 따라 이동하는 상기 로봇 아암의 적어도 일부를 이미지화하는 단계;
    상기 이미징 시스템에 통신가능하게 연결된 제어기로써, 상기 미리결정된 위치에 근접한 또는 그 안에서 상기 로봇 아암의 레지스트리 상의 상기 로봇 아암의 적어도 일부의 제 1 이미지를 캡처하는 단계; 및
    상기 제어기로써, 상기 로봇 아암의 적어도 일부의 보정 이미지와 상기 제 1 이미지의 비교로부터 상기 로봇 아암의 적어도 일부의 위치 분산을 계산하고, 상기 위치 분산으로부터, 상기 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수를 결정하는 단계;를 포함하되,
    상기 제 1 이미지를 캡처하는 각각의 카메라는 상기 장착 플랜지의 상기 페리미터의 내부에 배치되는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서,
    상기 제어기로써, 상기 제 1 이미지와 상기 로봇 아암의 적어도 일부의 상기 보정 이미지의 비교로부터 상기 위치 분산을 계산하는 단계를 추가로 포함하고, 반경 방향에서의 위치 분산 성분 및 상기 반경 방향에 대하여 영이 아닌 교차각으로 각이 진 방향에서의 또다른 분산 성분을 비교하는 단계를 포함하고, 상기 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 상기 로봇 아암의 연장된 위치를 변경하는 것을 특징으로 하는 방법.
  9. 제 7 항에 있어서,
    상기 제 1 이미지에서 캡처된 상기 로봇 아암의 적어도 일부는 그 위에 기판을 갖는 상기 엔드 이펙터를 포함하고, 기판을 갖는 상기 엔드 이펙터는 상기 제 1 이미지에서 이미지화되고, 상기 방법은 상기 제어기로써, 상기 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  10. 제 7 항에 있어서,
    상기 로봇 아암의 적어도 하나의 링크는 미리결정된 평면에 대한 위치의 선형 및 회전 특성을 설명하는 특징부를 가지며, 상기 방법은 상기 제어기로써, 상기 이미징 시스템으로 캡처된 상기 특징부의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  11. 제 7 항에 있어서,
    상기 로봇 아암은 상기 로봇 아암의 숄더 축에 대하여 연장하고 후퇴하며, 상기 숄더 축은 상기 페리미터의 내부 상에 위치되는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서,
    각각의 카메라는 연장된 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 상기 숄더 축에 근접하여 위치되는 것을 특징으로 하는 방법.
  13. 기판 이송 장치로서,
    기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는 이송 챔버;
    상기 이송 챔버에 연결된 장착 인터페이스를 가지며 적어도 하나의 독립적인 구동 축을 정의하는 모터를 구비한 구동 섹션;
    상기 이송 챔버의 내부에 장착되고, 그 위에 기판을 지지하도록 구성된 멀티-링크 로봇 아암의 원위 단부에서 엔드 이펙터를 구비하는 멀티-링크 로봇 아암으로서, 상기 멀티-링크 로봇 아암은 상기 적어도 하나의 독립적인 구동 축으로, 반경 방향으로 상기 멀티-링크 로봇 아암을 연장하고 후퇴시키고, 후퇴된 위치로부터 연장된 위치로 반경 방향으로, 상기 엔드 이펙터를 이동하는 적어도 아암 모션을 발생시키는 상기 구동 섹션에 작동가능하게 연결되는, 멀티-링크 로봇 아암;
    반경 방향에 대해 상기 멀티-링크 로봇 아암의 적어도 하나의 링크의 선형 및 회전 특성 모두를 특징으로 하는 상기 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트;
    상기 이송 챔버에 대해 미리결정된 위치에서 상기 장착 인터페이스를 통해 장착되고, 상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부를 이미지화하도록 배치된 적어도 하나의 이미징 센서를 갖는 이미징 시스템; 및
    상기 이미징 시스템에 통신가능하게 연결되고, 상기 적어도 하나의 이미징 센서로써 상기 미리결정된 위치로 또는 그 안에 적어도 하나의 상기 독립적인 구동 축에 의해 정의된 경로를 따라 이동하는 상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부를 이미지화하도록 된 제어기로서, 상기 제어기는 상기 미리결정된 위치에 근접한 또는 그 안에 상기 멀티-링크 로봇 아암의 레지스트리 상의 상기 멀티-링크 로봇 아암 상의 상기 하나 이상의 표시의 세트 중 적어도 일부의 제 1 이미지를 캡처하게 하는, 제어기;를 포함하고,
    상기 제어기는 상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부의 보정 이미지와 상기 제 1 이미지의 비교로부터, 상기 멀티-링크 로봇 아암의 상기 엔드 이펙터의 기판 홀딩 스테이션의 위치 분산을 계산하고, 상기 위치 분산으로부터, 상기 멀티-링크 로봇 아암의 연장된 위치를 변경하는 모션 보상 계수를 결정하고, 상기 제 1 이미지를 캡처하는 적어도 하나의 상기 이미징 센서의 각각은 상기 장착 인터페이스의 페리미터 내부에 배치되는 것을 특징으로 하는 기판 이송 장치.
  14. 제 13 항에 있어서,
    상기 장착 인터페이스는 상기 구동 섹션을 상기 이송 챔버에 장착하고, 페리미터의 내부 상의 상기 이송 챔버의 외부와 상기 페리미터의 외부 상의 상기 이송 챔버의 내부를 분리하는 페리미터를 형성하는 것을 특징으로 하는 기판 이송 장치.
  15. 제 13 항에 있어서,
    상기 제 1 이미지에 캡처된 상기 하나 이상의 표시의 세트 중 적어도 일부는 상기 엔드 이펙터의 상기 기판 홀딩 스테이션의 상기 위치 분산에 결정적인 것을 특징으로 하는 기판 이송 장치.
  16. 제 13 항에 있어서,
    상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부의 상기 보정 이미지와 상기 제 1 이미지의 비교로부터 상기 제어기에 의해 계산된 상기 위치 분산은 반경 방향에서 위치 분산 성분 및 반경 방향에 대하여 영이 아닌 교차각으로 각이 진 방향에서 또다른 분산 성분을 포함하고, 상기 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 상기 멀티-링크 로봇 아암의 연장된 위치를 변경하는 것을 특징으로 하는 기판 이송 장치.
  17. 제 13 항에 있어서,
    상기 제 1 이미지에서 캡처된 상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부는 그 위에 기판을 갖는 상기 엔드 이펙터를 포함하고, 상기 기판을 갖는 상기 엔드 이펙터는 상기 제 1 이미지에서 이미지화되고, 상기 제어기는 상기 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정하는 것을 특징으로 하는 기판 이송 장치.
  18. 제 13 항에 있어서,
    상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트는 미리결정된 평면에 대해 위치의 선형 및 회전 특성을 설명하고, 상기 제어기는 상기 이미징 시스템으로 캡처된 하나 이상의 상기 표시의 세트의 이미지에 기반해서 위치의 선형 및 회전 특성을 등록하는 것을 특징으로 하는 기판 이송 장치.
  19. 제 13 항에 있어서,
    상기 멀티-링크 로봇 아암은 상기 멀티-링크 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 상기 숄더 축은 상기 페리미터의 내부 상에 위치되는 것을 특징으로 하는 기판 이송 장치.
  20. 제 19 항에 있어서,
    상기 적어도 하나의 이미징 센서의 각각은 연장된 상기 멀티-링크 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 상기 숄더 축에 근접하여 위치되는 것을 특징으로 하는 기판 이송 장치.
  21. 방법으로서,
    기판 이송 장치의 이송 챔버를 제공하는 단계로서, 상기 이송 챔버는 기판 스테이션 모듈과 연통하도록 배열된 기판 이송 개구를 갖는, 이송 챔버를 제공하는 단계;
    상기 이송 챔버에 연결된 장착 플랜지를 가지며 적어도 하나의 독립적인 구동 축을 규정하는 모터를 구비한 구동 섹션을 제공하는 단계;
    상기 이송 챔버의 내부에 장착되고 그 위에 기판을 지지하도록 된 멀티-링크 로봇 아암의 원위부에 엔드 이펙터를 구비한 멀티-링크 로봇 아암을 제공하는 단계로서, 상기 멀티-링크 로봇 아암은 상기 구동 섹션에 작동가능하게 연결되는, 멀티-링크 로봇 아암을 제공하는 단계;
    적어도 하나의 상기 독립적인 구동 축으로, 반경 방향에서 상기 멀티-링크 로봇 아암을 연장하고 후퇴시키고 후퇴된 위치로부터 연장된 위치로 반경 방향에서 상기 엔드 이펙터를 이동시키는 적어도 멀티-링크 로봇 아암 모션을 발생시키는 단계;
    반경 방향에 대해 상기 멀티-링크 로봇 아암의 적어도 하나의 링크의 선형 및 회전 특성 모두를 특징으로 하는 상기 멀티-링크 로봇 아암 상의 하나 이상의 표시의 세트를 제공하는 단계;
    상기 이송 챔버에 대해 미리결정된 위치에서 상기 장착 플랜지를 통해 장착된 이미징 시스템의 적어도 하나의 이미징 센서로, 상기 미리결정된 위치로 또는 그 안에 적어도 하나의 상기 독립적인 구동 축에 의해 정의된 경로를 따라 이동하는 상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부를 이미지화하는 단계;
    상기 이미징 시스템에 통신가능하게 연결된 제어기로써, 상기 미리결정된 위치에 근접한 또는 그 안에서 상기 멀티-링크 로봇 아암의 레지스트리 상의 상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부의 제 1 이미지를 캡처하는 단계; 및
    제어기로써, 상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부의 보정 이미지와 상기 제 1 이미지의 비교로부터 상기 멀티-링크 로봇 아암의 적어도 일부의 위치 분산을 계산하고, 상기 위치 분산으로부터, 상기 멀티-링크 로봇 아암의 상기 연장된 위치를 변경하는 모션 보상 계수를 결정하는 단계;를 포함하고,
    상기 제 1 이미지를 캡처하는 적어도 하나의 상기 이미징 센서의 각각은 상기 장착 플랜지의 페리미터 내부에 배치되는 것을 특징으로 하는 방법.
  22. 제 21 항에 있어서,
    상기 장착 플랜지는 상기 구동 섹션을 상기 이송 챔버에 장착하고, 페리미터의 내부 상의 상기 이송 챔버의 외부와 상기 페리미터의 외부 상의 상기 이송 챔버의 내부를 분리하는 상기 페리미터를 형성하는 것을 특징으로 하는 방법.
  23. 제 21 항에 있어서,
    상기 제 1 이미지에 갭처된 상기 하나 이상의 표시의 세트 중 적어도 일부는 상기 엔드 이펙터의 기판 홀딩 스테이션의 상기 위치 분산에 결정적인 것을 특징으로 하는 방법.
  24. 제 21 항에 있어서,
    상기 제어기로써, 상기 멀티-링크 로봇 아암 상의 상기 하나 이상의 표시의 세트 중 적어도 일부의 보정 이미지와 상기 제 1 이미지의 비교로부터 상기 위치 분산을 계산하는 단계를 더 포함하고, 반경 방향에서 위치 분산 성분, 및 반경 방향에 대하여 영이 아닌 교차각으로 각이 진 방향에서 또다른 분산 성분을 비교하는 단계를 포함하고, 상기 모션 보상 계수는 반경 방향으로와 각이 진 방향으로 중 적어도 하나에서 상기 멀티-링크 로봇 아암의 상기 연장된 위치를 변경하는 것을 특징으로 하는 방법.
  25. 제 21 항에 있어서,
    상기 제 1 이미지에서 캡처된 상기 멀티-링크 로봇 아암 상의 하나 이상의 상기 표시의 세트 중 적어도 일부는 그 위에 기판을 갖는 상기 엔드 이펙터를 포함하고, 상기 기판을 갖는 상기 엔드 이펙터는 상기 제 1 이미지에서 이미지화되고, 상기 방법은 상기 제어기로써, 상기 엔드 이펙터의 미리결정된 기판 홀드 위치에 대해 기판 편심률을 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  26. 제 21 항에 있어서,
    상기 멀티-링크 로봇 아암 상의 상기 하나 이상의 표시의 세트는 미리결정된 평면에 대해 위치의 선형 및 회전 특성을 설명하고, 상기 방법은 상기 제어기로써, 상기 이미징 시스템으로 캡처된 하나 이상의 상기 표시의 세트의 이미지에 기반해서 위치의 상기 선형 및 회전 특성을 등록하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  27. 제 21 항에 있어서,
    상기 멀티-링크 로봇 아암은 상기 멀티-링크 로봇 아암의 숄더 축에 관련해 연장하고 후퇴하며, 상기 숄더 축은 상기 페리미터의 내부 상에 위치되는 것을 특징으로 하는 방법.
  28. 제 27 항에 있어서,
    적어도 하나의 상기 이미징 센서의 각각은 연장된 상기 멀티-링크 로봇 아암을 갖는 로봇 아암 엔드 이펙터의 원위 위치에 대해 상기 숄더 축에 근접하여 위치되는 것을 특징으로 하는 방법.
KR1020227006929A 2019-07-30 2020-07-30 로봇 장착 비젼 장치 KR20220042197A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962880521P 2019-07-30 2019-07-30
US62/880,521 2019-07-30
US16/942,255 2020-07-29
US16/942,255 US11164769B2 (en) 2019-07-30 2020-07-29 Robot embedded vision apparatus
PCT/US2020/044275 WO2021022049A1 (en) 2019-07-30 2020-07-30 Robot embedded vision apparatus

Publications (1)

Publication Number Publication Date
KR20220042197A true KR20220042197A (ko) 2022-04-04

Family

ID=74229819

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227006929A KR20220042197A (ko) 2019-07-30 2020-07-30 로봇 장착 비젼 장치

Country Status (6)

Country Link
US (3) US11164769B2 (ko)
EP (1) EP4004971A4 (ko)
JP (1) JP7486570B2 (ko)
KR (1) KR20220042197A (ko)
CN (1) CN115176337A (ko)
WO (2) WO2021022049A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
TW202137866A (zh) 2020-02-20 2021-10-01 美商布魯克斯自動機械公司 基板處理裝置
US20220351995A1 (en) * 2021-04-29 2022-11-03 Globalwafers Co., Ltd. Methods and systems of image based robot alignment
WO2023188493A1 (ja) * 2022-03-31 2023-10-05 パナソニックIpマネジメント株式会社 誤差解析方法、誤差解析装置およびプログラム

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU1351199A (en) 1997-12-03 1999-06-16 Nikon Corporation Substrate transferring device and method
US20010052392A1 (en) 1998-02-25 2001-12-20 Masahiko Nakamura Multichamber substrate processing apparatus
JP4357619B2 (ja) 1999-02-09 2009-11-04 キヤノンアネルバ株式会社 マルチチャンバシステム
US6630995B1 (en) 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6471464B1 (en) 1999-10-08 2002-10-29 Applied Materials, Inc. Wafer positioning device
JP4696373B2 (ja) 2001-02-20 2011-06-08 東京エレクトロン株式会社 処理システム及び被処理体の搬送方法
US6556887B2 (en) 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7129694B2 (en) 2002-05-23 2006-10-31 Applied Materials, Inc. Large substrate test system
US20060167583A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
US8545165B2 (en) * 2005-03-30 2013-10-01 Brooks Automation, Inc. High speed substrate aligner apparatus
US8167522B2 (en) * 2005-03-30 2012-05-01 Brooks Automation, Inc. Substrate transport apparatus with active edge gripper
US8821099B2 (en) * 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US8260461B2 (en) 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
US9186799B2 (en) * 2011-07-13 2015-11-17 Brooks Automation, Inc. Compact direct drive spindle
US10569430B2 (en) * 2011-09-16 2020-02-25 Persimmon Technologies Corporation Low variability robot
KR20230037672A (ko) * 2013-01-22 2023-03-16 브룩스 오토메이션 인코퍼레이티드 기판 이송기
WO2014197537A1 (en) 2013-06-05 2014-12-11 Persimmon Technologies, Corp. Robot and adaptive placement system and method
US10755960B2 (en) * 2014-11-04 2020-08-25 Brooks Automation, Inc. Wafer aligner
WO2016077387A1 (en) 2014-11-10 2016-05-19 Brooks Automation, Inc. Tool auto-teach method and apparatus
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
US10651067B2 (en) 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
SG10202110040SA (en) * 2017-03-15 2021-10-28 Lam Res Corp Reduced footprint platform architecture with linear vacuum transfer module
JP6923346B2 (ja) 2017-04-20 2021-08-18 株式会社Screenホールディングス 基板搬送装置、それを備える基板処理装置および基板搬送装置のティーチング方法
US10923375B2 (en) * 2018-11-28 2021-02-16 Brooks Automation, Inc. Load port module
KR20220031700A (ko) * 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus

Also Published As

Publication number Publication date
WO2021022049A1 (en) 2021-02-04
US20210043484A1 (en) 2021-02-11
US20240153794A1 (en) 2024-05-09
EP4004971A4 (en) 2023-08-30
CN115176337A (zh) 2022-10-11
JP7486570B2 (ja) 2024-05-17
US20220130696A1 (en) 2022-04-28
US11164769B2 (en) 2021-11-02
US11810801B2 (en) 2023-11-07
JP2022551374A (ja) 2022-12-09
WO2021022029A1 (en) 2021-02-04
EP4004971A1 (en) 2022-06-01

Similar Documents

Publication Publication Date Title
US10978330B2 (en) On the fly automatic wafer centering method and apparatus
US11764093B2 (en) Automatic wafer centering method and apparatus
US11469126B2 (en) Tool auto-teach method and apparatus
JP7486570B2 (ja) ロボット埋め込み型視覚装置
TWI832130B (zh) 同步自動晶圓定心方法及設備
TW202110602A (zh) 機器人內嵌之視覺設備

Legal Events

Date Code Title Description
E902 Notification of reason for refusal