JP2022551374A - ロボット埋め込み型視覚装置 - Google Patents

ロボット埋め込み型視覚装置 Download PDF

Info

Publication number
JP2022551374A
JP2022551374A JP2022506458A JP2022506458A JP2022551374A JP 2022551374 A JP2022551374 A JP 2022551374A JP 2022506458 A JP2022506458 A JP 2022506458A JP 2022506458 A JP2022506458 A JP 2022506458A JP 2022551374 A JP2022551374 A JP 2022551374A
Authority
JP
Japan
Prior art keywords
robotic arm
arm
substrate
link
image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022506458A
Other languages
English (en)
Other versions
JPWO2021022049A5 (ja
JP7486570B2 (ja
Inventor
モウラ、ジャイロ、テラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Azenta Inc
Original Assignee
Azenta Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Azenta Inc filed Critical Azenta Inc
Publication of JP2022551374A publication Critical patent/JP2022551374A/ja
Publication of JPWO2021022049A5 publication Critical patent/JPWO2021022049A5/ja
Application granted granted Critical
Publication of JP7486570B2 publication Critical patent/JP7486570B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1612Programme controls characterised by the hand, wrist, grip control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1692Calibration of manipulator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/22Matching criteria, e.g. proximity measures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/70Determining position or orientation of objects or cameras
    • G06T7/73Determining position or orientation of objects or cameras using feature-based methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/70Determining position or orientation of objects or cameras
    • G06T7/73Determining position or orientation of objects or cameras using feature-based methods
    • G06T7/74Determining position or orientation of objects or cameras using feature-based methods involving reference images or patches
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/10Terrestrial scenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/50Constructional details
    • H04N23/54Mounting of pick-up tubes, electronic image sensors, deviation or focusing coils
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30204Marker
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Theoretical Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Multimedia (AREA)
  • Data Mining & Analysis (AREA)
  • Quality & Reliability (AREA)
  • Human Computer Interaction (AREA)
  • General Health & Medical Sciences (AREA)
  • Orthopedic Medicine & Surgery (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

基板搬送装置は、搬送チャンバと、駆動セクションと、ロボットアームと、搬送チャンバに対する所定の位置に駆動セクションの取り付けインターフェースを介して取り付けられ、ロボットアームの一部を撮像するように配置された、カメラを備えた撮像システムと、撮像システムに接続され、カメラを用いて、所定の位置まで移動するか、または所定の位置において移動するロボットアームを撮像するように構成された制御装置と、を含み、制御装置が、所定の位置まで近接するか、または所定の位置におけるロボットアームのレジストリでのロボットアームの最初の画像の捕捉を有効にし、制御装置が、ロボットアームの最初の画像と較正画像との比較からロボットアームの位置変量を計算し、ロボットアームの伸長位置を変更させる運動補償係数を判定するように構成されている。最初の画像の捕捉を有効にする各カメラは、取り付けインターフェースの周辺部の内側に配置される。

Description

[関連出願の相互参照]
本出願は、2019年7月30日に出願された米国仮特許出願第62/880,521号の非仮出願であり、その利益を主張し、その開示は全体が本明細書に組み込まれる。
[技術分野]
例示的な実施形態は、概して、基板処理装置、より具体的には、基板搬送装置に関する。
概して、半導体自動化は、クラスタツールに連結されるか、またはクラスタツールを形成するなど、様々なプロセスモジュールステーションによって課される未知かつ高温の変動を伴う真空環境で動作する。ロボット設計などの従来の半導体自動化設計は、基板が空間内でハンドリングされている位置から離れて配置された埋め込み型の位置フィードバック装置に依存する。たとえば、モータアクチュエータの位置を検出するために、アナログまたはデジタルのロータリまたはリニアエンコーダが利用され、この情報は、マニピュレータの想定される運動学モデルを介して空間内のロボットエンドエフェクタの予想位置を計算するために使用される。ロボットアームが動作する環境(熱効果など)によって課せられるロボットアームリンクにおける寸法の変動が大きいため、リンクおよびエンドエフェクタの実際の位置がわからない場合がある。
概して、基板ハンドリング精度のためのさまざまな解決策が提案されてきた。一例として、追加の位置フィードバックループを提供することができるように、視覚システムがプロセスモジュールステーションで使用されてきた。GPSベースなどの他のタイプのロボット位置感知も、ロボットを空間内に配置するだけでなく、それぞれのプロセスモジュールステーションを見つける方法として提案されてきた。他のアプローチでは、エンドエフェクタに対するウェハ/基板のオフセットを補正するために、プロセスモジュールステーションのゲートバルブの近くに配置された反射型またはスルービーム型のレーザーセンサを利用している。これらの解決策は、熱補償付きのアクティブウェハセンタリング(AWC)と呼ばれ得る。AWCの一部のバージョンでは、アーム寸法が熱的に変化するにつれて、プロセスモジュールステーションまたはゲートバルブに配置されるものなどのAWCセンサを使用して、ロボットアームのエンドエフェクタまたはリストの特徴部を追跡することなどによって熱効果が要因のロボットアームリンクの寸法変動も補正する。
上述の基板ハンドリング精度のための従来の解決策は、追加の位置または温度のフィードバック情報をサポートするために、たとえば、クラスタツール(または他の処理装置構成)への(たとえば、コスト、ダウンタイムなどによる)望ましくない変更が必要とされるという点で不十分であり得る。感知技術は、高温または腐食性ガスなどの攻撃的な環境の近くではうまく機能しない場合がある。感知技術は、熱成長(または収縮)を適切に予測するのに十分な情報を提供しない場合がある。
開示された実施形態の前述の態様および他の特徴は、添付の図面に関連して行われた以下の記載において説明される。
本開示の態様を組み込む基板処理装置の概略図である。 本開示の態様を組み込む基板処理装置の概略図である。 本開示の態様を組み込む基板処理装置の概略図である。 本開示の態様を組み込む基板処理装置の概略図である。 本開示の態様による搬送アームの概略図である。 本開示の態様による搬送アームの概略図である。 本開示の態様による搬送アームの概略図である。 本開示の態様による搬送アームの概略図である。 本開示の態様による搬送アームの概略図である。 本開示の態様による基板処理装置の一部の概略図である。 本開示の態様による基板処理装置の一部の概略図である。 本開示の態様による基板処理装置の一部の概略図である。 本開示の態様による基板処理装置の一部の概略図である。 本開示の態様による基板処理装置の基板搬送の概略図である。 本開示の態様による基板処理装置の基板搬送の概略図である。 本開示の態様による基板搬送の例示的なターゲットの概略図である。 本開示の態様による基板処理装置の基板搬送の概略図である。 本開示の態様による熱効果が要因のターゲット位置変換の概略図である。 本開示の態様によるベースライン条件での基板搬送アームの運動学モデルの概略図である。 本開示の態様による非ベースライン条件での基板搬送アームの運動学モデルの概略図である。 本開示の態様による運動学モデルの補正のための例示的な概略の制御装置のフロー図である。 本開示の態様による基板搬送のアームリンクの概略拡大図である。 本開示の態様による機械学習の熱補償モデルのために収集するトレーニングデータの例示的な図である。 本開示の態様によるカメラ測定に関するリンク長の拡張の導出のための例示的な図である。 本開示の態様によるカメラ測定に関するリンク長の拡張の導出のための例示的な図である。 開示された実施形態の1つまたは複数の態様による基板搬送装置の動作方法のフローチャートである。 開示された実施形態の1つまたは複数の態様による基板搬送装置の動作方法のフローチャートである。
図1A~図1Dは、本開示の態様による基板処理装置の例示的な概略図を例示している。本開示の態様は、図面を参照して説明されるが、多くの形態で具体化され得ることを理解されたい。さらに、任意の適切なサイズ、形状、またはタイプの要素または材料が使用され得る。
高精度の基板ハンドリングの概念は、環境の変化にもかかわらず最小の位置変動内の所望の位置に基板を配置することができるという考えに由来している。以下でより詳細に説明されるように、本開示の態様は、図1A~図1Dの基板処理装置または任意の他の適切な基板処理機器/チャンバで見られる密閉または密封された環境などの密閉または密封された環境内での基板搬送配置の精度を改善するための(1つまたは複数の)装置および(1つまたは複数の)方法を提供する。本開示の態様は、基板処理装置およびそのチャンバに変更を加える必要なしに視覚(および/または他の非接触)ベースの感知システムを利用する自己完結型の解決策を提供する。本開示の態様は、基板処理装置の構造に対する変更または修正なしで、真空環境に電子機器を追加することなく、および基板搬送装置のアームおよび/またはマニピュレータの機械的設計への影響を最小限に抑えるか、まったく影響を与えることなく、空間内の基板搬送装置の位置(たとえば、マニピュレータまたはエンドエフェクタの位置)の高精度のフィードバックおよび熱補償を提供する。
本開示の態様によれば、空間内の1つまたは複数のアームリンク位置におけるアームのリンクを備えた基板搬送装置のアーム上の少なくとも1つのポイントまたはターゲットの位置を測定するために、処理システムの大気側(たとえば、基板搬送装置のアームが動作する真空チャンバ/エンバイロメントの外側)に、基板搬送装置の視覚ベースのセンサシステムが提供/配置される。アームリンクの1つの例示的な位置は、アームのボットトップセンターポーズ(またはアームの完全に収縮した位置/配向)または任意の他の適切な所定のポーズである。ボットトップセンターポーズ(または他の所定のアームのポーズ)は、アームの製造時に較正または設定される(たとえば、モータエンコーダのホーム位置またはゼロ位置など)。
視覚ベースのセンサシステムは、基準の位置および温度(たとえば、ベースライン測定値)を確立するために、移送チャンバのベースライン温度でアーム上の少なくとも1つのポイントまたはターゲットを撮像する。アームの運動学は、基板搬送装置の制御装置内で実行するロボットの運動学モデルの正確な調整を提供するために、アームが動作し、移送チャンバ内の温度がベースライン測定値に対して変化するにつれ、新しい測定値で更新され得る。本開示によって提供される視覚ベースのセンサシステムは、基板搬送装置のアームまたは顧客の半導体製造プロセスに干渉しない。
図1Aおよび図1Bを参照すると、たとえば、半導体ツールステーション11090などの処理装置が、開示された実施形態の態様に従って示されている。半導体ツール11090が図面に示されているが、本明細書に記載される開示された実施形態の態様は、任意のツールステーションまたはロボットマニピュレータを利用するアプリケーションに適用することができる。本例では、ツール11090はクラスタツールとして示されているが、開示された実施形態の態様は、たとえば、図1Cおよび図1Dに示され、開示全体が引用により本明細書に組み込まれる、2013年3月19日に発行された「Linearly Distributed Semiconductor Workpiece Processing Tool」と題された米国特許第8,398,355号明細書に記載されるものなどの、線形ツールステーションなどの任意の適切なツールステーションに適用されてもよい。ツールステーション11090は、概して、大気フロントエンド11000、真空ロードロック11010、および真空バックエンド11020を含む。他の態様では、ツールステーションは任意の適切な構成を有し得る。フロントエンド11000、ロードロック11010およびバックエンド11020の各々の構成要素は、たとえば、クラスタ化アーキテクチャ制御などの任意の適切な制御アーキテクチャの一部であり得る制御装置11091に接続され得る。制御システムは、開示全体が引用により本明細書に組み込まれる、2011年3月8日に発行された「Scalable Motion Control System」と題される米国特許第7,904,182号明細書に開示されるものなどの、マスタコントローラ、クラスタコントローラ、および自律リモートコントローラを有する閉ループコントローラであり得る。他の態様では、任意の適切な制御装置および/または制御システムが利用されてもよい。制御装置11091は、本明細書に記載される処理装置を動作させて、基板処理装置の基板保持ステーションの自動基板センタリングおよび/または自動位置決めを有効にし、本明細書に記載されるような基板保持ステーションの位置を基板搬送装置に教示するための非一時的プログラムコードを含む任意の適切なメモリおよび(1つまたは複数の)プロセッサを含む。たとえば、一態様では、制御装置11091は、(たとえば、基板と基板搬送装置のエンドエフェクタとの間の偏心を判定するための)埋め込み型の基板位置決めコマンドを含む。一態様では、基板位置決めコマンドは、基板、および基板が保持されるエンドエフェクタを、1つまたは複数の自動基板センタリングセンサに通過させて、または通して移動させる、埋め込み型のピック/プレイスコマンドであり得る。制御装置は、基板の中心およびエンドエフェクタの基準位置を判定し、エンドエフェクタの基準位置に対する基板の偏心を判定するように構成されている。一態様では、制御装置は、基板搬送装置/ロボットのエンドエフェクタおよび/または搬送アームの1つまたは複数の特徴部に対応する検出信号を受信し、たとえば、処理モジュール内の温度に起因する基板搬送装置または基板搬送装置の構成要素の熱膨張または収縮を判定するように構成されている。
理解され得るように、および本明細書に記載されるように、一態様では、基板ステーションは、真空圧力エンバイロメントを内部に有するプロセスモジュールの内部に配置され、本明細書に記載される自動ティーチングは、真空圧力エンバイロメントを内部に有するプロセスモジュール内で行われる。一態様では、真空圧は、10-5トール以下などの高真空である。一態様では、本明細書に記載される自動センタリングおよび/またはティーチングは、たとえば、(たとえば基板を処理するための)プロセスセキュリティの状態にあるプロセスモジュール内に配置された基板ステーションの特徴部内で行われる。基板を処理するためのプロセスセキュリティの状態は、プロセスモジュールが、プロセス真空または大気をプロセスモジュールに導入する準備ができている清浄状態、または製造ウェハをプロセスモジュールに導入する準備ができている状態で密封されているプロセスモジュールの状態である。
一態様では、フロントエンド11000は、概して、ロードポートモジュール11005と、たとえば、機器フロントエンドモジュール(EFEM)などのミニエンバイロメント11060とを含む。ロードポートモジュール11005は、300mmのロードポート、前面開口または底面開口のボックス/ポッドおよびカセットのためのSEMI規格E15.1、E47.1、E62、E19.5またはE1.9に準拠するボックスオープナ/ローダ・ツー・ツール・スタンダード(BOLTS)インターフェースであり得る。他の態様では、ロードポートモジュールは、200mmのウェハまたは450mmのウェハのインターフェース、または、たとえば、より大きいもしくはより小さいウェハまたはフラットパネルディスプレイ用のフラットパネルなどの任意の他の適切な基板インターフェースとして構成されてもよい。図1Aには2つのロードポートモジュール11005が示されているが、他の態様では、任意の適切な数のロードポートモジュールが、フロントエンド11000に組み込まれてもよい。ロードポートモジュール11005は、オーバーヘッド搬送システム、無人搬送車、有人搬送車、有軌道式無人搬送車から、または任意の他の適切な搬送方法から、基板キャリアまたはカセット11050を受けるように構成され得る。ロードポートモジュール11005は、ロードポート11040を介してミニエンバイロメント11060とインターフェース接続し得る。一態様では、ロードポート11040によって、基板カセット11050とミニエンバイロメント11060との間の基板の通過が可能になる。
一態様では、ミニエンバイロメント11060は、概して、本明細書に記載される開示された実施形態の1つまたは複数の態様を組み込む任意の適切な移送ロボット11013を含む。一態様では、ロボット11013は、たとえば、開示全体が引用により本明細書に組み込まれる、米国特許第6,002,840号明細書に記載されるものなどの軌道搭載型ロボットであり得るか、または他の態様では、任意の適切な構成を有する任意の他の適切な搬送ロボットであり得る。ミニエンバイロメント11060は、複数のロードポートモジュール間の基板移送のための制御された清浄な区域を提供し得る。
真空ロードロック11010は、ミニエンバイロメント11060とバックエンド11020との間に配置され、それらに接続され得る。本明細書で使用されるような真空という用語が、基板が処理される10-5トール以下などの高真空を意味し得ることにも再度留意されたい。ロードロック11010は、概して、大気および真空のスロットバルブを含む。スロットバルブは、大気フロントエンドから基板を積載した後にロードロックを排気するために、および窒素などの不活性ガスを用いてロードロックを通気するときに搬送チャンバ内を真空に維持するために利用される環境分離を提供し得る。一態様では、ロードロック11010は、処理のための所望の位置に基準の基板を整列させるためのアライナ11011を含む。他の態様では、真空ロードロックは、処理装置の任意の適切な位置に配置され、任意の適切な構成および/または計測機器を有してもよい。
真空バックエンド11020は、概して、搬送チャンバ11025、1つまたは複数の処理ステーションまたはモジュール11030、および任意の適切な移送ロボットまたは装置11014を含む。移送ロボット11014は以下で説明され、ロードロック11010とさまざまな処理ステーション11030との間で基板を搬送するために、搬送チャンバ11025内に配置され得る。処理ステーション11030は、様々な堆積、エッチング、または他のタイプのプロセスを介して基板上で動作して、基板上に電気回路または他の所望の構造を形成し得る。典型的なプロセスは、限定されないが、プラズマエッチングまたは他のエッチングプロセスなどの真空を使用する薄膜プロセス、化学蒸着(CVD)、プラズマ蒸着(PVD)、イオン注入などの注入、計測、ラピッドサーマルプロセス(RTP)、ドライストリップ原子層蒸着(ALD)、酸化/拡散、窒化物の形成、真空リソグラフィー、エピタキシー(EPI)、ワイヤボンダおよび蒸発、または真空圧を使用する他の薄膜プロセスを含む。処理ステーション11030は、基板を、搬送チャンバ11025から処理ステーション11030に、またはその逆に通過させることを可能にするために、搬送チャンバ11025に接続される。一態様では、ロードポートモジュール11005およびロードポート11040は、ロードポートに取り付けられたカセット11050が、移送チャンバ11025の真空環境および/または処理ステーション11030の処理真空(たとえば、処理真空および/または真空環境は、処理ステーション11030とカセット11050との間に広がり、それらの間で共通である)と実質的に直接インターフェース接続する(たとえば、一態様では、少なくともミニエンバイロメント11060は省略されるが、他の態様では、真空ロードロック11010も省略され、カセット11050が真空ロードロック11010と同様の方法で真空にポンプダウンされる)ように、真空バックエンド11020に実質的に直接連結される。
ここで図1Cを参照すると、リニア基板処理システム2010の概略平面図が示され、ここでツールインターフェースセクション2012は、概して搬送チャンバ3018の長手方向軸Xに向かって(たとえば、内側に)面しているが、そこからオフセットされるように、搬送チャンバモジュール3018に取り付けられている。搬送チャンバモジュール3018は、引用により前に本明細書に組み込まれた、米国特許第8,398,355号明細書に記載されるように、他の搬送チャンバモジュール3018A、3018I、3018Jをインターフェース2050、2060、2070に取り付けることによって、任意の適切な方向に伸長させられ得る。各搬送チャンバモジュール3018、3019A、3018I、3018Jは、任意の適切な基板搬送部2080を含み、これは、基板を、処理システム2010全体にわたって、たとえば、(一態様では、上記の処理ステーション11030にほぼ類似している)処理モジュールPMへとおよびそこから搬送するための、本明細書に記載される開示された実施形態の1つまたは複数の態様を含み得る。理解され得るように、各チャンバモジュールは、隔離または制御された雰囲気(たとえば、N2、清浄な空気、真空)を保持することが可能であり得る。
図1Dを参照すると、リニア搬送チャンバ416の長手方向軸Xに沿って取られ得るものなどの典型的な処理ツール410の概略立面図が示されている。図1Dに示される開示された実施形態の態様では、ツールインターフェースセクション12は、典型的に、搬送チャンバ416に接続され得る。本態様では、インターフェースセクション12は、ツール搬送チャンバ416の一端を画定し得る。図1Dに見られるように、搬送チャンバ416は、たとえば、インターフェースステーション12と対向する端部に、別のワークピース入口/出口ステーション412を有し得る。他の態様では、搬送チャンバからワークピースを挿入する/取り外すための他の入口/出口ステーションが提供されてもよい。一態様では、インターフェースセクション12および入口/出口ステーション412は、ツールからのワークピースの積載および取り出しを可能にし得る。他の態様では、ワークピースは、一端からツールに積載され、他端から取り外され得る。一態様では、搬送チャンバ416は、1つまたは複数の移送チャンバモジュール18B、18iを有し得る。各チャンバモジュールは、隔離または制御された雰囲気(たとえば、N2、清浄な空気、真空)を保持することが可能であり得る。前に述べたように、図1Dに示される搬送チャンバモジュール18B、18i、ロードロックモジュール56A、56、および搬送チャンバ416を形成するワークピースステーションの構成/配置は、単なる例示であり、他の態様では、搬送チャンバは、任意の所望のモジュール配置で配置されたモジュールを多かれ少なかれ有し得る。示される態様では、ステーション412はロードロックであり得る。他の態様では、ロードロックモジュールが、エンド入口/出口ステーション(ステーション412と同様)間に配置され得るか、または隣接する搬送チャンバモジュール(モジュール18iと同様)が、ロードロックとして動作するように構成され得る。
前にも述べたように、搬送チャンバモジュール18B、18iは、その中に、本明細書に記載される開示された実施形態の1つまたは複数の態様を含み得る、1つまたは複数の対応する搬送装置26B、26iが配置されている。それぞれの搬送チャンバモジュール18B、18iの搬送装置26B、26iは、協働して、搬送チャンバ内に線形に分布されたワークピース搬送システムを提供し得る。本態様では、(図1Aおよび図1Bに例示されるクラスタツールの搬送装置11013、11014にほぼ類似し得る)搬送装置26Bは、一般的なスカラアーム構成を有し得る(しかし、他の態様では、搬送アームは、たとえば、図2Bに示されるような直線状にスライドするアーム214または任意の適切なアームリンケージ機構を有する他の適切なアームなどの、任意の他の所望の配置を有してもよい)。アームリンケージ機構の適切な例は、たとえば、2009年8月25日に発行された米国特許第7,578,649号明細書、1998年8月18日に発行された米国特許第5,794,487号明細書、2011年5月24日に発行された米国特許第7,946,800号明細書、2002年11月26日に発行された米国特許第6,485,250号明細書、2011年2月22日に発行された米国特許第7,891,935号明細書、2013年4月16日に発行された米国特許第8,419,341号明細書、2011年11月10日に出願された「Dual Arm Robot」と題された米国特許出願番号13/293,717および2013年9月5日に出願された「Linear Vacuum Robot with Z Motion and Articulated Arm」と題された米国特許出願番号13/861,693で見られ、これらの開示全体がすべて引用により本明細書に組み込まれる。開示された実施形態の態様では、少なくとも1つの移送アームは、アッパーアーム、バンド駆動フォアアームおよびバンド拘束エンドエフェクタを含む、従来のスカラ(選択的コンプライアント多関節ロボットアーム)タイプの設計から、または伸縮アームもしくは任意の他の適切なアーム設計から導出され得る。移送アームの適切な例は、たとえば、2008年5月8日に出願された「Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism」と題された米国特許出願番号12/117,415および2010年1月19日に発行された米国特許第7,648,327号に見られ、これらの開示全体が引用により本明細書に組み込まれる。移送アームの操作は、互いに独立し得る(たとえば、各アームの伸縮は他のアームから独立している)か、ロストモーションスイッチを介して行われ得るか、またはアームが少なくとも1つの共通の駆動軸を共有するように任意の適切な方法で動作可能にリンクされ得る。さらに他の態様では、搬送アームは、フロッグレッグアーム216(図2A)構成、リープフロッグアーム217(図2D)構成、双方向対称アーム218(図2C)構成などの、任意の他の所望の配置を有し得る。別の態様では、図2Eを参照すると、移送アーム219は、少なくとも第1および第2の関節式アーム219A、219Bを含み、各アーム219A、219Bは、少なくとも2つの基板S1、S2を共通の移送面で並べて保持するように構成されたエンドエフェクタ219Eを含み(エンドエフェクタ219Eの各基板保持位置は、基板S1、S2を掴み、配置するための共通の駆動装置を共有する)、ここで、基板S1、S2間の間隔DXは、並んだ基板保持位置間の固定間隔に対応している。搬送アームの適切な例は、2001年5月15日に発行された米国特許第6,231,297号明細書、1993年1月19日に発行された米国特許第5,180,276号明細書、2002年10月15日に発行された米国特許第6,464,448号明細書、2001年5月1日に発行された米国特許第6,224,319号明細書、1995年9月5日に発行された米国特許第5,447,409号明細書、2009年8月25日に発行された米国特許第7,578,649号明細書、1998年8月18日に発行された米国特許第5,794,487号明細書、2011年5月24日に発行された米国特許第7,946,800号明細書、2002年11月26日に発行された米国特許第6,485,250号明細書、2011年2月22日に発行された米国特許第7,891,935号明細書、2011年11月10日に出願された「Dual Arm Robot」と題された米国特許出願番号13/293,717および2011年10月11日に出願された米国特許出願番号13/270,844で見られ、これらの開示全体がすべて引用により本明細書に組み込まれる。開示された実施形態の態様は、一態様では、たとえば、開示全体が引用により本明細書に組み込まれる、米国特許第8,293,066号明細書および第7,988,398号明細書に記載されるものなどの、線形搬送シャトルの搬送アームに組み込まれる。
図1Dに示される開示された実施形態の態様では、搬送装置26Bのアームは、ピック/プレイス位置からウェハを迅速に交換する搬送を可能にする迅速交換配置と呼ばれるもの(たとえば、基板保持位置からウェハを掴み、その後すぐに同じ基板保持位置にウェハを配置する)を提供するように配置され得る。搬送アーム26Bは、各アームに任意の適切な数の自由度(たとえば、Z軸運動によるショルダおよびエルボジョイントを中心とした独立した回転)を提供するための、任意の適切な駆動セクション(たとえば、同軸に配置された駆動シャフト、並んだ駆動シャフト、水平に隣接するモータ、垂直に積み重ねられたモータなど)を有し得る。図1Dに見られるように、本態様では、モジュール56A、56、30iは、移送チャンバモジュール18B、18i間の隙間に配置され得、適切な(1つまたは複数の)処理モジュール、ロードロックLL、バッファステーション、計測ステーション、または任意の他の所望のステーションを画定し得る。たとえば、ロードロック56A、56およびワークピースステーション30iなどの介在モジュールは、各々、搬送アームと協働して、搬送チャンバの直線軸Xに沿った搬送チャンバの長さにわたる搬送またはワークピースを有効にし得る固定ワークピース支持部/棚56S1、56S2、30S1、30S2を有し得る。例として、(1つまたは複数の)ワークピースは、インターフェースセクション12によって搬送チャンバ416に積載され得る。(1つまたは複数の)ワークピースは、インターフェースセクションの搬送アーム15でロードロックモジュール56Aの(1つまたは複数の)支持体上に位置づけられ得る。ロードロックモジュール56A内の(1つまたは複数の)ワークピースは、モジュール18B内の搬送アーム26Bによってロードロックモジュール56Aとロードロックモジュール56との間で移動させられ得、類似したおよび連続した方法で、(モジュール18i内の)アーム26iによりロードロック56とワークピースステーション30iとの間およびモジュール18i内のアーム26iによりステーション30iとステーション412との間で移動させられ得る。このプロセスは、全体的または部分的に逆にされて、(1つまたは複数の)ワークピースを反対方向に移動させ得る。したがって、一態様では、ワークピースは、軸Xに沿って任意の方向に、搬送チャンバに沿って任意の位置に移動させられ得、搬送チャンバと通信する任意の所望のモジュール(処理モジュールまたは他のモジュール)に積載され、そこから取り出され得る。他の態様では、静的なワークピース支持体または棚を備えた介在搬送チャンバモジュールは、搬送チャンバモジュール18B、18i間に設けられなくてもよい。そのような態様では、隣接する搬送チャンバモジュールの搬送アームは、ワークピースを、直接エンドエフェクタまたは1つの搬送アームから別の搬送アームのエンドエフェクタに通過させて、ワークピースを搬送チャンバに通して移動させ得る。処理ステーションモジュールは、様々な堆積、エッチング、または他のタイプのプロセスを介して基板上で動作して、基板上に電気回路または他の所望の構造を形成し得る。処理ステーションモジュールは、基板を、搬送チャンバから処理ステーションに、またはその逆に通過させることを可能にするために、搬送チャンバモジュールに接続される。図1Dに示される処理装置に類似した一般的な特徴を有する処理ツールの適切な例は、全体が引用により前に組み込まれた、米国特許第8,398,355号明細書に記載されている。
図3を参照すると、基板搬送装置2300(上記のものなど)は、少なくとも1つのマルチリンクまたはスカラアーム2300Aを有するものとして説明されるが、本開示の本態様は、上に記載された、および限定されないが、リープフロッグアーム構成、双方向対称アーム構成、および関節式リスト構成を含むものなどの、任意の適切な搬送アームに等しく適用可能である。概して、搬送装置2300は、アッパーアーム23201、フォアアーム23202、基板ホルダまたはエンドエフェクタ23203(その上に基板保持ステーションを有する)を有する、スカラアーム2300A(概してアーム2300Aと呼ばれる)と、駆動セクション23204とを含む。スカラアーム2300Aのアームセクションを望まれるように移動させるために、制御装置11091が搬送装置2300に接続され得る。他の態様では、アームアセンブリは、任意の他の所望の一般的なスカラ構成を有し得る。たとえば、アセンブリは、複数のフォアアームおよび/または複数の基板ホルダを有し得る。
基板ホルダ23203は、搬送装置2300のリスト23755でシャフトアセンブリ23754によってフォアアーム23202に回転可能に接続されている。基板ホルダ23203は、支持シャフト23698によってフォアアーム23202に回転可能に接続されてもよい。一態様では、基板ホルダ23203は分岐したエンドエフェクタであり得る。基板ホルダ23203は、能動機械的または受動的なエッジ把持を有し得る。他の態様では、基板ホルダ23202は、真空チャックを備えたパドルエンドエフェクタであり得る。フォアアーム23202は、同軸シャフトアセンブリ23675によって、搬送装置2300のエルボ23646でアッパーアーム23201に回転可能に接続されている。基板ホルダ23203は、所定の中心を有し、ここで、基板の中心が本明細書に記載されるものなどの基板処理装置内で基板を搬送するためのエンドエフェクタの所定の中心と一致するように、エンドエフェクタは基板を保持するように構成されている。アッパーアーム23201は、ショルダ23652で駆動セクション23204に回転可能に接続されている。本態様では、アッパーアーム23201およびフォアアーム23202は等しい長さを有するが、他の態様では、アッパーアーム23201は、たとえば、フォアアーム23202よりも長さが短く、またはその逆もしかりであり得る。
示される態様では、駆動セクション23204は、同軸シャフトアセンブリ23660を収容する外部ハウジング23634H、および、たとえば、それぞれのステータ23678a~23678c(およびステータに連結されたそれぞれの駆動シャフト23668a~23668c)の回転位置を判定するためのそれぞれのエンコーダ570、571、572を各々が有する、3つのモータ23662、23664、23666または駆動軸を有し得る。他の態様では、駆動セクションは、3つより多いまたは少ないモータを有し得る。駆動シャフトアセンブリ23660は、3つの駆動シャフト23668a、23668b、23668cを有している。他の態様では、3つより多いまたは少ない駆動シャフトが提供され得る。第1のモータ23662は、ステータ23678a、および内側シャフト23668aに接続されたロータ23680aを備える。第2のモータ23662は、ステータ23678b、および中央シャフト23668bに接続されたロータ23680bを備える。第3のモータ23666は、ステータ23678c、および外側シャフト23668cに接続されたロータ23680cを備える。3つのステータ23678a、23678b、23678cは、ハウジングに沿ったさまざまな垂直高さまたは位置でハウジング23634Hに固定して取り付けられている。本態様では、第1のステータ23678aは下部ステータであり、第2のステータ23678bは中央ステータであり、第3のステータ23678cは上部ステータである。各ステータは、概して、電磁コイルを備える。3つのシャフト23668a、23668b、および23668cは、同軸シャフトとして配置されている。3つのロータ23680a、23680b、23680cは、好ましくは、永久磁石で構成されるが、代替的に、永久磁石を有していない磁気誘導ロータを備えてもよい。搬送装置2300を、駆動シャフトアセンブリ23660が真空環境に配置され、ステータ23678が真空環境の外側に配置されている、真空環境で使用可能とするために、ロータ23680とステータ23678との間にスリーブ23663が配置される。しかし、搬送装置2300が大気環境での使用のみを意図されている場合、スリーブ23663を設ける必要はない。
第1のシャフト23668aは、内側シャフトであり、下部ステータ23678aから伸長する。内側シャフトでは、第1のロータ23680aは下部ステータ23678aと並べられている。中央シャフト23668bは、中央ステータ23678bから上方に伸長する。中央シャフトでは、第2のロータ23680bは第2のステータ23678bと並べられている。外側シャフト23668cは、上部ステータ23678cから上方に伸長する。外側シャフトでは、第3のロータ23680cは上部ステータ23678cと並べられている。各シャフトを、互いにおよびハウジング23634Hに対して独立して回転可能にするために、シャフト23668およびハウジング23634Hの周りにさまざまなベアリングが提供されている。シャフト23668の互いのおよび/またはハウジング23634Hに対する回転位置を制御装置11091に信号で送るために、各シャフト23668には、適切な位置センサ(たとえば、それぞれのエンコーダ570~572など)が提供され得る。光学センサまたは誘導センサなどの、任意の適切なセンサが使用され得る。
外側シャフト23668cは、シャフト23668cおよびアッパーアーム23201が軸Z1を中心に1つのユニットとして一緒に回転するように、アッパーアーム23201に固定して接続されている。図23に示されるように、中央シャフト23668bはアッパーアーム23201における第1のトランスミッション23620に接続され、内側シャフト23668aはアッパーアーム23201における第2のトランスミッション23610に接続されている。第1のトランスミッション23620は、好ましくは、駆動プーリ23622、アイドラプーリ23624、および駆動ケーブルまたはベルト23626を備える。駆動プーリ23622は、中央シャフト23668bの上部に固定して取り付けられ、駆動ベルト23626によってアイドラプーリ23624に接続されている。アイドラプーリ23624は、フォアアーム23202をアッパーアーム23201に接続する同軸シャフトアセンブリ23675の内側シャフト23672の底部に固定して取り付けられている。アッパーアーム23201における第2のトランスミッション23610は、好ましくは、駆動プーリ23612、アイドラプーリ23614、および駆動ベルトまたはケーブル23616を備える。駆動プーリ23612は、駆動セクション23204における同軸シャフトアセンブリ23660の内側シャフト23668aの上部に固定して取り付けられている。アイドラプーリ23614は、フォアアーム23202をアッパーアーム23201に接続する同軸シャフトアセンブリの外側シャフト23674の底部に固定して取り付けられている。駆動ベルト23616によって、駆動プーリ23612をアイドラプーリ23614に接続する。第1のトランスミッション23626のアイドラプーリ23624と駆動プーリ23622との間および第2のトランスミッション23610のアイドラプーリ23614と駆動プーリ23612との間の直径比(たとえば、プーリ比)は、本明細書に記載されるものなどの任意の適切な駆動比であり得る。駆動ベルト23616、23626は、それぞれのアイドラプーリ23614、23624を対応する駆動プーリ23612、23662と同じ方向に回転させるように構成されている(たとえば、駆動プーリ23612、23622の時計回りの回転は、アイドラプーリ23614、23624の時計回りの回転を引き起こす)。
フォアアーム23202をアッパーアーム23201に接続する同軸シャフトアセンブリ23675は、シャフトアセンブリの外側シャフト23674および内側シャフト23672が互いにおよびアッパーアーム23201対して軸Z2を中心に回転することを可能にする適切なベアリングによって、アッパーアーム23201から回転可能に支持されている。同軸シャフトアセンブリ23675の外側シャフト23674は、シャフト23674およびフォアアーム23202がZ2を中心にユニットとして一緒に回転するように、フォアアーム23202に固定して取り付けられている。アッパーアーム23201における第2のトランスミッション23610のアイドラプーリ23614が駆動セクション23204の内側シャフト23668aによって回転させられるときに、フォアアーム23202は軸Z2を中心に回転させられる。したがって、駆動セクション23204の内側シャフト23668aは、フォアアーム23202をアッパーアーム23201に対して独立して回転させるために使用される。
同軸シャフトアセンブリの内側シャフト23672は、フォアアーム23202における第3のトランスミッション23752の駆動プーリ23753に固定して取り付けられている。フォアアーム23202における第3のトランスミッション23752は、好ましくは、駆動プーリ23753、アイドラプーリ23750、および駆動ベルトまたはケーブル23751を備える。アイドラプーリ23750はシャフト23698に固定して取り付けられている。駆動ベルト23751によって、駆動プーリ23753をアイドラプーリ23750に接続する。シャフト23698は、シャフト23698がフォアアーム23202に対して軸Z3を中心に回転することを可能にする適切なベアリングによってフォアアーム23202から回転可能に支持されている。本態様における第3のトランスミッション23752のアイドラプーリ23750と駆動プーリ23753との間の直径比は、本明細書に記載されるものなどの任意の適切な駆動比である。駆動ベルト23751は、アイドラプーリ23750を駆動プーリ23753と同じ方向に回転させるように構成されている(たとえば、駆動プーリ23753の時計回りの回転は、アイドラプーリ23750の時計回りの回転を引き起こす)。
シャフト23698は基板ホルダ23203に固定して取り付けられている。したがって、シャフト23698および基板ホルダ23203は、軸Z3を中心にユニットとして一緒に回転する。第3のトランスミッション23752のアイドラプーリ23750が駆動プーリ23753によって回転させられるときに、基板ホルダ23203は軸Z3を中心に回転させられる。駆動プーリ23753は、続いて、同軸シャフトアセンブリ23675の内側シャフト23672によって回転させられる。アッパーアーム23201における第1のトランスミッション23626のアイドラプーリ23624が駆動セクション23204の中央シャフト23268bによって回転させられるときに、内側シャフト23672は回転させられる。したがって、基板ホルダ23203は、軸Z3を中心にフォアアーム23202およびアッパーアーム23201に対して独立して回転させられ得る。
図4を参照すると、一態様では、搬送装置2300は、アーム2300Aとほぼ類似した2つのスカラアーム25155A、25155Bを含み得る。たとえば、各スカラアーム25155A、25155Bは、アッパーアームリンク25155UA、25155UB、フォアアームリンク25155FA、25155FB、およびエンドエフェクタ25155EA、25155EBを含む。本態様では、エンドエフェクタ25155EA、25155EBはアッパーアームに従属されているが、他の態様では、エンドエフェクタが独立して駆動されてもよい。アーム25155A、25155Bは、3つのリンクスカラアームとして示され、駆動セクション23204に同軸に連結され得、互いに垂直に積み重ねられて、独立したシータ運動(たとえば、4軸駆動を使用する - 駆動シャフト23668dを参照)または実質的に伸縮のないショルダ軸Z1を中心としたユニットとしてのロボットアームの回転である連結されたシータ運動(たとえば、3軸駆動を使用する)を可能にし得る。各アーム25155A、25155Bは、一対のモータによって駆動され、任意の適切な駆動プーリ配置を有し得る。一態様では、各アームのためのショルダプーリ、エルボプーリ、およびリストプーリの間の直径比は、限定しない例示的な目的のために、1:1:2の比率または2:1:2の比率であり得る。たとえば1:1:2の比率を使用して各アームを伸長するために、一対のモータの各モータは、実質的に等しく反対の方向に回転させられる。たとえば2:1:2の比率を使用して各アームを伸長するために、ショルダプーリは実質的に固定され(たとえば実質的に回転しない)、アッパーアームに連結されたモータは回転させられて、アームを伸長する。シータ運動は、モータを実質的に同じ速度で同じ方向に回転させることによって制御される。エンドエフェクタが同じ平面上にある場合、アームの各々の相互のシータ運動は制限されるが、アームが一緒に移動させられると、アームはシータで無限に移動することができる。理解され得るように、エンドエフェクタが同じ平面上にない場合、4軸駆動を使用するときなど、各アームが他のアームから独立して駆動されるときに、各アームはシータで無限に移動することができる。
図5を参照すると、駆動セクション23204は、(本明細書に記載される基板処理装置の任意の適切な搬送チャンバなどの)真空チャンバ599の真空チャンバ壁500に連結されて示されている。真空チャンバが説明されているが、チャンバ599がその中に任意の適切な処理環境を有してもよいことに留意されたい。ここで、駆動セクション23204は、真空チャンバ599内の真空環境を真空チャンバ599の外側を囲む大気環境から実質的に隔離する(または密閉)する隔離バリアを形成するように、真空チャンバ壁500と密閉して連結する取り付けインターフェース510を含む。たとえば、取り付けインターフェース510は、駆動セクション23204を真空チャンバ599に取り付け、周辺部を形成し、周辺部は、周辺部の外側の真空チャンバ599の内部を周辺部510Pの内側の真空チャンバ599の外部から分離する。ロボットアーム2300Aの伸長および収縮が、周辺部510Pの内側に位置するショルダ軸Z1に対するものであることに留意されたい。ここで、駆動セクション23204の少なくとも一部分は、大気環境内に配置されている。取り付けインターフェース510は、取り付けインターフェース510の部分511が真空環境にさらされ、取り付けインターフェース510の別の部分512が大気環境に配置されるように構成されている。
図6を参照すると、搬送装置2300は、真空チャンバ599の内部に取り付けられたアーム2300Aを備えて例示されている。上記のように、アーム2300Aは、アーム2300Aの遠位端にエンドエフェクタ23203を含み、エンドエフェクタ23203は、その上に基板を支持するように構成されている。アーム2300Aは、駆動セクション23204に動作可能に接続され、駆動セクション23204は、(上記のように)少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置(たとえば、図7に例示されるようなボットトップセンターポーズ)から伸長位置に、アーム2300Aを伸長および収縮させ、エンドエフェクタ23203を移動させる、半径方向R(たとえば、図7を参照)における少なくともアーム運動を生成する。搬送装置2300は、取り付けインターフェース510を介して真空チャンバ599に対する所定の位置に取り付けられ、アーム2300Aの少なくとも一部を撮像するように配置された少なくとも1つの撮像センサ601を備えた撮像システム600を含む。各撮像センサ601は、ロボットアーム2300Aが伸長された状態でロボットアーム2300Aのエンドエフェクタ23203の遠位位置に対してショルダ軸に近接して位置づけられている。一態様では、撮像センサ601は、窓605を含むセンサハウジング610内に配置されるか、そうでなければ、そこに連結され、窓605を通って、撮像センサ601の視野が真空チャンバ599の内部に広がる。窓605は、ガラスまたは他の適切な透明材料で構成され得、制御装置11091によって受信される視覚ベースの情報のタイプに応じて選択され得る(たとえば、窓は、撮像センサによって検出されるおよび真空環境と大気環境との間で移動する波長の送信を可能にする光学特性を提供する)。一態様では、窓605の透明度は、撮像センサ601の視野の焦点を(たとえば、真空チャンバ599の内部および/または搬送ロボットの特定の部分に)合わせること、撮像センサ601の視野を拡大すること、および真空チャンバ599の内部のさまざまな/異なる部分を見るように撮像センサ601の視野の方向を変更すること、またはそうでなければ少なくとも部分的に、撮像センサ601の視野および撮像特性を定義すること、の1つまたは複数を行うように構成されたレンズを形成し得る(すなわち、一体型レンズ形状を有する)。他の態様では、窓605は、レンズを受容するように構成され得(すなわち、レンズは窓に連結され得る)、ここで、窓605に連結されたレンズは、撮像センサ601の視野の焦点を合わせること、撮像センサ601の視野を拡大すること、および上記と同様の方法で撮像センサ601の視野の方向を変更すること、の1つまたは複数を行うように構成されている(たとえば、レンズは、窓605に対して固定され得る、または可変/調整可能な視認特性を有し得る広角レンズであり得、ここで、レンズ調整システムが真空チャンバの外部の窓605に配置され得る)。窓605は、真空チャンバ599内の真空環境と真空チャンバ599の外側の大気環境との間の圧力差にわたる境界を形成するように構成されている。窓605は、取り付けインターフェース510の開口606と並べられ得、センサハウジング610および/または窓605は、大気環境にさらされた取り付けインターフェース510の部分512上で取り付けインターフェース510に対して密封される。一態様では、窓605(および開口606)のサイズは、撮像センサ601の開口サイズによって限定されず、そのため、窓は、真空チャンバ599内への制限されない撮像センサ601の視野を提供するために、撮像センサ601の開口よりも大きくなり得る。他の態様では、窓605および窓605の透明度は、取り付けインターフェース510に対してオーバーサイズであり、撮像センサ601は、窓605が撮像センサの視野を(たとえば、広い視野または任意の適切なサイズを有する視野として)真空チャンバ599内に設定するような開口を有している。さらに他の態様では、窓605が、窓用クランプリング615、または、たとえば、アセタールホモポリマー樹脂などの任意の適切な材料で構築された他の適切な留め具によって、取り付けインターフェース510の取り付け部分512に対して保持される場合などでは、センサハウジング610は省略されてもよい。撮像センサ601は、取り付けインターフェース510に取り付けられている、またはそうでなければ、撮像センサ601の視野が窓605および開口606を通って真空チャンバ599の内部に広がるような任意の適切な方法で位置づけられているCCDもしくはCMOSセンサ、赤外線センサ、および/または赤外線カメラなどの、任意の適切な撮像センサであり得る。
制御装置11091は、(たとえば、適切な有線および/または無線接続を介して)撮像システム600に通信可能に接続され、撮像センサ601を用いて、少なくとも1つの独立した駆動軸によって画定される所定の反復可能な位置/ポーズ(たとえば、ボットトップセンターポーズまたは他の所定のポーズ)まで、またはそこにおいて移動するアーム2300Aの少なくとも一部(または本明細書に記載されるようなアーム2300Aに提供される1つまたは複数の印部のセットの少なくとも一部)を撮像するように構成されるか、または他の態様では、撮像センサ601を用いて、少なくとも1つの独立した駆動軸によって画定される経路に沿って所定の位置まで、またはその所定の位置において移動するロボットアーム2300Aの少なくとも一部(または本明細書で記載されるようなアーム上の1つまたは複数の印部のセットの少なくとも一部)を撮像するように構成されている。制御装置は、ロボットアーム2300Aの少なくとも一部、またはマルチリンクロボットアーム2300A上の(本明細書に記載されるような)1つまたは複数の印部701~702のセットの少なくとも一部の最初の画像または後続の画像と較正画像との比較から、ロボットアーム2300Aの少なくとも一部、またはマルチリンクロボットアーム2300Aのエンドエフェクタ23203の基板保持ステーションの位置変量を計算し、位置変量から、ロボットアーム2300Aの伸長位置を変更させる運動補償係数を判定するように構成され、ここで、最初の画像または後続の画像の捕捉を有効にする各撮像センサ601~603は、取り付けインターフェース510の周辺部の内側に配置される。最初の画像または後続の画像で捕捉された1つまたは複数の印部701~702のセットの少なくとも一部は、エンドエフェクタ23203の基板保持ステーションの位置変量を決定するものである。ロボットアーム2300Aの少なくとも一部の最初の画像または後続の画像と較正画像との比較から制御装置によって計算された位置変量は、半径方向の位置変量成分と、半径方向と非ゼロの交差角度で角度をつけられた方向の別の変量成分とを含み、運動補償係数は、半径方向および角度をつけられた方向の少なくとも1つでのロボットアーム2300Aの伸長位置を変化させる(少なくとも、本明細書に記載される図10Aおよび図10Bを参照)。最初の画像または後続の画像で捕捉されたロボットアーム2300Aの少なくとも一部は、基板を上に備えたエンドエフェクタ23203を含み、その基板を備えたエンドエフェクタ23203は、最初の画像または後続の画像で撮像され、制御装置11091は、開示全体が引用により本明細書に組み込まれる、2019年1月25日に出願された「Automatic wafer centering method and apparatus」と題された米国特許出願番号16/257,595に記載される方法と同様の方法でエンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する。
制御装置は、所定の反復可能な位置まで近接するか、もしくはその位置における、または所定の位置まで近接するか、もしくはその所定の位置におけるアーム2300Aのレジストリでのアーム2300Aの少なくとも一部の最初の画像の捕捉を有効にする。アーム2300Aのレジストリは、少なくとも1つの駆動軸が、少なくとも1つの駆動軸のエンコーダ570~572(図5も参照)がホームまたはゼロ位置(たとえば、ホームまたはゼロ位置は、そこから、少なくとも1つの駆動軸の回転(およびアーム伸長)の度合が測定される位置である)にあるような所定の配向で、少なくとも1つの独立した駆動軸へのアーム2300Aの設置時に行われてもよい。上記のように、少なくとも1つの駆動軸のこのホームまたはゼロ位置は、一態様では、ボットトップセンターポーズに対応している。本明細書でさらに説明されるように、図7を簡単に参照すると、ロボットアーム2300Aの少なくとも1つのリンクは、所定の平面に対する位置の線形および回転の特性を記述する特徴部を有し、制御装置11091は、撮像システムで捕捉された特徴部の画像に基づいた位置の線形および回転の特性を登録する。一態様では、アーム位置、または半径(伸長/収縮)方向に対するマルチリンクロボットアーム2300Aの少なくとも1つのリンクの線形および回転の特性の両方を記述するか、そうでなければ特徴づける特徴部は、アーム2300Aに対する熱的効果および他の効果を判定するために撮像センサ601によって撮像される、アーム2300A上の1つまたは複数のターゲットまたは印部701~702のセットを含む。アーム2300Aのレジストリで(所定のレジストリ/較正温度で)、撮像センサ601は、アーム2300Aおよび(1つまたは複数の)ターゲット701~702を撮像して、アーム2300Aを較正し、アーム2300Aの(たとえば、較正画像と呼ばれるものにおける)ベースライン測定値を判定する。
アーム2300Aが取り外されて別のアームと交換される場合、別のアームの較正は、少なくとも1つの駆動軸がホームまたはゼロ位置にある状態で別のアームの(1つまたは複数の)ターゲットを撮像し、別のアームの画像を較正画像と比較することによって単純化され得ることに留意されたい。このように、撮像センサ601は、駆動セクション23204/アーム2300Aに対して予め較正され得、実質的に、駆動セクション23204とともにユニットモジュールとして設置され得る。本開示の態様は、たとえば熱効果による搬送アームに対する影響の解消に関して本明細書で記載されているが、本開示の態様はまた、真空チャンバ599のプロセス環境に侵入することなく本明細書に記載される方法で取り付けインターフェース/フランジ510を介して取り付けられる撮像センサ601の代わりに、またはそれと組み合わせて、上述されるように、非接触熱センサ(たとえば、赤外線センサ、赤外線カメラなど)を含むことなどによって、アームリンクの温度をモニターするように利用されてもよい。
図7を参照すると、例示的な撮像センサ601(または(1つまたは複数の)他の適切なセンサ)の位置が例示されている。図7には、本明細書に記載される方法で取り付けインターフェース510に連結された3つの撮像センサ601~603がある。示される例示的な位置にある3つの撮像センサ601~603は、任意の適切なターゲットで識別されるアーム2300A上のポイント/位置を測定するように利用され得る。たとえば、図8Aおよび図8Bも参照すると、ターゲット700~702は、たとえば、アッパーアーム22301、フォアアーム23202、およびエンドエフェクタ23203に沿うなど、アーム2300Aに沿った(1つまたは複数の)任意の適切な位置に配置され得る。たとえば、ターゲット700はアッパーアーム23201上に配置され得る。ターゲット701は、フォアアーム23202のリスト支持位置に(たとえば、エンドエフェクタ23203をフォアアーム23202に連結するリストの回転軸に)配置され得る。ターゲット702は、エンドエフェクタ23203のリストプレート23203P上に配置され得る。ターゲット700~702は、アーム上に配置され、撮像センサ601~603の1つまたは複数によって撮像されたときに、空間内の所定の平面(たとえば、基板搬送面またはアームリンクが作動する面など)に沿ったそれぞれのアームリンクのそれぞれの位置および配向を提供するように構成されている。ターゲット700~702は、機械加工/エッチング/刻印のプロセスを介してアーム2300Aのそれぞれのリンクに埋め込まれるか、または任意の適切な方法でアーム2300Aに連結され得る。
図8Bで見ることができるように、ターゲット700~702は、十字型構成700A、円形構成700B、および二重十字線構成700Cなどの、任意の適切な構成を有し得る。ターゲット700~702は、それぞれのアームリンクが拡張および収縮すると、ターゲット700~702の形状の識別可能な変化(たとえば、短縮の伸長)が、たとえば、ベースライン測定値と比較されたときに撮像システム600によって検出され得るように、構成され得る。たとえば、図16も参照すると、アーム2300Aは、たとえば、(完全に)収縮したボットトップセンターポーズでの所定の反復可能な位置(または他の所定の位置 - 図7、図8Aおよび図8Cを参照)に移動する(図16、ブロック1601)。所定の反復可能な位置にある間、撮像センサ601は、アーム2300A上のターゲット700~704を撮像し、制御装置11091は、アーム2300A上のターゲット700~704の少なくとも後続の画像を捕捉する(図16、ブロック1602および1603)。後続の画像は較正画像と比較される(図16、ブロック1604)。位置変量が2つの画像の比較に基づいて判定される(図16、ブロック1605)。
他の態様では、それぞれの撮像センサ601~603の視野内のターゲット700~704の位置は、ベースライン測定値と比較して変化し得る。制御装置11091は、ターゲット700~702の形状または位置のこの変化を識別/検出し、基板を掴み、配置するためのアーム2300Aの制御された移動を補正するために、アームリンクの熱膨張/収縮を判定し得る。1つまたは複数のターゲット位置の測定値は、同時にまたは異なる時間に取得され得る。たとえば、図8Aおよび図8Cを参照すると、アームの運動学により、すべてのターゲット測定値を同時に得ることが可能でない場合がある。しかし、測定値は、アーム2300Aの機構および/または運動の制約に対応するためにさまざまなアーム位置で取得することができる(アーム2300Aがリスト支持位置に位置するターゲット701を測定するために伸長されている図8Aおよび図8Cを比較されたい)。これらの測定値がエンドエフェクタ23203の位置全体の熱膨張補償に使用される用途では、アーム2300A上のさまざまな位置からの測定間の時間間隔がアーム/ウェハ熱システムの時定数の一部として限定される、さまざまな時間に、さまざまな位置からの測定値が取得され得る。
空間内の(たとえば、アームプロセス環境内の)正確なアーム位置を追跡するために、撮像システム600は、制御装置11091によって、基準またはベースライン測定値に対するアーム2300Aの変化の追跡を有効にするための情報を得るために利用され得る。基準またはベースラインは、(1つまたは複数の)既知の位置(たとえば、エンコーダ570~572などの適切な位置フィードバック装置によって報告される)および(1つまたは複数の)条件(たとえば、周囲温度など)における測定値(たとえば、画像および/または温度)として画定することができる。たとえば、図5を参照すると、エンコーダ570~572は、制御装置11091に各アーム駆動シャフト23668a~23668cの絶対位置を提供する回転式(または他の適切な)エンコーダであり得る。例示目的のみで、室温では、アームは図7に示されるような位置に存在し得る。図7に示されるアーム2300Aの位置および測定された周囲温度条件において、駆動シャフトエンコーダの位置は、示されるようにアッパーアーム23201およびエンドエフェクタ23203と重なる撮像センサ600~602によって記録されたターゲット画像と一意に相関している。これらの画像は、制御装置11091によって「基準またはベースライン測定値」として利用される。アーム2300Aが経時的に使用され、高温ウェハハンドリング操作を実行すると、アーム2300Aおよびエンドエフェクタ23203の温度が上昇し、その結果、リンク長は基準またはベースライン条件に相対して変化する。制御装置11091は、駆動シャフト23668a~23668cの位置が予め定義された基準位置に達したときにターゲット700~702の画像を捕捉するべく撮像センサ601~603を作動させるように構成されている(たとえば、プログラムされている)。アーム2300Aの熱膨張により、画像内のターゲット700~702の位置および/またはターゲット700~702の形状は、位置および/または配向において変化する。
図9も参照すると、制御装置11091は、それぞれ、ベクトルDPおよび回転Rzによって示されるような、基準/ベースライン画像902に対する「新しい」または後続の画像901におけるターゲット700~702の並進、変形、および/または回転の量を計算するように構成されている。並進、変形、および/または回転の量の計算は、それぞれの撮像センサによって測定することができる各ターゲット700~702に対して実施され得る。本開示の態様によれば、アーム2300A内/上に位置する各ターゲット「i」によって報告される各々の測定された変動の量DPiおよびRziは、制御装置11091によって(制御装置11091の運動学的レゾルバ11091K(図1Aを参照)などによって)、基板搬送装置2300の(アーム2300Aの熱効果を考慮しない運動学モデルと比較したときの)より正確な運動学モデルを計算するように利用することができる。図10A、図10Bおよび図11も参照すると、熱効果に基づく運動学モデルの例示的な補正が例示されている。本例では、2リンクアームが例示されているが、他の態様では、ロボットアームは2つより多いまたは少ないリンクを有し得る。ここで、各アームリンク(たとえば、アッパーアーム23201およびフォアアーム23202など)は、基準周囲温度に相対する熱膨張を受ける。図10Aは、熱膨張なしで制御装置11091によって利用される運動学モデル(たとえば、ベースラインの運動学モデル)(たとえば、アーム長さL1、L2、アーム角度θ1、θ2など)を例示している。図10Bは、熱膨張下の運動学モデルを例示している(たとえば、ここで、dL1およびdL2は、ベースライン寸法にわたるそれぞれのアームリンクの長さの変化を表し、DP1、DP2は、アッパーアーム23201およびフォアアーム23202のターゲット700、704の位置の変化を表している)。撮像システム600からの情報に基づいて制御装置11091で判定されたターゲットの位置の変化DP1、DP2は、空間内のアーム位置をより好適に判定するべく運動学モデルを補正することができるように、リンクの熱膨張dL1およびdL2を推定するように利用される。たとえば、図11を参照すると、制御装置11091は、入力として、たとえば、L1、L2、θ1、θ2、DP1、およびDP2を使用する補償アルゴリズム1100を用いて、dL1およびdL2を判定し、アーム2300Aへの熱効果を補償する補正された運動学モデル1101を生成するように構成されている。制御装置11091は、(本明細書に記載されるものなど)基板処理システムの基板保持位置で基板を掴み、配置するためのアーム2300Aの運動を生成するための運動制御アルゴリズム1102において補正された運動学モデル1101を利用する。
図12を参照して、熱膨張によるアーム2300Aの変化の例示的な判定がより詳細に説明される。上記のように、ターゲット700~704は各々、たとえば撮像システム600による少なくとも1つのターゲット700~704の感知時において、各々のそれぞれのスカラアームリンク23201、23202、23203の温度変化によるスカラアームリンク23201、23202、23203の長さΔLiおよびプーリ効果ΔViの(1つまたは複数の)離散変量の決定因子となる構成を有している。たとえば、少なくとも1つのターゲット700~704は、撮像システム600が所定の位置(たとえば、一態様では、スカラアーム2300の半径方向の運動を伴うオンザフライ)でターゲットを検出するように、スカラアーム2300A上に配置される。ここで、ターゲット700~704は、各スカラアームリンク23201、23202、23203でのさまざまな温度変化ΔTiによる各々の異なるスカラアームリンク23201、23202、23203の異なる離散変量(たとえばΔLi)の各々の区別を判定し、したがって、それぞれの異なる離散変量を区別して適用し、それぞれのプーリ変量ΔViおよびスカラアームの変量に対応する非線形効果(寄与度)を判定する。離散変量は、2016年7月13日に出願された「On the fly automatic wafer centering method and apparatus」と題された米国特許出願番号15/209,497(開示全体が引用により本明細書に組み込まれる)に記載される方法に類似した方法で、変量を所定のデータム基準(たとえば、基準温度TREFおよび基準温度での初期リンク長Li)に関連付ける、対応する比率または膨張係数(KS(i))で表すことができる。
ターゲット700~704の構成は、アッパーアームリンク23201、フォアアームリンク23202、およびエンドエフェクタ23203を有する3リンクスカラアーム2300Aの区別(または異なる離散変量の各々の決定的な区別)を決定するものであるが、他の態様では、ターゲット700~704は、nリンクアーム(たとえば、任意の適切な数のアームリンクを有するアーム)の決定的な区別のための任意の適切な構成を有することができる。ターゲット700~704の構成は、方程式[1]-[4]に関して以下で詳しく説明されるように、ターゲット700~704の位置を感知することから、さまざまな離散変量(ΔLi、ΔVi)または(1つまたは複数の)膨張係数KS(i)の区別を決定するものである。
一態様では、制御装置11091(または制御装置の運動学的レゾルバ11091K)は、少なくともターゲット700~704の検出から、それぞれ各アームリンク23201、23202、23203に対するさまざまな離散変量ΔLiを判定し、エンドエフェクタ23203の基準位置EEC(つまり、ウェハ/エンドエフェクタの中心位置)に対するショルダ軸Z1からのスカラアーム変量(たとえば、使用されている座標系に依存するΔX、ΔYまたはR、θ)を判定する際のさまざまな離散変量を区別するように構成されている。前述のように、変量が各アームリンク23201、23202、23203に対応する膨張係数KS(i)として表されることで、制御装置11091は、ターゲットの検出から、各々の対応するアームリンク23201、23202、23203のさまざまな膨張係数KS(i)間の離散関係を判定するように構成され、離散関係は、エンドエフェクタ23203の基準位置EECに対する変量を判定する際にさまざまな対応するアームリンク23201、23202、23203のさまざまな膨張係数KS(i)を区別する。言い換えれば、制御装置は、運動学的効果レゾルバを含んでおり、運動学的効果レゾルバは、撮像システム600による少なくとも1つのターゲット700~704の検出から、それぞれスカラアーム2300Aの各々の異なるアームリンク23201、23202、23203に対する判定された比例係数KS(i)と各々の異なる離散変量ΔLiとの間の離散関係を判定し、スカラアーム2300Aの半径方向の運動を伴うオンザフライでのスカラアームの変量を判定するように構成されている。少なくとも1つのターゲット700~704の検出から、制御装置11091は、撮像システム600のそれぞれの撮像センサ601によるスカラアーム2300の1回の通過でのスカラアーム2300Aの変量ΔX、ΔYを判定するように構成されている。さらに、制御装置11091(または運動学的レゾルバ11091K)は、温度変化ΔTiによるそれぞれのプーリ(たとえば図3のプーリを参照)の非線形運動学的効果ΔViを解消するように構成され、アームジョイントまたはプーリ軸Z1、Z2、Z3でのさまざまな温度によるそれぞれのプーリのさまざまな非線形運動学的効果ΔViを区別する。非線形運動学的効果ΔViに対応するプーリ変量は、各々のそれぞれのアームリンク23201、23202、23203の対向する両端のプーリ間のプーリ駆動比として表され得る。
図12を参照すると、例示目的および便宜のために、搬送装置は単一のスカラアームを有するものとして例示され、ここで、スカラアーム2300Aのアッパーアームリンク23201およびフォアアームリンク23202は、基準温度TREFで同じ長さLを有するものとして例示されているが、他の態様では、アッパーアームリンクおよびフォアアームリンクは等しくない長さを有してもよい。他の態様では、本開示の態様は任意の適切なアームに適用され得る。さらに、例示目的および便宜のために、スカラアームリンクは、類似した熱膨張係数を有するように類似した材料で構築されるが、他の態様では、アームリンクは、異なる熱膨張係数を有するように異なる材料で構成されてもよい。一態様では、例示目的のために、アッパーアームリンク23201およびフォアアームリンク23202のみがそれぞれのモータ軸によって駆動される一方で、エンドエフェクタ23203はアッパーアームリンク23201に従属している。スカラアーム2300Aは、熱膨張の前後において同じモータ位置で図12に例示されている(熱膨張されたアームは極細線で例示されている)。スカラアームの一般的な運動学は、次のように記述することができる:
基準温度で:
Figure 2022551374000002
Figure 2022551374000003
温度加熱および熱膨張後:
Figure 2022551374000004
Figure 2022551374000005
式中、
Figure 2022551374000006
Figure 2022551374000007
また、G1およびG2は、アッパーアーム対エルボおよびリスト対エルボのプーリギア比である。
較正温度TREFにおいて、アッパーアームリンク23201およびフォアアームリンク23202は各々、長さLを有している。温度変化後、アッパーアームリンク23201の長さはL1として示され、フォアアームリンク23202の長さはL2として示される。
同じモータ位置において、アッパーアーム温度がΔT1だけ変化し、フォアアーム温度がΔT2だけ変化し、アッパーアームリンク23201の熱膨張係数がα1であり、フォアアームリンク23020の熱膨張係数がα2であると想定すると、熱膨張後のアッパーアームの長さL1およびフォアアームの長さL2は次のとおりである:
Figure 2022551374000008
Figure 2022551374000009
式中、膨張係数は以下のとおりに定義される:
Figure 2022551374000010
Figure 2022551374000011
温度は、特に温度が定常状態に上昇する間、スカラアーム2300Aのエンドエフェクタ23203からショルダ軸Z1に分布されるため、温度分布は、プーリの熱膨張率が異なることによりスカラアームジョイント(たとえば、軸Z1、Z2、Z3)でのプーリのプーリ比を変化させる。プーリのこの熱膨張によって、夾角およびエンドエフェクタの配向が変化する。再び図27を参照すると、プーリの温度が異なるがリンクの長さは変わらないと想定した、エンドエフェクタの中心EECに対するプーリ駆動比の変化の影響を示すシミュレーション結果の例が示される。
以下の表は、スカラアーム2300Aのプーリに対する例示的なプーリ駆動比を例示しており、ここで、プーリの位置が特定され、直径は一般的な測定単位で表されている。
Figure 2022551374000012
スカラアーム2300Aに関して、ショルダ軸Z1は、2:1の駆動比を有するプーリを含むトランスミッションでエルボ軸Z2に接続され、リスト軸Z3は、2:1の駆動比を有するプーリを含むトランスミッションでエルボ軸Z2に接続される。
ショルダ軸Z1での温度変化がΔT1であり、エルボ軸での温度変化がΔT2であり、およびαがアームリンク材料の熱係数であると想定すると、エルボ軸Z2に対するショルダ軸Z1のプーリ比は次のように表され得る:
Figure 2022551374000013
方程式[3]および[4]を使用すると以下となる:
Figure 2022551374000014
したがって、プーリ比の変更後の角度は次のとおりである:
Figure 2022551374000015
エンドエフェクタの温度変化がΔT3であると想定すると、リスト軸Z3とフォアアーム軸Z2との間のプーリ比は以下のように表され得る:
Figure 2022551374000016
また、膨張係数は次のように定義することができる:
Figure 2022551374000017
それで:
Figure 2022551374000018
ここで、エンドエフェクタ23203の角度変化は以下のように表すことができる:
Figure 2022551374000019
上に記載される、および図11に例示されるものなどの、「補償アルゴリズム」は、分析的導出または機械学習手法の結果として実施することができ、ここで、空間内の実際のロボットエンドエフェクタの位置を正確にモニターすることができる外部測定装置の支援でトレーニンググリッドを実装することができる。図13は、ロボットアームのエラー補正のための機械学習ベースのモデルを開発するためのトレーニンググリッドデータの取得に関する例を示している。環境条件および利用可能な測定を考慮して、実際のエンドエフェクタの位置は、機械学習ベースのモデルを適切にトレーニングするためのデータを提供するために、さまざまな入力条件に対して外部装置1300(たとえば、カメラまたは他の適切なセンサなど)で測定することができる。
別の態様では、図14Aおよび図14Bは、撮像センサ測定値DP1、DP2に関して、アッパーアーム230201およびフォアアーム23202のリンク熱膨張を計算する方法に関する分析的導出の例を示している。分析的導出が、空間内のロボットエンドエフェクタの位置を正確に判定するために、ロボットの運動学モデルを補正するように、計算されたリンク熱膨張dL1およびdL2を利用し得ることに留意されたい。
ここで図15を参照すると、本開示の態様の例示的な操作が説明される。一態様では、方法1300は、基板搬送装置(上記のものなど)の搬送チャンバ(上記のものなど)を提供する工程を含む(図15、ブロック1301)。搬送チャンバは、基板ステーションモジュール(真空チャンバまたは他の適切な基板保持位置など)と連通している基板搬送開口部125OPを有している。当該方法はさらに、搬送チャンバに接続された取り付けフランジまたはインターフェースマウント510を備え、少なくとも1つの独立した駆動軸を画定するモータ(本明細書に記載されるものなど)を有する駆動セクション23204を提供する工程(図15、ブロック1302)であって、取り付けフランジ510が、駆動セクション23204を搬送チャンバに取り付け、周辺部を形成し、周辺部が、周辺部の外側の搬送チャンバの内部を周辺部の内側の搬送チャンバの外部から分離する工程を含む。当該方法1300はさらに、搬送チャンバ内に取り付けられたエンドエフェクタ23203を有するロボットアーム2300Aを提供する工程を含む(図15、ブロック1303)。ロボットアーム2300Aは、駆動セクション23204に動作可能に接続され、駆動セクション23204は、少なくとも1つの独立した駆動軸を用いて、半径方向Rにおいて、収縮位置から伸長位置に、ロボットアーム2300Aを伸長および収縮させ、エンドエフェクタ23203を移動させる、半径方向Rにおける少なくともアーム運動を生成する。ロボットアーム2300Aが、少なくとも1つの独立した駆動軸によって画定された、本明細書に記載される所定の反復可能な位置の1つにある間に、撮像システム600は、取り付けフランジ510を介して取り付けられた1つまたは複数の撮像センサ601~603を用いて、ロボットアーム2300Aの少なくとも一部を撮像する(図15、ブロック1304)。撮像システム600は、搬送チャンバに対する所定の位置で取り付けインターフェース510に取り付けられ、ロボットアーム2300Aは、所定の反復可能な位置まで、もしくはその位置において移動して、または所定の位置まで、もしくはその位置において移動して撮像される。制御装置11091は、所定の反復可能な位置まで近接するか、もしくはその位置における、または所定の位置まで近接するか、もしくはその所定の位置におけるアーム2300Aのレジストリでロボットアーム2300Aの少なくとも一部の後続の画像を捕捉する(図15、ブロック1305)。後続の画像を用いて、ロボットアーム2300Aの伸長位置を変更させる運動補償係数を判定するために後続の画像と較正画像との比較から位置変量ΔPVが特定され(図15、ブロック1306)、ここで、最初の画像の捕捉を有効にする各撮像センサは、上記のように取り付けフランジの周辺部の内側に配置される。
本開示の態様は、収縮するまたは収縮された位置にあるアーム2300Aに関して説明されているが、本開示の態様がアーム2300Aの伸長のために使用されてもよいことに留意されたい。たとえば、アーム2300Aは、アーム2300Aの較正中に選択される反復可能な伸長位置を有してもよい。反復可能な伸長位置は、たとえば、駆動軸エンコーダデータムからの既知の所定の回転位置(軸駆動のθ回転)を有する処理モジュールにおける基板保持位置であり得る。制御装置11091は、アーム2300Aが反復可能な伸長位置にあることを示すためにエンコーダが既知の所定の回転位置に到達したときにエンコーダから信号を受信する。反復可能な伸長位置にあると、運動補償が、収縮位置にあるアーム2300Aに関する上記とほぼ同様に判定される(すなわち、画像が、捕捉され、予めプログラムされた較正画像と比較される)。
本開示の1つまたは複数の態様によれば、基板搬送装置は、
基板ステーションモジュールとの連通のために配置された基板搬送開口部を備えた搬送チャンバと、
搬送チャンバに接続された取り付けインターフェースを備え、少なくとも1つの独立した駆動軸を画定するモータを有する駆動セクションであって、取り付けインターフェースが、駆動セクションを搬送チャンバに取り付け、周辺部を形成し、周辺部が、周辺部の外側の搬送チャンバの内部を周辺部の内側の搬送チャンバの外部から分離する、駆動セクションと、
ロボットアームであって、ロボットアームが、搬送チャンバの内部に取り付けられ、ロボットアームの遠位端にエンドエフェクタを有し、エンドエフェクタが、その上に基板を支持するように構成され、ロボットアームが、駆動セクションに動作可能に接続され、駆動セクションが、少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置から伸長位置に、ロボットアームを伸長および収縮させ、エンドエフェクタを移動させる、半径方向における少なくともアーム運動を生成する、ロボットアームと、
取り付けインターフェースを介して搬送チャンバに対する所定の位置に取り付けられ、ロボットアームの少なくとも一部を撮像するように配置された、カメラを備えた撮像システムと、
撮像システムに通信可能に接続された制御装置であって、制御装置が、カメラを用いて、少なくとも1つの独立した駆動軸によって画定される経路に沿って所定の位置まで、またはその所定の位置において移動するロボットアームの少なくとも一部を撮像するように構成され、前記制御装置が、所定の位置まで近接するか、またはその所定の位置におけるロボットアームのレジストリでのロボットアームの少なくとも一部の最初の画像の捕捉を有効にする、制御装置と、を備え、
制御装置は、ロボットアームの少なくとも一部の最初の画像と較正画像との比較からロボットアームの少なくとも一部の位置変量を計算し、位置変量から、ロボットアームの伸長位置を変更させる運動補償係数を判定するように構成され、最初の画像の捕捉を有効にする各カメラは、取り付けインターフェースの周辺部の内側に配置される。
本開示の1つまたは複数の態様によれば、制御装置によってロボットアームの少なくとも一部の最初の画像と較正画像との比較から計算された位置変量は、半径方向での位置変量成分、および半径方向と非ゼロの交差角度で角度を付けられた方向での別の変量成分を含み、運動補償係数は、半径方向および角度を付けられた方向の少なくとも1つでロボットアームの伸長位置を変更させる。
本開示の1つまたは複数の態様によれば、最初の画像で捕捉されたロボットアームの少なくとも一部は、基板を上に備えたエンドエフェクタを含み、その基板を備えたエンドエフェクタは、最初の画像で撮像され、制御装置は、エンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する。
本開示の1つまたは複数の態様によれば、ロボットアームの少なくとも1つのリンクは、所定の平面に対する位置の線形および回転の特性を記述する特徴部を有し、制御装置は、撮像システムで捕捉された特徴部の画像に基づいた位置の線形および回転の特性を登録する。
本開示の1つまたは複数の態様によれば、ロボットアームは、ロボットアームのショルダ軸に対して伸長および収縮し、ショルダ軸は、周辺部の内側に位置づけられている。
本開示の1つまたは複数の態様によれば、各カメラは、ロボットアームが伸長された状態でロボットアームのエンドエフェクタの遠位位置に対してショルダ軸に近接して位置づけられている。
本開示の1つまたは複数の態様によれば、方法は、
基板搬送装置の搬送チャンバを提供する工程であって、搬送チャンバが、基板ステーションモジュールとの連通のために配置された基板搬送開口部を有する、工程と、
搬送チャンバに接続された取り付けフランジを備え、少なくとも1つの独立した駆動軸を画定するモータを有する駆動セクションを提供する工程であって、取り付けフランジが、駆動セクションを搬送チャンバに取り付け、周辺部を形成し、周辺部が、周辺部の外側の搬送チャンバの内部を周辺部の内側の搬送チャンバの外部から分離する、工程と、
ロボットアームを提供する工程であって、ロボットアームが、搬送チャンバの内部に取り付けられ、ロボットアームの遠位端にエンドエフェクタを有し、エンドエフェクタが、その上に基板を支持するように構成され、ロボットアームが、駆動セクションに動作可能に接続される、工程と、
少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置から伸長位置に、ロボットアームを伸長および収縮させ、エンドエフェクタを移動させる、半径方向における少なくともロボットアーム運動を生成する工程と、
取り付けフランジを介して搬送チャンバに対する所定の位置に取り付けられた撮像システムのカメラを用いて、少なくとも1つの独立した駆動軸によって画定される経路に沿って、少なくとも1つの独立した駆動軸によって画定された所定の位置まで、またはその所定の位置において移動するロボットアームの少なくとも一部を撮像する工程と、
撮像システムに通信可能に接続された制御装置を用いて、所定の位置まで近接するか、またはその所定の位置におけるロボットアームのレジストリでロボットアームの少なくとも一部の最初の画像を捕捉する工程と、
制御装置を用いて、ロボットアームの少なくとも一部の最初の画像と較正画像との比較からロボットアームの少なくとも一部の位置変量を計算し、位置変量から、ロボットアームの伸長位置を変更させる運動補償係数を判定する工程であって、最初の画像の捕捉を有効にする各カメラが、取り付けフランジの周辺部の内側に配置される、工程と、を含む。
本開示の1つまたは複数の態様によれば、当該方法は、制御装置を用いて、半径方向での位置変量成分、および半径方向と非ゼロの交差角度で角度を付けられた方向での別の変量成分を比較することを含む、ロボットアームの少なくとも一部の最初の画像と較正画像との比較から、位置変量を計算する工程をさらに含み、運動補償係数は、半径方向および角度を付けられた方向の少なくとも1つでロボットアームの伸長位置を変更させる。
本開示の1つまたは複数の態様によれば、最初の画像で捕捉されたロボットアームの少なくとも一部は、基板を上に備えたエンドエフェクタを含み、その基板を備えたエンドエフェクタは、最初の画像で撮像され、当該方法は、制御装置を用いて、エンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する工程をさらに含む。
本開示の1つまたは複数の態様によれば、ロボットアームの少なくとも1つのリンクは、所定の平面に対する位置の線形および回転の特性を記述する特徴部を有し、当該方法は、制御装置を用いて、撮像システムで捕捉された特徴部の画像に基づいた位置の線形および回転の特性を登録する工程をさらに含む。
本開示の1つまたは複数の態様によれば、ロボットアームは、ロボットアームのショルダ軸に対して伸長および収縮し、ショルダ軸は、周辺部の内側に位置づけられている。
本開示の1つまたは複数の態様によれば、各カメラは、ロボットアームが伸長された状態でロボットアームのエンドエフェクタの遠位位置に対してショルダ軸に近接して位置づけられている。
本開示の1つまたは複数の態様によれば、基板搬送装置は、
基板ステーションモジュールとの連通のために配置された基板搬送開口部を備えた搬送チャンバと、
搬送チャンバに接続された取り付けインターフェースを備え、少なくとも1つの独立した駆動軸を画定するモータを有する駆動セクションと、
マルチリンクロボットアームであって、マルチリンクロボットアームが、搬送チャンバの内部に取り付けられ、マルチリンクロボットアームの遠位端にエンドエフェクタを有し、エンドエフェクタが、その上に基板を支持するように構成され、マルチリンクロボットアームが、駆動セクションに動作可能に接続され、駆動セクションが、少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置から伸長位置に、マルチリンクロボットアームを伸長および収縮させ、エンドエフェクタを移動させる、半径方向における少なくともアーム運動を生成する、マルチリンクロボットアームと、
半径方向に対するマルチリンクロボットアームの少なくとも1つのリンクの線形および回転の特性の両方を特徴付ける、マルチリンクロボットアーム上の1つまたは複数の印部のセットと、
取り付けインターフェースを介して搬送チャンバに対する所定の位置に取り付けられ、マルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部を撮像するように配置された、少なくとも1つの撮像センサを備える撮像システムと、
撮像システムに通信可能に接続された制御装置であって、制御装置が、少なくとも1つの撮像センサを用いて、少なくとも1つの独立した駆動軸によって画定される経路に沿って所定の位置まで、またはその所定の位置において移動するマルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部を撮像するように構成され、制御装置が、所定の位置まで近接するか、またはその所定の位置におけるマルチリンクロボットアームのレジストリでのマルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部の最初の画像の捕捉を有効にする、制御装置と、を備え、
制御装置は、マルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部の最初の画像と較正画像との比較からマルチリンクロボットアームのエンドエフェクタの基板保持ステーションの位置変量を計算し、位置変量から、マルチリンクロボットアームの伸長位置を変更させる運動補償係数を判定するように構成され、最初の画像の捕捉を有効にする少なくとも1つの撮像センサは各々、取り付けインターフェースの周辺部の内側に配置される。
本開示の1つまたは複数の態様によれば、取り付けインターフェースが、駆動セクションを搬送チャンバに取り付け、周辺部を形成し、周辺部が、周辺部の外側の搬送チャンバの内部を周辺部の内側の搬送チャンバの外部から分離する。
本開示の1つまたは複数の態様によれば、最初の画像で捕捉された1つまたは複数の印部のセットの少なくとも一部は、エンドエフェクタの基板保持ステーションの位置変量を決定するものである。
本開示の1つまたは複数の態様によれば、制御装置によってマルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部の最初の画像と較正画像との比較から計算された位置変量は、半径方向での位置変量成分、および半径方向と非ゼロの交差角度で角度を付けられた方向での別の変量成分を含み、運動補償係数は、半径方向および角度を付けられた方向の少なくとも1つでマルチリンクロボットアームの伸長位置を変更させる。
本開示の1つまたは複数の態様によれば、最初の画像で捕捉されたマルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部は、基板を上に備えたエンドエフェクタを含み、その基板を備えたエンドエフェクタは、最初の画像で撮像され、制御装置は、エンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する。
本開示の1つまたは複数の態様によれば、マルチリンクロボットアーム上の1つまたは複数の印部のセットは、所定の平面に対する位置の線形および回転の特性を記述し、制御装置は、撮像システムで捕捉された1つまたは複数の印部のセットの画像に基づいた位置の線形および回転の特性を登録する。
本開示の1つまたは複数の態様によれば、マルチリンクロボットアームは、マルチリンクロボットアームのショルダ軸に対して伸長および収縮し、ショルダ軸は、周辺部の内側に位置づけられている。
本開示の1つまたは複数の態様によれば、少なくとも1つの撮像センサは各々、マルチリンクロボットアームが伸長された状態でロボットアームのエンドエフェクタの遠位位置に対してショルダ軸に近接して位置づけられている。
本開示の1つまたは複数の態様によれば、方法は、
基板搬送装置の搬送チャンバを提供する工程であって、搬送チャンバが、基板ステーションモジュールとの連通のために配置された基板搬送開口部を有する、工程と、
搬送チャンバに接続された取り付けフランジを備え、少なくとも1つの独立した駆動軸を画定するモータを有する駆動セクションを提供する工程と、
マルチリンクロボットアームを提供する工程であって、マルチリンクロボットアームが、搬送チャンバの内部に取り付けられ、マルチリンクロボットアームの遠位端にエンドエフェクタを有し、エンドエフェクタが、その上に基板を支持するように構成され、マルチリンクロボットアームが、駆動セクションに動作可能に接続される、工程と、
少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置から伸長位置に、マルチリンクロボットアームを伸長および収縮させ、エンドエフェクタを移動させる、半径方向における少なくともマルチリンクロボットアーム運動を生成する工程と、
半径方向に対するマルチリンクロボットアームの少なくとも1つのリンクの線形および回転の特性の両方を特徴付ける、マルチリンクロボットアーム上の1つまたは複数の印部のセットを提供する工程と、
取り付けフランジを介して搬送チャンバに対する所定の位置に取り付けられた撮像システムの少なくとも1つの撮像センサを用いて、少なくとも1つの独立した駆動軸によって画定される経路に沿って、所定の位置まで、またはその所定の位置において移動するマルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部を撮像する工程と、
撮像システムに通信可能に接続された制御装置を用いて、所定の位置まで近接するか、またはその所定の位置におけるマルチリンクロボットアームのレジストリでマルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部の最初の画像を捕捉する工程と、
制御装置を用いて、マルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部の最初の画像と較正画像との比較からマルチリンクロボットアームの少なくとも一部の位置変量を計算し、位置変量から、マルチリンクロボットアームの伸長位置を変更させる運動補償係数を判定する工程であって、最初の画像の捕捉を有効にする少なくとも1つの撮像センサは各々、取り付けフランジの周辺部の内側に配置される、工程と、を含む。
本開示の1つまたは複数の態様によれば、取り付けフランジが、駆動セクションを搬送チャンバに取り付け、周辺部を形成し、周辺部が、周辺部の外側の搬送チャンバの内部を周辺部の内側の搬送チャンバの外部から分離する。
本開示の1つまたは複数の態様によれば、最初の画像で捕捉された1つまたは複数の印部のセットの少なくとも一部は、エンドエフェクタの基板保持ステーションの位置変量を決定するものである。
本開示の1つまたは複数の態様によれば、当該方法は、制御装置を用いて、半径方向での位置変量成分、および半径方向と非ゼロの交差角度で角度を付けられた方向での別の変量成分を比較することを含む、マルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部の最初の画像と較正画像との比較から、位置変量を計算する工程をさらに含み、運動補償係数は、半径方向および角度を付けられた方向の少なくとも1つでマルチリンクロボットアームの伸長位置を変更させる。
本開示の1つまたは複数の態様によれば、最初の画像で捕捉されたマルチリンクロボットアーム上の1つまたは複数の印部のセットの少なくとも一部は、基板を上に備えたエンドエフェクタを含み、その基板を備えたエンドエフェクタは、最初の画像で撮像され、当該方法は、制御装置を用いて、エンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する工程をさらに含む。
本開示の1つまたは複数の態様によれば、マルチリンクロボットアーム上の1つまたは複数の印部のセットは、所定の平面に対する位置の線形および回転の特性を記述し、当該方法は、制御装置を用いて、撮像システムで捕捉された1つまたは複数の印部のセットの画像に基づいた位置の線形および回転の特性を登録する工程をさらに含む。
本開示の1つまたは複数の態様によれば、マルチリンクロボットアームは、マルチリンクロボットアームのショルダ軸に対して伸長および収縮し、ショルダ軸は、周辺部の内側に位置づけられている。
本開示の1つまたは複数の態様によれば、少なくとも1つの撮像センサは各々、マルチリンクロボットアームが伸長された状態でロボットアームのエンドエフェクタの遠位位置に対してショルダ軸に近接して位置づけられている。
前述の説明が、本開示の態様の例示にすぎないことを理解されたい。本開示の態様から逸脱することなく、当業者によって様々な代替および修正が企図され得る。したがって、本開示の態様は、本明細書に添付された任意の請求項の範囲内にあるすべてのそのような代替、修正、および変形を包含することを意図している。さらに、異なる特徴が相互に異なる従属請求項または独立請求項に記載されているという単なる事実は、これらの特徴の組み合わせが利点を有して使用することができず、そのような組み合わせが本開示の態様の範囲内にとどまることを示すものではない。

Claims (28)

  1. 基板搬送装置であって、前記基板搬送装置が、
    基板ステーションモジュールとの連通のために配置された基板搬送開口部を備えた搬送チャンバと、
    前記搬送チャンバに接続された取り付けインターフェースを備え、少なくとも1つの独立した駆動軸を画定するモータを有する駆動セクションであって、前記取り付けインターフェースが、前記駆動セクションを前記搬送チャンバに取り付け、周辺部を形成し、前記周辺部が、前記周辺部の外側の前記搬送チャンバの内部を前記周辺部の内側の前記搬送チャンバの外部から分離する、駆動セクションと、
    前記搬送チャンバの内部に取り付けられたロボットアームであって、前記ロボットアームが、前記ロボットアームの遠位端にエンドエフェクタを有し、前記エンドエフェクタが、その上に基板を支持するように構成され、前記ロボットアームが、前記駆動セクションに動作可能に接続され、前記駆動セクションが、前記少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置から伸長位置に、前記ロボットアームを伸長および収縮させ、前記エンドエフェクタを移動させる、半径方向における少なくともアーム運動を生成する、ロボットアームと、
    前記取り付けインターフェースを介して前記搬送チャンバに対する所定の位置に取り付けられ、前記ロボットアームの少なくとも一部を撮像するように配置された、カメラを備えた撮像システムと、
    前記撮像システムに通信可能に接続された制御装置であって、前記制御装置が、前記カメラを用いて、前記少なくとも1つの独立した駆動軸によって画定される経路に沿って前記所定の位置まで、または前記所定の位置において移動する前記ロボットアームの少なくとも一部を撮像するように構成され、前記制御装置が、前記所定の位置まで近接するか、または前記所定の位置における前記ロボットアームのレジストリでの前記ロボットアームの少なくとも一部の最初の画像の捕捉を有効にする、制御装置と、
    を備え、
    前記制御装置が、前記ロボットアームの少なくとも一部の前記最初の画像と較正画像との比較から前記ロボットアームの少なくとも一部の位置変量を計算し、前記位置変量から、前記ロボットアームの前記伸長位置を変更させる運動補償係数を判定するように構成され、前記最初の画像の捕捉を有効にする各カメラが、前記取り付けインターフェースの前記周辺部の内側に配置される、基板搬送装置。
  2. 前記制御装置によって前記ロボットアームの少なくとも一部の前記最初の画像と前記較正画像との前記比較から計算された前記位置変量が、前記半径方向での位置変量成分、および前記半径方向と非ゼロの交差角度で角度を付けられた方向での別の変量成分を含み、前記運動補償係数が、前記半径方向および前記角度を付けられた方向の少なくとも1つで前記ロボットアームの前記伸長位置を変更させる、請求項1記載の基板搬送装置。
  3. 前記最初の画像で捕捉された前記ロボットアームの少なくとも一部が、基板を上に備えた前記エンドエフェクタを含み、前記基板を備えた前記エンドエフェクタが、前記最初の画像で撮像され、前記制御装置が、前記エンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する、請求項1記載の基板搬送装置。
  4. 前記ロボットアームの少なくとも1つのリンクが、所定の平面に対する位置の線形および回転の特性を記述する特徴部を有し、前記制御装置が、前記撮像システムで捕捉された特徴部の画像に基づいた位置の線形および回転の特性を登録する、請求項1記載の基板搬送装置。
  5. 前記ロボットアームが、前記ロボットアームのショルダ軸に対して伸長および収縮し、前記ショルダ軸が、前記周辺部の内側に位置づけられている、請求項1記載の基板搬送装置。
  6. 各カメラは、前記ロボットアームが伸長された状態でロボットアームのエンドエフェクタの遠位位置に対して前記ショルダ軸に近接して位置づけられている、請求項5記載の基板搬送装置。
  7. 基板搬送装置の搬送チャンバを提供する工程であって、前記搬送チャンバが、基板ステーションモジュールとの連通のために配置された基板搬送開口部を有する、工程と、
    前記搬送チャンバに接続された取り付けフランジを備え、少なくとも1つの独立した駆動軸を画定するモータを有する駆動セクションを提供する工程であって、前記取り付けフランジが、前記駆動セクションを前記搬送チャンバに取り付け、周辺部を形成し、前記周辺部が、前記周辺部の外側の前記搬送チャンバの内部を前記周辺部の内側の前記搬送チャンバの外部から分離する、工程と、
    ロボットアームを提供する工程であって、前記ロボットアームが、前記搬送チャンバの内部に取り付けられ、前記ロボットアームの遠位端にエンドエフェクタを有し、前記エンドエフェクタが、その上に基板を支持するように構成され、前記ロボットアームが、前記駆動セクションに動作可能に接続される、工程と、
    前記少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置から伸長位置に、前記ロボットアームを伸長および収縮させ、前記エンドエフェクタを移動させる、半径方向における少なくともロボットアーム運動を生成する工程と、
    前記取り付けフランジを介して前記搬送チャンバに対する所定の位置に取り付けられた撮像システムのカメラを用いて、前記少なくとも1つの独立した駆動軸によって画定される経路に沿って、前記所定の位置まで、または前記所定の位置において移動する前記ロボットアームの少なくとも一部を撮像する工程と、
    前記撮像システムに通信可能に接続された制御装置を用いて、前記所定の位置まで近接するか、または前記所定の位置における前記ロボットアームのレジストリで前記ロボットアームの少なくとも一部の最初の画像を捕捉する工程と、
    前記制御装置を用いて、前記ロボットアームの少なくとも一部の前記最初の画像と較正画像との比較から前記ロボットアームの少なくとも一部の位置変量を計算し、前記位置変量から、前記ロボットアームの前記伸長位置を変更させる運動補償係数を判定する工程であって、前記最初の画像の捕捉を有効にする各カメラが、前記取り付けフランジの前記周辺部の内側に配置される、工程と、
    を含む、方法。
  8. 前記制御装置を用いて、前記半径方向での位置変量成分、および前記半径方向と非ゼロの交差角度で角度を付けられた方向での別の変量成分を比較することを含む、前記ロボットアームの少なくとも一部の前記最初の画像と前記較正画像との比較から、位置変量を計算する工程をさらに含み、前記運動補償係数が、前記半径方向および前記角度を付けられた方向の少なくとも1つで前記ロボットアームの前記伸長位置を変更させる、請求項7記載の方法。
  9. 前記最初の画像で捕捉された前記ロボットアームの少なくとも一部が、基板を上に備えた前記エンドエフェクタを含み、前記基板を備えた前記エンドエフェクタが、前記最初の画像で撮像され、前記方法が、前記制御装置を用いて、前記エンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する工程をさらに含む、請求項7記載の方法。
  10. 前記ロボットアームの少なくとも1つのリンクが、所定の平面に対する位置の線形および回転の特性を記述する特徴部を有し、前記方法が、前記制御装置を用いて、前記撮像システムで捕捉された特徴部の画像に基づいた位置の線形および回転の特性を登録する工程をさらに含む、請求項7記載の方法。
  11. 前記ロボットアームが、前記ロボットアームのショルダ軸に対して伸長および収縮し、前記ショルダ軸が、前記周辺部の内側に位置づけられている、請求項7記載の方法。
  12. 各カメラは、前記ロボットアームが伸長された状態でロボットアームのエンドエフェクタの遠位位置に対して前記ショルダ軸に近接して位置づけられている、請求項11記載の方法。
  13. 基板搬送装置であって、前記基板搬送装置が、
    基板ステーションモジュールとの連通のために配置された基板搬送開口部を備えた搬送チャンバと、
    前記搬送チャンバに接続された取り付けインターフェースを備え、少なくとも1つの独立した駆動軸を画定するモータを有する駆動セクションと、
    マルチリンクロボットアームであって、前記マルチリンクロボットアームが、前記搬送チャンバの内部に取り付けられ、前記マルチリンクロボットアームの遠位端にエンドエフェクタを有し、前記エンドエフェクタが、その上に基板を支持するように構成され、前記マルチリンクロボットアームが、前記駆動セクションに動作可能に接続され、前記駆動セクションが、前記少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置から伸長位置に、前記マルチリンクロボットアームを伸長および収縮させ、前記エンドエフェクタを移動させる、半径方向における少なくともアーム運動を生成する、マルチリンクロボットアームと、
    前記半径方向に対する前記マルチリンクロボットアームの少なくとも1つのリンクの線形および回転の特性の両方を特徴付ける、前記マルチリンクロボットアーム上の1つまたは複数の印部のセットと、
    前記取り付けインターフェースを介して前記搬送チャンバに対する所定の位置に取り付けられ、前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部を撮像するように配置された、少なくとも1つの撮像センサを備える撮像システムと、
    前記撮像システムに通信可能に接続された制御装置であって、前記制御装置が、前記少なくとも1つの撮像センサを用いて、前記少なくとも1つの独立した駆動軸によって画定される経路に沿って前記所定の位置まで、または前記所定の位置において移動する前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部を撮像するように構成され、前記制御装置が、前記所定の位置まで近接するか、または前記所定の位置における前記マルチリンクロボットアームのレジストリでの前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部の最初の画像の捕捉を有効にする、制御装置と、
    を備え、
    前記制御装置が、前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部の前記最初の画像と較正画像との比較から前記マルチリンクロボットアームの前記エンドエフェクタの基板保持ステーションの位置変量を計算し、前記位置変量から、前記マルチリンクロボットアームの前記伸長位置を変更させる運動補償係数を判定するように構成され、前記最初の画像の捕捉を有効にする前記少なくとも1つの撮像センサが各々、前記取り付けインターフェースの周辺部の内側に配置される、基板搬送装置。
  14. 前記取り付けインターフェースが、前記駆動セクションを前記搬送チャンバに取り付け、周辺部を形成し、前記周辺部が、前記周辺部の外側の前記搬送チャンバの内部を前記周辺部の内側の前記搬送チャンバの外部から分離する、請求項13記載の基板搬送装置。
  15. 前記最初の画像で捕捉された前記1つまたは複数の印部のセットの少なくとも一部が、前記エンドエフェクタの前記基板保持ステーションの位置変量を決定するものである、請求項13記載の基板搬送装置。
  16. 前記制御装置によって前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部の前記最初の画像と前記較正画像との比較から計算された前記位置変量が、前記半径方向での位置変量成分、および前記半径方向と非ゼロの交差角度で角度を付けられた方向での別の変量成分を含み、前記運動補償係数が、前記半径方向および前記角度を付けられた方向の少なくとも1つで前記マルチリンクロボットアームの前記伸長位置を変更させる、請求項13記載の基板搬送装置。
  17. 前記最初の画像で捕捉された前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部が、基板を上に備えた前記エンドエフェクタを含み、前記基板を備えた前記エンドエフェクタが、前記最初の画像で撮像され、前記制御装置が、前記エンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する、請求項13記載の基板搬送装置。
  18. 前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットが、所定の平面に対する位置の線形および回転の特性を記述し、前記制御装置が、前記撮像システムで捕捉された前記1つまたは複数の印部のセットの画像に基づいた位置の線形および回転の特性を登録する、請求項13記載の基板搬送装置。
  19. 前記マルチリンクロボットアームが、前記マルチリンクロボットアームのショルダ軸に対して伸長および収縮し、前記ショルダ軸が、前記周辺部の内側に位置づけられている、請求項13記載の基板搬送装置。
  20. 前記少なくとも1つの撮像センサが各々、前記マルチリンクロボットアームが伸長された状態でロボットアームのエンドエフェクタの遠位位置に対して前記ショルダ軸に近接して位置づけられている、請求項19記載の基板搬送装置。
  21. 基板搬送装置の搬送チャンバを提供する工程であって、前記搬送チャンバが、基板ステーションモジュールとの連通のために配置された基板搬送開口部を有する、工程と、
    前記搬送チャンバに接続された取り付けフランジを備え、少なくとも1つの独立した駆動軸を画定するモータを有する駆動セクションを提供する工程と、
    マルチリンクロボットアームを提供する工程であって、前記マルチリンクロボットアームが、前記搬送チャンバの内部に取り付けられ、前記マルチリンクロボットアームの遠位端にエンドエフェクタを有し、前記エンドエフェクタが、その上に基板を支持するように構成され、前記マルチリンクロボットアームが、前記駆動セクションに動作可能に接続される、工程と、
    前記少なくとも1つの独立した駆動軸を用いて、半径方向において、収縮位置から伸長位置に、前記マルチリンクロボットアームを伸長および収縮させ、前記エンドエフェクタを移動させる、半径方向における少なくともマルチリンクロボットアーム運動を生成する工程と、
    前記半径方向に対する前記マルチリンクロボットアームの少なくとも1つのリンクの線形および回転の特性の両方を特徴付ける、前記マルチリンクロボットアーム上の1つまたは複数の印部のセットを提供する工程と、
    前記取り付けフランジを介して前記搬送チャンバに対する所定の位置に取り付けられた撮像システムの少なくとも1つの撮像センサを用いて、前記少なくとも1つの独立した駆動軸によって画定される経路に沿って、前記所定の位置まで、または前記所定の位置において移動する前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部を撮像する工程と、
    前記撮像システムに通信可能に接続された制御装置を用いて、前記所定の位置まで近接するか、または前記所定の位置における前記マルチリンクロボットアームのレジストリで前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部の最初の画像を捕捉する工程と、
    前記制御装置を用いて、前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部の前記最初の画像と較正画像との比較から前記マルチリンクロボットアームの少なくとも一部の位置変量を計算し、前記位置変量から、前記マルチリンクロボットアームの前記伸長位置を変更させる運動補償係数を判定する工程であって、前記最初の画像の捕捉を有効にする前記少なくとも1つの撮像センサが各々、前記取り付けフランジの周辺部の内側に配置される、工程と、
    を含む、方法。
  22. 前記取り付けフランジが、前記駆動セクションを前記搬送チャンバに取り付け、周辺部を形成し、前記周辺部が、前記周辺部の外側の前記搬送チャンバの内部を前記周辺部の内側の前記搬送チャンバの外部から分離する、請求項21記載の方法。
  23. 前記最初の画像で捕捉された前記1つまたは複数の印部のセットの少なくとも一部が、前記エンドエフェクタの基板保持ステーションの位置変量を決定するものである、請求項21記載の方法。
  24. 前記制御装置を用いて、前記半径方向での位置変量成分、および前記半径方向と非ゼロの交差角度で角度を付けられた方向での別の変量成分を比較することを含む、前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部の前記最初の画像と前記較正画像との比較から、位置変量を計算する工程をさらに含み、前記運動補償係数が、前記半径方向および前記角度を付けられた方向の少なくとも1つで前記マルチリンクロボットアームの前記伸長位置を変更させる、請求項21記載の方法。
  25. 前記最初の画像で捕捉された前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットの少なくとも一部が、基板を上に備えた前記エンドエフェクタを含み、前記基板を備えた前記エンドエフェクタが、前記最初の画像で撮像され、前記方法が、前記制御装置を用いて、前記エンドエフェクタの所定の基板保持位置に対する基板の偏心を判定する工程をさらに含む、請求項21記載の方法。
  26. 前記マルチリンクロボットアーム上の前記1つまたは複数の印部のセットが、所定の平面に対する位置の線形および回転の特性を記述し、前記方法が、前記制御装置を用いて、前記撮像システムで捕捉された前記1つまたは複数の印部のセットの画像に基づいた位置の線形および回転の特性を登録する工程をさらに含む、請求項21記載の方法。
  27. 前記マルチリンクロボットアームが、前記マルチリンクロボットアームのショルダ軸に対して伸長および収縮し、前記ショルダ軸が、前記周辺部の内側に位置づけられている、請求項21記載の方法。
  28. 前記少なくとも1つの撮像センサが各々、前記マルチリンクロボットアームが伸長された状態でロボットアームのエンドエフェクタの遠位位置に対して前記ショルダ軸に近接して位置づけられている、請求項27記載の方法。
JP2022506458A 2019-07-30 2020-07-30 ロボット埋め込み型視覚装置 Active JP7486570B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962880521P 2019-07-30 2019-07-30
US62/880,521 2019-07-30
US16/942,255 2020-07-29
US16/942,255 US11164769B2 (en) 2019-07-30 2020-07-29 Robot embedded vision apparatus
PCT/US2020/044275 WO2021022049A1 (en) 2019-07-30 2020-07-30 Robot embedded vision apparatus

Publications (3)

Publication Number Publication Date
JP2022551374A true JP2022551374A (ja) 2022-12-09
JPWO2021022049A5 JPWO2021022049A5 (ja) 2024-01-19
JP7486570B2 JP7486570B2 (ja) 2024-05-17

Family

ID=74229819

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022506458A Active JP7486570B2 (ja) 2019-07-30 2020-07-30 ロボット埋め込み型視覚装置

Country Status (6)

Country Link
US (3) US11164769B2 (ja)
EP (1) EP4004971A4 (ja)
JP (1) JP7486570B2 (ja)
KR (1) KR20220042197A (ja)
CN (1) CN115176337A (ja)
WO (2) WO2021022029A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11476139B2 (en) * 2020-02-20 2022-10-18 Brooks Automation Us, Llc Substrate process apparatus
US20220351995A1 (en) * 2021-04-29 2022-11-03 Globalwafers Co., Ltd. Methods and systems of image based robot alignment
WO2023188493A1 (ja) * 2022-03-31 2023-10-05 パナソニックIpマネジメント株式会社 誤差解析方法、誤差解析装置およびプログラム

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999028220A1 (fr) 1997-12-03 1999-06-10 Nikon Corporation Dispositif et procede de transfert de substrats
US20010052392A1 (en) 1998-02-25 2001-12-20 Masahiko Nakamura Multichamber substrate processing apparatus
JP4357619B2 (ja) 1999-02-09 2009-11-04 キヤノンアネルバ株式会社 マルチチャンバシステム
US6630995B1 (en) 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6471464B1 (en) 1999-10-08 2002-10-29 Applied Materials, Inc. Wafer positioning device
JP4696373B2 (ja) 2001-02-20 2011-06-08 東京エレクトロン株式会社 処理システム及び被処理体の搬送方法
US6556887B2 (en) 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7129694B2 (en) 2002-05-23 2006-10-31 Applied Materials, Inc. Large substrate test system
US20060167583A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
US8545165B2 (en) * 2005-03-30 2013-10-01 Brooks Automation, Inc. High speed substrate aligner apparatus
US8167522B2 (en) * 2005-03-30 2012-05-01 Brooks Automation, Inc. Substrate transport apparatus with active edge gripper
US8821099B2 (en) * 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US8260461B2 (en) 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
US9186799B2 (en) * 2011-07-13 2015-11-17 Brooks Automation, Inc. Compact direct drive spindle
KR102578140B1 (ko) * 2011-09-16 2023-09-14 퍼시몬 테크놀로지스 코포레이션 로봇 구동부 및 무선 데이터 커플링
JP6510423B2 (ja) * 2013-01-22 2019-05-08 ブルックス オートメーション インコーポレイテッド 基板搬送部
WO2014197537A1 (en) 2013-06-05 2014-12-11 Persimmon Technologies, Corp. Robot and adaptive placement system and method
US10755960B2 (en) * 2014-11-04 2020-08-25 Brooks Automation, Inc. Wafer aligner
TWI710440B (zh) * 2014-11-10 2020-11-21 美商布魯克斯自動機械公司 工具自動教導方法及設備
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
US10651067B2 (en) 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
TWI793000B (zh) * 2017-03-15 2023-02-11 美商蘭姆研究公司 具有線性真空傳送模組之降低的覆蓋區域平台架構
JP6923346B2 (ja) 2017-04-20 2021-08-18 株式会社Screenホールディングス 基板搬送装置、それを備える基板処理装置および基板搬送装置のティーチング方法
US10923375B2 (en) * 2018-11-28 2021-02-16 Brooks Automation, Inc. Load port module
KR20220031700A (ko) * 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus

Also Published As

Publication number Publication date
US20210043484A1 (en) 2021-02-11
US11164769B2 (en) 2021-11-02
WO2021022049A1 (en) 2021-02-04
US20240153794A1 (en) 2024-05-09
US20220130696A1 (en) 2022-04-28
JP7486570B2 (ja) 2024-05-17
US11810801B2 (en) 2023-11-07
CN115176337A (zh) 2022-10-11
KR20220042197A (ko) 2022-04-04
EP4004971A4 (en) 2023-08-30
WO2021022029A1 (en) 2021-02-04
EP4004971A1 (en) 2022-06-01

Similar Documents

Publication Publication Date Title
US10978330B2 (en) On the fly automatic wafer centering method and apparatus
US11469126B2 (en) Tool auto-teach method and apparatus
JP7486570B2 (ja) ロボット埋め込み型視覚装置
US11764093B2 (en) Automatic wafer centering method and apparatus
CN108027718B (zh) 在传输中自动晶圆定中方法及设备
TW202110602A (zh) 機器人內嵌之視覺設備

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221125

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230310

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230728

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20230728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231010

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20240110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240409

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240507

R150 Certificate of patent or registration of utility model

Ref document number: 7486570

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150