KR20220036340A - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR20220036340A
KR20220036340A KR1020210118626A KR20210118626A KR20220036340A KR 20220036340 A KR20220036340 A KR 20220036340A KR 1020210118626 A KR1020210118626 A KR 1020210118626A KR 20210118626 A KR20210118626 A KR 20210118626A KR 20220036340 A KR20220036340 A KR 20220036340A
Authority
KR
South Korea
Prior art keywords
period
power
pulse signal
power level
pulse
Prior art date
Application number
KR1020210118626A
Other languages
English (en)
Inventor
다카히로 다케우치
겐 고바야시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220036340A publication Critical patent/KR20220036340A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

[과제] 복수의 고주파 전력 펄스 신호를 이용하여 프로세스의 성능을 향상시킨다.
[해결수단] 챔버에 결합된 제1 정합 회로 및 제2 정합 회로와, 제1 정합 회로에 결합되어, 복수의 제1 펄스 사이클을 포함하는 제1 RF 펄스 신호를 생성하고, 제1 펄스 사이클은 제1 기간, 제2 기간 및 제3 기간을 포함하고, 제1 RF 펄스 신호는 제1 기간에 제1 파워 레벨, 제2 기간에 제2 파워 레벨 및 제3 기간에 제3 파워 레벨을 가지고, 제2 정합 회로에 결합되어, 복수의 제2 펄스 사이클을 포함하는 제2 RF 펄스 신호를 생성하고, 제2 펄스 사이클은 제4 기간 및 제5 기간을 포함하고, 제2 RF 펄스 신호의 주파수는 제1 RF 펄스 신호의 주파수보다 낮고, 제2 RF 펄스 신호는 제4 기간에 제4 파워 레벨 및 제5 기간에 제5 파워 레벨을 가지고, 제4 기간은 30 ㎲ 이하이고, 제4 기간은 제1 기간과는 중복되지 않는 제2 RF 생성부와, 제2 정합 회로에 결합되어, 복수의 제3 펄스 사이클을 포함하는 제3 RF 펄스 신호를 생성하고, 제3 펄스 사이클은 제6 기간 및 제7 기간을 포함하고, 제3 RF 펄스 신호의 주파수는 제2 RF 펄스 신호의 주파수보다 낮고, 제3 RF 펄스 신호는 제6 기간에 제6 파워 레벨 및 제7 기간에 제7 파워 레벨을 가지고, 제6 기간은 제1 기간 및 제4 기간과는 중복되지 않는 제3 RF 생성부를 갖는 플라즈마 처리 장치가 제공된다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 개시는 플라즈마 처리 장치 및 플라즈마 처리 방법에 관한 것이다.
예컨대 특허문헌 1은, 2개의 고주파 전원을 가지며, 챔버 상부의 안테나 및 하부 전극(서셉터)에 2주파의 고주파 전력을 공급하는 ICP(Inductively Coupled Plasma) 장치를 제안한다. 2개의 고주파 전원 중, 한쪽의 고주파 전원으로부터 하부 전극에, 예컨대 13 MHz 주파수의 바이어스용 고주파 전력이 공급된다. 챔버의 위쪽에는 안테나가 설치되고, 다른 쪽의 고주파 전원으로부터 안테나의 외측 코일을 구성하는 선로의 중점 또는 그 근방에, 예컨대 27 MHz의 플라즈마 여기용 고주파 전력이 공급된다.
[특허문헌 1] 일본 특허공개 2019-67503호 공보
본 개시는 복수의 고주파(RF; Radio Frequency) 전력 펄스 신호를 이용하여 프로세스의 성능을 향상시킬 수 있는 기술을 제공한다.
본 개시의 일 양태에 의하면, 챔버와, 상기 챔버에 결합된 제1 정합 회로와, 상기 챔버에 결합된 제2 정합 회로와, 상기 제1 정합 회로에 결합되고, 복수의 제1 펄스 사이클을 포함하는 제1 RF 펄스 신호를 생성하도록 구성된 제1 RF 생성부이며, 상기 복수의 제1 펄스 사이클 각각은 제1 기간, 제2 기간 및 제3 기간을 포함하고, 상기 제1 RF 펄스 신호는 상기 제1 기간에 제1 파워 레벨, 상기 제2 기간에 제2 파워 레벨 및 상기 제3 기간에 제3 파워 레벨을 갖는 제1 RF 생성부와, 상기 제2 정합 회로에 결합되고, 상기 복수의 제2 펄스 사이클을 포함하는 제2 RF 펄스 신호를 생성하도록 구성된 제2 RF 생성부이며, 상기 복수의 제2 펄스 사이클 각각은 제4 기간 및 제5 기간을 포함하고, 상기 제2 RF 펄스 신호의 주파수는 상기 제1 RF 펄스 신호의 주파수보다 낮고, 상기 제2 RF 펄스 신호는 상기 제4 기간에 제4 파워 레벨 및 상기 제5 기간에 제5 파워 레벨을 가지고, 상기 제4 기간은 30 ㎲ 이하이고, 상기 제4 기간은 상기 제1 기간과는 중복되지 않는 제2 RF 생성부와, 상기 제2 정합 회로에 결합되고, 상기 복수의 제3 펄스 사이클을 포함하는 제3 RF 펄스 신호를 생성하도록 구성된 제3 RF 생성부이며, 상기 복수의 제3 펄스 사이클 각각은 제6 기간 및 제7 기간을 포함하고, 상기 제3 RF 펄스 신호의 주파수는 상기 제2 RF 펄스 신호의 주파수보다 낮고, 상기 제3 RF 펄스 신호는 상기 제6 기간에 제6 파워 레벨 및 상기 제7 기간에 제7 파워 레벨을 가지고, 상기 제6 기간은 상기 제1 기간 및 상기 제4 기간과는 중복되지 않는 제3 RF 생성부를 갖는 플라즈마 처리 장치가 제공된다.
하나의 측면에 의하면, 복수의 고주파 전력 펄스 신호를 이용하여 프로세스의 성능을 향상시킬 수 있다.
도 1은 실시형태에 따른 플라즈마 처리 시스템의 일례를 도시하는 단면 모식도이다.
도 2는 실시형태에 따른 플라즈마 처리 장치의 일례를 도시하는 도면이다.
도 3은 실시형태에 따른 2개의 바이어스 RF 펄스 신호의 정합 회로의 일례를 도시하는 도면이다.
도 4는 라디칼, 이온, 전자 온도, 이온 에너지, 부생성물의 일례를 도시하는 도면이다.
도 5는 실시형태에 따른 2주파의 고주파 전력 펄스의 펄스 패턴을 도시하는 도면이다.
도 6은 실시형태에 따른 3주파의 고주파 전력 펄스의 펄스 패턴을 도시하는 도면이다.
도 7은 실시형태에 따른 3주파의 고주파 전력 펄스의 펄스 패턴을 도시하는 도면이다.
도 8은 실시형태에 따른 3주파의 고주파 전력 펄스의 펄스 패턴을 도시하는 도면이다.
도 9는 실시형태에 따른 3주파의 고주파 전력 펄스의 펄스 패턴을 도시하는 도면이다.
이하, 도면을 참조하여 본 개시를 실시하기 위한 형태에 관해서 설명한다. 각 도면에 있어서, 동일 구성 부분에는 동일 부호를 붙여, 중복된 설명을 생략하는 경우가 있다.
[플라즈마 처리 시스템]
처음에 도 1 및 도 2를 참조하면서 실시형태에 따른 플라즈마 처리 시스템에 관해서 설명한다. 도 1은 실시형태에 따른 플라즈마 처리 시스템의 일례를 도시하는 단면 모식도이다. 도 2는 실시형태에 따른 플라즈마 처리 장치(1)의 일례를 도시하는 도면이다.
실시형태에 있어서, 플라즈마 처리 시스템은 플라즈마 처리 장치(1) 및 제어부(2)를 포함한다. 플라즈마 처리 장치(1)는, 3개의 고주파 전력 펄스(3개의 RF 펄스 신호)를 챔버(10) 내에 공급함으로써 챔버(10) 내의 처리 가스로부터 플라즈마를 생성하도록 구성되어 있다. 플라즈마 처리 장치(1)는, 2개의 고주파 전력 펄스(2개의 RF 펄스 신호)를 챔버(10) 내에 공급함으로써 챔버(10) 내의 처리 가스로부터 플라즈마를 생성하도록 구성되어도 좋다. 그리고, 플라즈마 처리 장치(1)는 생성된 플라즈마를 기판에 맞힘으로써 기판을 처리한다.
플라즈마 처리 장치(1)는 챔버(10), 기판 지지부(11) 및 플라즈마 생성부를 포함한다. 챔버(10)는 플라즈마 처리 공간(10s)을 규정한다. 또한, 챔버(10)는, 적어도 하나의 처리 가스를 플라즈마 처리 공간(10s)에 공급하기 위한 가스 입구(10a)와, 플라즈마 처리 공간으로부터 가스를 배출하기 위한 가스 출구(10b)를 갖는다. 가스 입구(10a)는 적어도 하나의 가스 공급부(20)에 접속된다.
가스 출구(10b)는 예컨대 챔버(10)의 바닥부에 형성된 배기구이며 배기 시스템(40)에 접속된다. 배기 시스템(40)은 압력 밸브 및 진공 펌프를 포함하여도 좋다. 진공 펌프는 터보 분자 펌프, 러핑 펌프 또는 이들의 조합을 포함하여도 좋다.
기판 지지부(11)는 플라즈마 처리 공간(10s) 내에 배치되어 기판(W)을 지지한다. 플라즈마 생성부는 플라즈마 처리 공간(10s) 내에 공급된 적어도 하나의 처리 가스로부터 플라즈마를 생성하도록 구성된다. 플라즈마 처리 공간(10s)에 있어서 형성되는 플라즈마는 용량 결합 플라즈마(CCP; Capacitively Coupled Plasma), 유도 결합 플라즈마(ICP; Inductively Coupled Plasma)라도 좋다.
제어부(2)는, 본 개시에서 설명하는 다양한 공정을 플라즈마 처리 장치(1)에 실행시키는 컴퓨터 실행 가능한 명령을 처리한다. 제어부(2)는, 여기서 설명하는 다양한 공정을 실행하기 위해 플라즈마 처리 장치(1)의 각 요소를 제어하도록 구성될 수 있다. 실시형태에 있어서, 도 1에 도시하는 것과 같이, 제어부(2)의 일부 또는 전부가 플라즈마 처리 장치(1)에 포함되어도 좋다. 제어부(2)는 예컨대 컴퓨터(21)를 포함하여도 좋다. 컴퓨터(21)는 예컨대 처리부(CPU:Central Processing Unit)(21a), 기억부(21b) 및 통신 인터페이스(21c)를 포함하여도 좋다. 처리부(21a)는 기억부(21b)에 저장된 프로그램에 기초하여 여러 가지 제어 동작을 행하도록 구성될 수 있다. 기억부(21b)는 RAM(Random Access Memory), ROM(Read Only Memory), HDD(Hard Disk Drive), SSD(Solid State Drive) 또는 이들의 조합을 포함하여도 좋다. 통신 인터페이스(21c)는 LAN(Local Area Network) 등의 통신 회선을 통해 플라즈마 처리 장치(1)와의 사이에서 통신하여도 좋다.
이하에, 도 2의 유도 결합 플라즈마 처리 장치를 일례로 하여, 플라즈마 처리 장치(1)의 구성예에 관해서 더욱 설명한다. 플라즈마 처리 장치(1)는 챔버(10)를 포함한다. 챔버(10)는 유전체창(10c) 및 측벽(10d)을 포함한다. 유전체창(10c) 및 측벽(10d)은 챔버(10) 내의 플라즈마 처리 공간(10s)을 규정한다. 또한, 플라즈마 처리 장치(1)는 기판 지지부(11), 가스 도입부(13), 가스 공급부(20), 전력 공급부 및 안테나(14)를 포함한다.
기판 지지부(11)는 챔버(10) 내의 플라즈마 처리 공간(10s)에 배치된다. 안테나(14)는 챔버(10)(유전체창(10c))의 상부에 배치된다.
기판 지지부(11)는 본체부 및 환상 부재(엣지 링)(12)를 포함한다. 본체부는, 기판(웨이퍼)(W)을 지지하기 위한 중앙 영역(기판 지지면)(11a)과, 환상 부재(12)를 지지하기 위한 환상 영역(엣지 링 지지면)(11b)을 갖는다. 본체부의 환상 영역(11b)은 본체부의 중앙 영역(11a)을 둘러싸고 있다. 기판(W)은 본체부의 중앙 영역(11a) 상에 배치되고, 환상 부재(12)는 본체부의 중앙 영역(11a) 상의 기판(W)을 둘러싸도록 본체부의 환상 영역(11b) 상에 배치된다. 실시형태에 있어서, 본체부는 정전 척(111) 및 도전 부재(112)를 포함한다. 정전 척(111)은 도전 부재(112) 상에 배치된다. 도전 부재(112)는 RF 전극으로서 기능하고, 정전 척(111)의 상면은 기판 지지면(11a)으로서 기능한다. 또한, 도시는 생략하지만, 실시형태에 있어서, 기판 지지부(11)는, 정전 척(111) 및 기판(W) 중 적어도 하나를 타겟 온도로 조절하도록 구성되는 온도 조절 모듈을 포함하여도 좋다. 온도 조절 모듈은 히터, 유로 또는 이들의 조합을 포함하여도 좋다. 유로에는 냉매, 전열 가스와 같은 온도 조절 유체가 흐른다. 또한, 챔버(10), 기판 지지부(11) 및 환상 부재(12)는 축(Z)을 중심축으로 하여 축(Z)이 일치하도록 배치된다.
가스 도입부(13)는 가스 공급부(20)로부터의 적어도 하나의 처리 가스를 플라즈마 처리 공간(10s)에 공급하도록 구성된다. 실시형태에 있어서, 가스 도입부(13)는 기판 지지부(11)의 위쪽에 배치되어, 유전체창(10c)에 형성된 중앙 개구부에 부착된다.
가스 공급부(20)는 적어도 하나의 가스 소스(23) 및 적어도 하나의 유량 제어기(22)를 포함하여도 좋다. 실시형태에 있어서, 가스 공급부(20)는 하나 또는 그 이상의 처리 가스를, 각각에 대응의 가스 소스(23)로부터 각각에 대응의 유량 제어기(22)를 통해 가스 도입부(13)에 공급하도록 구성된다. 각 유량 제어기(22)는 예컨대 매스 플로우 컨트롤러 또는 압력 제어식의 유량 제어기를 포함하여도 좋다. 또한, 가스 공급부(20)는 하나 또는 그 이상의 처리 가스의 유량을 변조 또는 펄스화하는 하나 또는 그 이상의 유량 변조 디바이스를 포함하여도 좋다.
전력 공급부는 챔버(10)에 결합되는 RF 전력 공급부(31)를 포함한다. RF 전력 공급부(31)는 3개의 RF 신호(RF 전력)를 기판 지지부(11)의 도전 부재(112) 또는 안테나(14)에 공급하도록 구성된다. 이에 따라, 플라즈마 처리 공간(10s)에 공급된 적어도 하나의 처리 가스로부터 플라즈마가 형성된다. 또한, 플라즈마 생성부는, 플라즈마 처리 공간(10s) 내에 적어도 하나의 처리 가스를 공급하는 가스 공급부(20)와 RF 전력 공급부(31)를 포함하여, 처리 가스로부터 플라즈마를 생성하도록 구성되어도 좋다.
안테나(14)는 하나 또는 복수의 코일을 포함한다. 실시형태에 있어서, 안테나(14)는 동축 상에 배치된 외측 코일 및 내측 코일을 포함하여도 좋다. 이 경우, RF 전력 공급부(31)는, 외측 코일 및 내측 코일 양쪽에 접속되어도 좋고, 외측 코일 및 내측 코일 중 어느 한쪽에 접속되어도 좋다. 전자의 경우, 동일한 RF 생성부가 외측 코일 및 내측 코일 양쪽에 접속되어도 좋고, 별개의 RF 생성부가 외측 코일 및 내측 코일에 따로따로 접속되어도 좋다.
실시형태에 있어서, RF 전력 공급부(31)는 소스 RF 생성부(31a), 제1 바이어스 RF 생성부(31b) 및 제2 바이어스 RF 생성부(31c)를 포함한다. 소스 RF 생성부(31a)는 안테나(14)에 결합되고, 제1 바이어스 RF 생성부(31b) 및 제2 바이어스 RF 생성부(31c)는 도전 부재(112)에 결합된다. 소스 RF 생성부(31a)는, 제1 정합 회로(33)를 통해 안테나(14)에 접속되어, 플라즈마 생성용의 제1 RF 펄스 신호(이하, HF 전력이라고도 한다.)를 생성하도록 구성된다. 실시형태에 있어서, 제1 RF 펄스 신호는 20 MHz∼60 MHz 범위 내의 주파수를 갖는다. 생성된 제1 RF 펄스 신호는 안테나(14)에 공급된다. 제1 RF 펄스 신호는 복수의 제1 펄스 사이클을 포함하고, 복수의 제1 펄스 사이클 각각은 제1 기간, 제2 기간 및 제3 기간을 포함한다. 제1 RF 펄스 신호는 제1 기간에 제1 파워 레벨, 제2 기간에 제2 파워 레벨 및 제3 기간에 제3 파워 레벨을 갖는다. 제1 RF 펄스 신호는 적어도 3개의 파워 레벨을 가지고, 각 파워 레벨은 0 이상이다. 따라서, 제1 RF 펄스 신호는 High/Middle/Low 파워 레벨을 갖더라도 좋으며, 이들은 0보다 크다. 또한, 제1 RF 펄스 신호는 High/Low 파워 레벨 및 제로 파워 레벨(Off)을 갖더라도 좋다. 소스 RF 생성부(31a)는, 제1 정합 회로(33)에 결합되어, 복수의 제1 펄스 사이클을 포함하는 제1 RF 펄스 신호를 생성하도록 구성된 제1 RF 생성부의 일례이다.
또한, 제1 바이어스 RF 생성부는, 제2 정합 회로(34) 및 급전 라인(37)을 통해 기판 지지부(11)의 도전 부재(112)에 접속되어, 제2 RF 펄스 신호(이하, LF1 전력이라고도 한다.)를 생성하도록 구성된다. 생성된 제2 RF 펄스 신호는 기판 지지부(11)의 도전 부재(112)에 공급된다. 실시형태에 있어서, 제2 RF 펄스 신호는 제1 RF 펄스 신호보다 낮은 주파수를 갖는다. 실시형태에 있어서, 제2 RF 펄스 신호는 1 MHz∼15 MHz 범위 내의 주파수를 갖는다. 제2 RF 펄스 신호는 제4 기간에 제4 파워 레벨 및 상기 제5 기간에 제5 파워 레벨을 갖는다. 제4 기간은 30 ㎲ 이하이다. 따라서, 제2 RF 펄스 신호는 High/Low 파워 레벨을 갖더라도 좋으며, 이들은 0보다 크다. 또한, 제2 RF 펄스 신호는 0보다 큰 파워 레벨 및 제로 파워 레벨, 즉, 온/오프 신호를 갖더라도 좋다. 제1 바이어스 RF 생성부는, 제2 정합 회로(34)에 결합되어, 복수의 제2 펄스 사이클을 포함하는 제2 RF 펄스 신호를 생성하도록 구성된 제2 RF 생성부의 일례이다.
또한, 제2 바이어스 RF 생성부는, 제2 정합 회로(34) 및 급전 라인(37)을 통해 기판 지지부(11)의 도전 부재(112)에 접속되어, 제3 RF 펄스 신호(이하, LF2 전력이라고도 한다.)를 생성하도록 구성된다. 생성된 제3 RF 펄스 신호는 기판 지지부(11)의 도전 부재(112)에 공급된다. 실시형태에 있어서, 제3 RF 펄스 신호는 제2 RF 펄스 신호보다 낮은 주파수를 갖는다. 실시형태에 있어서, 제3 RF 펄스 신호는 100 kHz∼4 MHz 범위 내의 주파수를 갖는다. 제3 RF 펄스 신호는 제6 기간에 제6 파워 레벨 및 제7 기간에 제7 파워 레벨을 갖는다. 제3 RF 펄스 신호는 적어도 2개의 파워 레벨을 가지고, 각 파워 레벨은 0 이상이다. 따라서, 제3 RF 펄스 신호는 High/Low 파워 레벨을 갖더라도 좋으며, 이들은 0보다 크다. 또한, 제3 RF 펄스 신호는, 0보다 큰 파워 레벨 및 제로 파워 레벨, 즉, 온/오프 신호를 갖더라도 좋다. 제2 바이어스 RF 생성부는, 제2 정합 회로(34)에 결합되어, 복수의 제3 펄스 사이클을 포함하는 제3 RF 펄스 신호를 생성하도록 구성된 제3 RF 생성부의 일례이다.
이와 같이, 제1 RF 펄스 신호, 제2 RF 펄스 신호 및 제3 RF 펄스 신호는 펄스화된다. 제2 RF 펄스 신호 및 제3 RF 펄스 신호는 온 상태와 오프 상태 사이 혹은 2 이상의 다른 온 상태(High/Low) 사이에서 펄스화된다. 제1 RF 펄스 신호는 2 이상의 다른 온 상태(High/Low)와 오프 상태 사이 혹은 3 이상의 다른 온 상태(High/Middle/Low) 사이에서 펄스화된다. 제1 RF 펄스 신호는 온 상태와 오프 상태 사이 혹은 2개의 다른 온 상태(High/ Low) 사이에서 펄스화되어도 좋다.
제1 정합 회로(33)는, 소스 RF 생성부(31a) 및 안테나(14)에 접속되어, 안테나(14)를 통해 챔버(10)에 접속된다. 제1 정합 회로(33)는 제1 RF 펄스 신호가 소스 RF 생성부(31a)로부터 제1 정합 회로(33)를 통해 안테나(14)에 공급되는 것을 가능하게 한다. 또한, 제1 정합 회로(33)는 다른 플라즈마 처리 장치에 있어서는 안테나(14) 이외의 구성에 접속되어도 좋다. 예컨대 2개의 대향하는 전극을 포함하는 용량 결합 플라즈마 처리 장치에 있어서는 제1 정합 회로(33)는 2개의 전극 중 한쪽에 접속되어도 좋다.
제2 정합 회로(34)는 제1 바이어스 RF 생성부(31b), 제2 바이어스 RF 생성부(31c) 및 기판 지지부(11)(도전 부재(112))에 접속된다. 제2 정합 회로(34)는 제2 RF 펄스 신호가 제1 바이어스 RF 생성부(31b)로부터 제2 정합 회로(34)를 통해 기판 지지부(11) 에 공급되는 것을 가능하게 한다. 또한, 제2 정합 회로(34)는 제3 RF 펄스 신호가 제2 바이어스 RF 생성부(31c)로부터 제2 정합 회로(34)를 통해 기판 지지부(11)에 공급되는 것을 가능하게 한다.
제어부(2)는 소스 RF 생성부(31a), 제1 바이어스 RF 생성부(31b) 및 제2 바이어스 RF 생성부(31c) 각각에 각 펄스 신호의 공급을 지시하는 제어 신호를 출력한다. 이에 따라, 미리 정해진 타이밍에 복수의 펄스 사이클을 포함하는 제1 RF 펄스 신호, 제2 RF 펄스 신호 및 제3 RF 펄스 신호가 공급되어, 챔버(10) 내의 처리 가스로부터 플라즈마가 생성된다. 그리고, 생성된 플라즈마를 기판에 맞힘으로써 기판 처리가 이루어진다. 이에 따라, 프로세스의 효능을 향상시켜, 고정밀도의 기판 처리를 가능하게 한다. 제어부(2)에 의한 제1 RF 펄스 신호, 제2 RF 펄스 신호 및 제3 RF 펄스 신호의 온·오프 상태 또는 0 이상의 파워 레벨의 제어 타이밍에 관해서는 후술한다.
[제2 정합 회로의 내부 구성의 일례]
이어서, 제2 정합 회로(34)의 구성의 일례에 관해서 도 3을 참조하면서 설명한다. 도 3은 실시형태에 따른 제2 정합 회로(34)의 내부 구성의 일례를 도시하는 도면이다.
제1 바이어스 RF 생성부(31b) 및 제2 바이어스 RF 생성부(31c)는 제2 정합 회로(34) 및 급전 라인(37)을 통해 기판 지지부(11)(도전 부재(112))에 접속된다. 제1 바이어스 RF 생성부(31b)로부터 공급되는 제2 RF 펄스 신호를 이하의 설명에서는 LF1 전력(LF1 Power)이라고도 표기한다. 또한, 제2 바이어스 RF 생성부(31c)로부터 공급되는 제3 RF 펄스 신호를 이하의 설명에서는 LF2 전력(LF2 Power)이라고도 표기한다.
제1 바이어스 RF 생성부(31b)로부터 공급되는 제2 RF 펄스 신호(LF1 전력)가 제2 정합 회로(34) 내의 급전 라인(36)을 통해 반대쪽(제2 바이어스 RF 생성부(31c) 측)에 결합하면, 챔버(10)에 공급되는 LF1 전력의 공급 효율이 저하한다. 마찬가지로, 제2 바이어스 RF 생성부(31c)로부터 공급되는 제3 RF 펄스 신호(LF2 전력)가 급전 라인(36)을 통해 반대쪽(제1 바이어스 RF 생성부(31b) 측)에 결합하면, 챔버(10)에 공급되는 LF2 전력의 공급 효율이 저하한다. 그렇다면, 챔버(10)에의 바이어스 전력의 공급이 저하하기 때문에, 이온 에너지의 제어 등이 어렵게 되어, 프로세스의 성능이 악화한다.
그래서, 본 실시형태에 따른 제2 정합 회로(34)는 제1 조정 회로(34b1), 제1 분리 회로(34b2), 제2 조정 회로(34c1), 제2 분리 회로(34c2)를 갖는다. 제1 조정 회로(34b1) 및 제1 분리 회로(34b2)는 제1 바이어스 RF 생성부(31b)와 급전 라인(37)의 사이에 접속된다. 제2 조정 회로(34c1) 및 제2 분리 회로(34c2)는 제2 바이어스 RF 생성부(31c)와 급전 라인(37)의 사이에 접속된다. 이러한 구성에 의해, 제1 바이어스 RF 생성부(31b)에 있어서 생성된 제2 RF 펄스 신호(LF1 전력)가 제2 바이어스 RF 생성부(31c)에의 결합을 억제하면서 기판 지지부(11)(도전 부재(112))에 공급된다. 또한, 제2 바이어스 RF 생성부(31c)에 있어서 생성된 제3 RF 펄스 신호(LF2 전력)가 제1 바이어스 RF 생성부(31b)에의 결합을 억제하면서 기판 지지부(11)(도전 부재(112))에 공급된다.
제1 조정 회로(34b1)는, 가변 소자를 가지며, 제1 바이어스 RF 생성부(31b)의 부하 측( 기판 지지부(11) 측)의 임피던스를, 제1 바이어스 RF 생성부(31b)의 출력 임피던스에 정합시키도록 구성되어 있다. 일 실시형태에 있어서, 제1 조정 회로(34b1)의 가변 소자는 가변 콘덴서이다.
제2 분리 회로(34c2)는, 제2 바이어스 RF 생성부(31c)와 기판 지지부(11)의 사이에 접속되어, 제1 바이어스 RF 생성부(31b)로부터의 LF1 전력인 제2 RF 펄스 신호의 결합을 방지한다.
제2 조정 회로(34c1)는, 가변 소자를 가지며, 제2 바이어스 RF 생성부(31c)의 부하 측( 기판 지지부(11) 측)의 임피던스를, 제2 바이어스 RF 생성부(31c)의 출력 임피던스에 정합시키도록 구성되어 있다. 일 실시형태에 있어서, 제2 조정 회로(34c1)의 가변 소자는 가변 인덕터이다.
제1 분리 회로(34b2)는, 제1 바이어스 RF 생성부(31b)와 기판 지지부(11)의 사이에 접속되며, 제2 바이어스 RF 생성부(31c)로부터의 LF2 전력인 제3 RF 펄스 신호의 결합을 방지한다.
제2 분리 회로(34c2)는 인덕터(L2)를 포함하는 RF 쵸크 회로이다. 제1 분리 회로(34b2)는 콘덴서(C1)와 인덕터(L1)를 포함하는 공진 회로이다. 제1 분리 회로(34b2)는 콘덴서(C1)와 인덕터(L1)에 의해 구성된다. 제2 분리 회로(34c2)는 인덕터(L2)에 의해 구성된다.
제1 분리 회로(34b2)는, 제2 RF 펄스 신호로부터는 임피던스가 0 또는 0 가까이로 보이고, 제3 RF 펄스 신호로부터는 임피던스가 높고, 제1 바이어스 RF 생성부(31b) 측이 벽으로 보이도록 C1과 L1의 회로 상수를 설정한다. 이에 따라, 제1 분리 회로(34b2)에 있어서 제3 RF 펄스 신호로부터 본 임피던스를 ZLF2로 하고, 플라즈마의 부하 임피던스를 Zchamber로 표기하면, ZLF2>>Zchamber가 성립한다.
또한, 제2 분리 회로(34c2)는, 제3 RF 펄스 신호로부터는 임피던스가 0 또는 0 가까이로 보이고, 제2 RF 펄스 신호로부터는 임피던스가 높고, 제2 바이어스 RF 생성부(31c) 측이 벽으로 보이도록 L2의 회로 상수를 설정한다. 이에 따라, 제2 분리 회로(34c2)에 있어서 제2 RF 펄스 신호로부터 본 임피던스를 ZLF1로 하면, ZLF1>>Zchamber가 성립한다.
이와 같이, 제1 분리 회로(34b2)의 회로 상수를 상기한 것과 같이 설정함으로써, 제1 분리 회로(34b2)에서는 임피던스 ZLF2가 플라즈마의 부하 임피던스 Zchamber보다 훨씬 커진다. 이에 따라, 제1 분리 회로(34b2)는, 제2 바이어스 RF 생성부(31c)로부터의 제3 RF 펄스 신호의 결합을 방지한다(도 3의 「LF2 Power→×」). 이 결과, LF2 전력은 급전 라인(37)을 통해 챔버(10) 내에 공급되고, 이로써 LF2 전력의 공급 효율의 저하를 억제할 수 있다.
마찬가지로, 제2 분리 회로(34c2)의 회로 상수를 상기한 것과 같이 설정함으로써, 제2 분리 회로(34c2)에서는 임피던스 ZLF1가 플라즈마의 부하 임피던스 Zchamber보다 훨씬 커진다. 이에 따라, 제2 분리 회로(34c2)는 제1 바이어스 RF 생성부(31b)로부터의 제2 RF 펄스 신호의 결합을 방지한다(도 3의 「LF1 Power→×」). 이 결과, LF1 전력은 급전 라인(37)을 통해 챔버(10) 내에 공급되고, 이로써 LF1 전력의 공급 효율의 저하를 억제할 수 있다.
이러한 구성에 의해, 다른 주파수를 갖는 2개의 바이어스 전력(LF1 전력 및 LF2 전력)의 펄스 신호를 기판 지지부(11)에 효율적으로 공급할 수 있다.
[펄스 신호]
예컨대 어스펙트비가 높은 깊은 구멍을 에칭하는 프로세스의 경우, HF 전력, LF1 전력 및 LF2 전력의 펄스 신호를 이용하여, 이온의 입사각을 수직으로 하거나 마스크 선택비를 높이거나 할 수 있다.
도 4는 라디칼, 이온, 전자 온도, 이온 에너지, 부생성물의 일례를 도시하는 도면이다. 도 4의 횡축은 RF 전력의 공급을 정지(오프)한 후의 경과 시간(1 주기)을 나타낸다. 도 4의 종축은 오프 시간에 있어서의 라디칼(Radical), 이온(Ions), 전자 온도(Te), 이온 에너지(εl), 부생성물(By-products)의 각 시간에 있어서의 상태를 나타낸다.
이에 따르면, 라디칼(Radical)은, RF 전력을 오프 상태로 하고 나서의 변화가 완만한데 대하여, 이온(Ions) 및 플라즈마 온도(Te)는 RF 전력을 오프 상태로 하고 나서의 변화가 라디칼보다 빠르다. 이러한 플라즈마 중 라디칼이나 이온의 감쇠나 에너지의 변화 등을 고려하여 HF 전력 및 LF 전력(예컨대 LF1 전력 및 LF2 전력)의 펄스 신호를 제어한다. HF 전력을 오프 상태로 한 후에 공급하는 LF 전력의 펄스 신호의 일례로서는, 플라즈마 온도(Te)가 높은 초기 시간은 LF 전력을 오프 상태로 하고, 플라즈마 온도(Te)가 저하한 후에 LF 전력을 온 상태로 하는 제어를 생각할 수 있다. 이에 따르면, 이온은 아직 남아 있지만, 플라즈마 온도(Te)가 낮은 시간에 LF 전력을 이용하여 이온의 기판으로의 인입을 효율적으로 행할 수 있다.
HF 전력을 오프 상태로 한 후에 공급하는 LF 전력의 펄스 신호의 다른 예로서는, 플라즈마 파라미터로서 이온 에너지를 나타내는 εl을 이용하여, 플라즈마 전자 온도(Te)가 거의 변화하지 않는 시간으로 LF2 전력을 제어한다. 이로써, 이온 에너지(εl)를 컨트롤하여 이온의 입사각을 보다 수직으로 제어할 수 있다.
이와 같이, HF 전력 및 LF 전력을 온·오프 상태로 하는 타이밍을, 라디칼, 이온, 플라즈마 전자 온도, 이온 에너지, 부생성물 등의 플라즈마 파라미터의 움직임에 따라서 정밀하게 제어한다. 이로써, 프로세스의 성능을 향상시킬 수 있다. 이하, 고주파 전력의 펄스 신호의 공급 타이밍에 관해서 도 5∼도 8을 참조하면서 설명한다. 또한, 고주파 전력의 펄스 신호의 공급 타이밍은 제어부(2)에 의해 제어된다.
(2주파의 펄스 신호)
도 5는 실시형태에 따른 2주파의 고주파 전력 펄스의 펄스 패턴을 도시하는 도면이다. 도 5에 도시하는 2주파의 고주파 전력 펄스 중 HF 전력(Source Power)은 복수의 제1 펄스 사이클을 포함한다. LF1 전력(Bias Power)의 펄스 신호는 복수의 제2 펄스 사이클을 포함한다. 이하, 각 펄스 신호의 공급 타이밍에 관해서 설명한다. 도 5의 횡축은 1 주기의 시간을 나타내고, 종축은 HF 전력 및 LF1 전력의 온·오프 상태를 나타낸다. HF 전력의 복수의 제1 펄스 사이클 각각은 기간 (1) 및 기간 (2)를 포함하고, LF1 전력의 복수의 제2 펄스 사이클 각각은 기간 (3) 및 기간 (4)를 포함한다. 도 5의 예에서는, 복수의 제1 펄스 사이클 각각은 기간 (1), 기간 (2) 및 배기 기간을 1 주기로 하여 HF 전력의 제1 RF 펄스 신호가 반복된다. 복수의 제2 펄스 사이클 각각은 기간 (4), 기간 (3) 및 배기 기간을 1 주기로 하여 LF1 전력의 제2 RF 펄스 신호가 반복된다.
소스 RF 생성부(31a)는 제1 RF 펄스 신호(HF 전력)를 생성하도록 구성된다. 본 실시형태에서는 제1 RF 펄스 신호는 2개의 파워 레벨(On/Off)을 갖는다. 제1 바이어스 RF 생성부(31b)는 제2 RF 펄스 신호(LF1 전력)를 생성하도록 구성된다. 본 실시형태에서는 제2 RF 펄스 신호는 2개의 파워 레벨(On/Off)을 갖는다.
HF 전력의 온 상태와 LF1 전력의 온 상태는 시간적으로 오버랩하지 않는다. 예컨대 제1 RF 펄스 신호는, 기간 (1)에 제1 파워 레벨 및 기간 (2)에 제2 파워 레벨을 가지고, 제1 파워 레벨이 온 상태, 제2 파워 레벨이 오프 상태이다. 즉, 제2 파워 레벨은 제로 파워 레벨이다. 제2 RF 펄스 신호는, 기간 (3)에 제3 파워 레벨 및 기간 (4)에 제4 파워 레벨을 가지고, 제3 파워 레벨이 온 상태, 제4 파워 레벨이 오프 상태이다. 즉, 제4 파워 레벨은 제로 파워 레벨이다.
제1 RF 펄스 신호는 27 MHz의 주파수를 갖더라도 좋다. 제2 RF 펄스 신호의 주파수는 제1 RF 펄스 신호의 주파수보다 낮다. 예컨대 제2 RF 펄스 신호는 13 MHz의 주파수를 갖는다. 제1 파워 레벨이 High, 제2 파워 레벨이 Low라도 좋다. 또한, 제3 파워 레벨이 High, 제4 파워 레벨이 Low라도 좋다.
도 5의 기간 (1)에 있어서, HF 전력은 온 상태로 유지되고, 기간 (1)과 시간적으로 일치하는 기간 (4)에 있어서 LF1 전력은 오프 상태로 유지된다. 이에 따라, 시각 t0에서부터 시각 t1까지의 시간은, HF 전력의 공급에 의해, 라디칼과 이온을 포함하는 플라즈마가 생성된다.
시각 t1에 HF 전력은 오프 상태로 천이하고, LF1 전력은 온 상태로 천이하고, 기간 (2)에 HF 전력은 오프 상태를 유지하고, 기간 (2)와 시간적으로 일치하는 기간 (3)에 LF1 전력은 온 상태를 유지한다. 기간 (2)에서는, HF 전력이 오프 상태이기 때문에, 도 4에 일례를 도시하는 것과 같이, 라디칼, 이온, 플라즈마 온도는 각각의 시 상수를 가지고서 감쇠한다. 기간 (3)에 있어서의 LF1 전력의 공급에 의해, 에칭하는 오목부의 바닥부에 도달시키는 이온 플럭스(이온량)를 제어하여, 에칭을 촉진한다. 시각 t2에 HF 전력은 오프 상태를 유지하고, LF1 전력은 오프 상태로 천이한다. 기간 (2) 및 기간 (3) 후의 배기 기간에서는, HF 전력 및 LF1 전력이 오프 상태이기 때문에 부생성물이 배기된다. 배기 기간은 부생성물이 기판(W) 상에 부착되지 않는 시간으로 미리 설정되어 있다.
배기 기간 후의 시각 t3에 1 주기가 종료되고, 다음 주기의 기간 (1)로 이행한다. 그리고, 다음 주기의 시각 t0에 있어서 다시 HF 전력은 온 상태로 천이하고, 기간 (4)에 LF1 전력은 오프 상태를 유지한다. 즉, 기간 (1), 기간 (2) 및 배기 기간을 1 주기로 하여, HF 전력의 제1 펄스 사이클이 반복된다. 또한, 기간 (4), 기간 (3) 및 배기 기간을 1 주기로 하여 LF1 전력의 제2 펄스 사이클이 반복된다. 1 주기는 1 kHz∼20 kHz이다. 복수의 펄스 사이클은 동일한 시간 기간을 가지며, 각 펄스 사이클은 50 ㎲∼1000 ㎲의 시간 기간을 갖는다. 즉, 펄스 사이클의 1 주기는 50 ㎲∼1000 ㎲이다.
기간 (3)은 기간 (1)과 시간적으로 중복되지 않는다. 즉, 제1 바이어스 RF 생성부(31b)는, 제2 RF 펄스 신호의 파워 레벨의 변화 타이밍을, 제1 RF 펄스 신호의 파워 레벨의 변화 타이밍에 대하여 오프셋시켜, HF 전력의 온 상태와 LF1 전력의 온 상태를 시간적으로 중복되지 않게 한다.
아울러, 기간 (3)은 30 ㎲ 이하로 설정된다. 기간 (1), (2) 및 (4)는 임의의 시간으로 설정되며, 30 ㎲보다 길더라도 좋다. 즉, 본 예에서는, LF1 전력은 기간 (3)에 있어서 30 ㎲ 이하의 시간, 온 상태로 유지되고, 기간 (4) 및 배기 기간의 임의의 시간은 오프 상태로 유지되어, 온·오프를 반복한다. 이와 같이 하여 LF1 전력의 1 주기에 있어서의 공급 시간을 30 ㎲ 이하로 함으로써, 이온을 수직으로 제어하여, 이방성이 높은 에칭이 가능하게 된다.
또한, 기간 (1)의 HF 전력의 파워 레벨은 제1 파워 레벨의 일례이고, 기간 (2)의 HF 전력의 파워 레벨은 제2 파워 레벨의 일례이다. 기간 (3)의 LF1 전력의 파워 레벨은 제3 파워 레벨의 일례이고, 기간 (4)의 LF1 전력의 파워 레벨은 제4 파워 레벨의 일례이다.
(3주파의 펄스 신호)
도 6∼도 8은 실시형태에 따른 3주파의 고주파 전력 펄스의 펄스 패턴을 도시하는 도면이다. 도 6∼도 8에 도시하는 3주파의 고주파 전력인 HF 전력(Source Power), LF1 전력(Bias 1 Power) 및 LF2 전력(Bias 2 Power)의 각 펄스 신호는 복수의 펄스 사이클을 각각 포함한다. 이하, 각 펄스 신호의 공급 타이밍에 관해서 설명한다. 도 6∼도 8의 횡축은 1 주기의 시간을 나타내고, 종축은 HF 전력, LF1 전력 및 LF2 전력의 온·오프 상태를 나타낸다. HF 전력의 제1 펄스 사이클, LF1 전력의 제2 펄스 사이클, LF2 전력의 제3 펄스 사이클(어느 펄스 사이클이나 배기 기간을 포함한다)을 1 주기로 하여, HF 전력, LF1 전력 및 LF2 전력의 각 펄스 신호의 제어가 반복된다.
3주파의 고주파 전력 펄스의 제어에서는, LF1 전력의 온 상태와 LF2 전력의 온 상태는 시간적으로 오버랩하지 않고, LF1 전력을 온 상태로 하고 있는 동안, LF2 전력을 오프 상태로 하고, LF1 전력을 오프 상태로 하고 있는 동안, LF2 전력을 온 상태로 한다. 또한, HF 전력의 High 파워 레벨과 LF1 전력의 온 상태는 시간적으로 오버랩하지 않고, HF 전력을 High 파워 레벨로 하고 있는 동안, LF1 전력을 오프 상태로 하고, LF1 전력을 온 상태로 하고 있는 동안, HF 전력을 오프 상태 또는 Low 파워 레벨로 한다. 마찬가지로, HF 전력의 High 파워 레벨과 LF2 전력의 온 상태는 시간적으로 오버랩하지 않고, HF 전력을 High 파워 레벨로 하는 동안, LF2 전력을 오프 상태로 하고, LF2 전력을 온 상태로 하는 동안, HF 전력을 오프 상태 또는 Low 파워 레벨로 한다.
소스 RF 생성부(31a)는 제1 RF 펄스 신호(HF 전력)를 생성하도록 구성되며, 본 실시형태에서는 제1 RF 펄스 신호는 3개의 파워 레벨(High/Low/Off)을 갖는다. 이들 파워 레벨은 대상 프로세스에 따라서 임의로 설정 및 변경이 가능하다. 예컨대 제1 RF 펄스 신호는 27 MHz의 주파수를 갖는다.
제1 바이어스 RF 생성부(31b)는 제2 RF 펄스 신호(LF1 전력)를 생성하도록 구성되며, 본 실시형태에서는 제2 RF 펄스 신호는 2개의 파워 레벨(On/Off)을 갖는다. 즉, 제2 RF 펄스 신호는 제로 파워 레벨을 포함하는 2개 또는 그 이상의 파워 레벨을 갖는다. 제2 RF 펄스 신호의 주파수는 제1 RF 펄스 신호의 주파수보다 낮다. 예컨대 제2 RF 펄스 신호는 13 MHz의 주파수를 갖는다.
제2 바이어스 RF 생성부(31c)는 제3 RF 펄스 신호(LF2 전력)를 생성하도록 구성되며, 본 실시형태에서는 제3 RF 펄스 신호는 2개의 파워 레벨(On/Off)을 갖는다. 즉, 제3 RF 펄스 신호는 제로 파워 레벨을 포함하는 2개 또는 그 이상의 파워 레벨을 갖는다. 제3 RF 펄스 신호의 주파수는 제2 RF 펄스 신호의 주파수보다 낮다. 예컨대 제3 RF 펄스 신호는 1.2 MHz의 주파수를 갖는다.
도 6∼도 8에서는, HF 전력이 제1 RF 펄스 신호, LF1 전력이 제2 RF 펄스 신호, LF2 전력이 제3 RF 펄스 신호인 상태를 도시한다.
도 6의 기간 (1)에서는, HF 전력은 High 파워 레벨을 가지며, LF1 전력 및 LF2 전력은 오프 상태이다. 즉, 시각 t0에서부터 시각 t11까지의 시간은, HF 전력의 공급에 의해, 라디칼과 이온을 포함하는 플라즈마가 생성된다. 이에 따라, 도 6(a)에 도시하는 것과 같이, 마스크(101)를 통해 에칭 대상 막(100)이 에칭되어, 에칭 대상 막(100)에 형성된 홀(HL)의 내벽에 주로 라디칼(R)이 부착된다.
기간 (1) 경과 후의 시각 t11에 HF 전력이 오프 상태로 천이하면, 도 4에 일례를 도시하는 것과 같이, 라디칼, 이온, 플라즈마 온도는 각각의 시 상수를 가지고서 감쇠한다. 이들 플라즈마 파라미터의 감쇠 상태에 따라서, HF 전력을 오프 상태로 하는 기간 (3), 파워 레벨을 내리는 기간 (2) 및 부생성물을 배기하는 기간에 LF1 전력 및 LF2 전력을 각각 온으로 하는 타이밍을 제어한다. 이때, LF1 전력을 온 상태로 하는 기간 (4)는 HF 전력을 High 파워 레벨로 하는 기간 (1)과는 시간적으로 중복되지 않는다. 또한, LF2 전력을 온 상태로 하는 기간 (6)은 기간 (1) 및 기간 (4)와는 시간적으로 중복되지 않는다.
본 실시형태에서는, 시각 t11에 있어서, HF 전력은 High 파워 레벨로부터 오프 상태로 천이하고, LF1 전력은 온 상태로 천이한다. 이에 따라, 기간 (3)과 시간적으로 일치하는 기간 (4)에 있어서 LF1 전력은 온 상태로 유지되고, 도 6(b)에 도시하는 것과 같이, 에칭된 오목부의 바닥부에 도달시키는 이온 플럭스를 제어할 수 있다. 또한, 에칭 시의 부생성물의 양을 억제할 수 있다. LF2 전력은 시각 t11에 오프 상태를 유지하고, 기간 (3)과 시간적으로 일치하는 기간 (7)에 있어서 LF2 전력은 오프 상태로 유지된다.
더욱이, 기간 (4)는 30 ㎲ 이하의 시간으로 설정된다. 또한, 기간 (4)는 기간 (1)과 시간적으로 중복되지 않는다. 기간 (4)에 있어서 LF1 전력의 공급을 30 ㎲ 이하의 단시간 행함으로써, 더욱 이온을 수직으로 제어하여, 이방성이 높은 에칭이 가능하게 된다.
기간 (3) 및 기간 (4) 경과 후의 시각 t12에 있어서, HF 전력은 Low 파워 레벨로 천이하고, LF1 전력은 오프 상태로 천이하고, LF2 전력은 온 상태로 천이한다. 시각 t13까지 기간 (2)에 있어서, HF 전력은 Low 파워 레벨을 유지한다. 기간 (3) 및 (2)에 있어서, HF 전력은 Low 파워 레벨이라도 좋고, 오프 상태라도 좋다. 기간 (2)과 시간적으로 일치하는 기간 (5)에 있어서 LF1 전력은 오프 상태로 유지되고, 기간 (2)와 시간적으로 일치하는 기간 (6)에 있어서 LF2 전력은 온 상태로 유지된다. 상술한 것과 같이, 기간 (6)은 기간 (1) 및 기간 (4)와는 시간적으로 중복되지 않는다.
본 실시형태에서는, 기간 (4)에서 공급한 LF1 전력의 주파수보다 낮은 주파수의 LF2 전력을 기간 (6)에서 공급한다. LF2 전력의 Vpp는 LF1 전력의 Vpp보다 크다. 이에 따라, 기간 (6)에서는, 기간 (4)보다 바이어스 전압의 Vpp를 보다 크게 할 수 있으며, 이온 에너지(εl)를 보다 크게 하고, 이온 입사각을 보다 수직으로 제어할 수 있다. 이에 따라, LF2 전력을 공급하고 있는 기간 (6)에 있어서 에칭된 오목부의 바닥부에 도달시키는 이온 플럭스를 제어할 수 있다. 이에 따라, 도 6(c)에 도시하는 것과 같이, 홀(HL)의 바닥부의 코너부 등에 남은 부생성물(B) 등이 에칭되어, 에칭을 촉진할 수 있다.
이와 같이 하여, 어스펙트비가 높은 깊은 구멍을 에칭하는 프로세스에 있어서, HF 전력, LF1 전력 및 LF2 전력의 펄스 신호를 이용하여, 마스크 선택비를 높이고, 이온의 입사각을 수직으로 할 수 있다. 이로써, 에칭 형상을 수직으로 하거나 에칭을 촉진하거나 할 수 있다. 단, 어스펙트비가 높은 깊은 구멍을 에칭하는 프로세스는 기판 처리의 일례이며, 프로세스의 종류는 이것에 한하지 않는다.
배기 기간에서는 부생성물의 배기를 제어한다. 즉, 배기 기간에는 HF 전력, LF1 전력 및 LF2 전력을 오프 상태로 제어한다. 이에 따라, 도 6(d)에 도시하는 것과 같이, 홀(HL) 내의 부생성물(B)을 배기한다. 이로써, 다음 사이클의 에칭을 촉진할 수 있다. 배기 기간은 부생성물(B)이 기판(W) 상에 재부착하지 않는 시간으로 미리 설정되어 있다.
도 6의 예에서는, HF 전력의 파워 레벨을 3 레벨로 제어하고, LF1 전력 및 LF2 전력의 파워 레벨을 온·오프 상태의 2 레벨로 제어했지만, 이것에 한하지 않는다. 예컨대 HF 전력의 파워 레벨을 4 레벨 또는 그 이상으로 제어하여도 좋다.
시각 t14에 있어서, 1 주기가 종료되고, 다음 주기의 기간 (1)로 이행한다. 그리고, 다음 주기의 시각 t0에 있어서, HF 전력은 High 파워 레벨로 천이하고, LF1 전력 및 LF2 전력은 오프 상태를 유지한다. 제1 RF 펄스 신호는 기간 (1)→기간 (3)→기간 (2)→배기 기간의 순으로 HF 전력을 미리 정해진 상태로 한다. 제2 RF 펄스 신호는 기간 (4)→기간 (5)→배기 기간의 순으로 LF1 전력을 미리 정해진 상태로 한다. 제3 RF 펄스 신호는 기간 (7)→기간 (6)→배기 기간의 순으로 LF2 전력을 미리 정해진 상태로 한다. 각 펄스 사이클은 반복되고, 1 주기는 1 kHz∼20 kHz이며, 기간 (4)는 30 ㎲ 이하이다. 복수의 제1∼제3 펄스 사이클은 동일한 시간 기간을 가지며, 각 펄스 사이클은 50 ㎲∼1000 ㎲의 시간 기간을 갖는다. 즉, 펄스 사이클의 1 주기는 50 ㎲∼1000 ㎲이다.
또한, 기간 (1)의 HF 전력의 파워 레벨은 제1 파워 레벨의 일례이고, 기간 (2)의 HF 전력의 파워 레벨은 제2 파워 레벨의 일례이고, 기간 (3)의 HF 전력의 파워 레벨은 제3 파워 레벨의 일례이다. 기간 (4)의 LF1 전력의 파워 레벨은 제4 파워 레벨의 일례이고, 기간 (5)의 LF1 전력의 파워 레벨은 제5 파워 레벨의 일례이다. 기간 (6)의 LF2 전력의 파워 레벨은 제6 파워 레벨의 일례이고, 기간 (7)의 LF2 전력의 파워 레벨은 제7 파워 레벨의 일례이다.
도 7은 3주파의 고주파 전력 펄스의 제어의 다른 예를 도시한다. 본 예에서도, HF 전력의 제1 펄스 사이클, LF1 전력의 제2 펄스 사이클, LF2 전력의 제3 펄스 사이클을 1 주기로 하여, HF 전력, LF1 전력 및 LF2 전력의 각 펄스 신호의 제어가 반복된다. 어느 펄스 사이클이나 배기 기간을 포함할 수 있다.
도 6의 펄스 사이클 패턴과 도 7의 펄스 사이클 패턴의 차이는, 도 7에서는 기간 (1)의 종료 시각 t11 후에 딜레이 시간(Tdelay)이 있지만, 도 6에서는 시각 t11 후에 딜레이 시간(Tdelay)이 없다는 점이다. 이하에서는 이 상이점에 관해서 설명하고, 도 8의 그 밖의 펄스 사이클 패턴에 관해서는 도 6과 동일하기 때문에 설명을 생략한다.
예컨대 도 4에 도시하는 것과 같이, 플라즈마 온도(Te)가 높을 때에 LF1 전력 또는 LF2 전력을 온 상태로 하면, 부생성물이 많이 발생하고, 이에 따라 에칭이 저해되는 경우가 있다. 따라서, 플라즈마 온도가 높을 때를 피하여 LF1 전력 또는 LF2 전력을 온 상태로 하는 것을 생각할 수 있다. 즉, 시각 t11로부터 미리 정해진 딜레이 시간(Tdelay)이 경과한 후의 시각 t21에는 플라즈마 온도가 저하하고 있다. 이 타이밍에 LF1 전력은 온 상태로 천이한다. 즉, HF 전력이 오프 상태로 천이한 시각 t11로부터 딜레이 시간(Tdelay)만큼 시프트(지연)하고 나서 LF1 전력이 온 상태로 천이한다. 이에 따라, 에칭 시의 부생성물의 양을 억제할 수 있고, 에칭을 촉진할 수 있다.
또한, 본 실시형태에서는, 딜레이 시간(Tdelay)에 있어서 HF 전력은 오프 상태이다, 그러나, 딜레이 시간(Tdelay)의 HF 전력의 파워 레벨은 기간 (1)의 HF 전력의 파워 레벨보다 낮은 Low 레벨이라도 좋다. HF 전력의 파워 레벨을 내림으로써 LF1 전력을 공급하는 시각 t21의 타이밍보다 앞의 딜레이 시간(Tdelay)에 라디칼과 이온의 생성을 줄일 수 있다. 이 결과, 딜레이 시간(Tdelay) 경과 후의 시각 t21에서부터 시각 t12까지의 기간 (4)에 있어서 에칭 대상 막에 형성된 오목부의 바닥부에 도달시키는 이온 플럭스를 제어할 수 있다. 기간 (4)는 30 ㎲ 이하의 시간으로 설정된다. 또한, 기간 (4)는 기간 (1)과 시간적으로 중복되지 않는다. 또한, 다음 기간 (5) 및 배기 기간은 임의의 시간으로 설정되며, 30 ㎲보다 길더라도 좋다. 이와 같이 하여, 기간 (4)에 있어서 LF1 전력의 공급을 30 ㎲ 이하의 단시간 행함으로써, 더욱 이온을 수직으로 제어하여, 이방성이 높은 에칭이 가능하게 된다.
또한, 시각 t12에서 LF1 전력이 오프 상태로 천이하고, LF2 전력이 온 상태로 천이한다. 기간 (5)에서는 LF1 전력은 오프 상태로 천이하고, 기간 (5)와 시간적으로 중복되는 기간 (6)에서는 LF2 전력은 온 상태로 천이한다. 이에 따라, 기간 (6)에서는 기간 (4)와 비교하여 이온 입사각을 보다 수직으로 제어할 수 있다. 단, 딜레이 시간(Tdelay)을 지나치게 길게 하면 이온이 소실되기 때문에, 딜레이 시간(Tdelay)은 미리 적절한 값으로 설정되어 있다.
이러한 제어에 의해, LF1 전력의 온·오프 상태와 LF2 전력의 온·오프 상태를 서로 다른 시간대에 온 상태로 천이시킴으로써, 주로 이온의 거동을 제어한다. HF 전력은 기간 (3)에 있어서 제로 파워 레벨을 가지고, LF1 전력은 기간 (4)에 있어서 0보다 큰 파워 레벨을 가지고, LF2 전력은 기간 (4)와 중복되는 기간 (7)에 있어서 제로 파워 레벨을 갖는다. LF2 전력은 기간 (6)에 있어서 0보다 큰 파워 레벨을 가지고, LF1 전력은 기간 (6)과 중복되는 기간 (5)에 있어서 제로 파워 레벨을 가지고, HF 전력은 기간 (2)에 있어서 0보다 큰 파워 레벨을 갖는다. 즉, LF1 전력과 LF2 전력은 0보다 큰 파워 레벨을 갖는 시간이 겹치지 않는다.
LF2 전력 쪽이 LF1 전력보다 마스크 선택비가 높고, 수직의 에칭이 가능하다. HF 전력의 파워 레벨이 기간 (2)보다 높은 기간 (1)에서는 라디칼과 이온이 대량으로 생성되고 있어, 그 기간 (1)에 LF2 전력을 공급하여도 상기 효과는 발휘하기 어렵다. 한편, HF 전력의 파워 레벨이 기간 (1)보다 낮은 기간 (2) 및 제로파워 레벨의 기간 (3)에서는 라디칼과 이온의 생성이 저하된다. 따라서, 기간 (3)과 겹치는 기간 (4)에 LF1 전력을 공급하고, 기간 (2)와 겹치는 기간 (6)에 LF2 전력을 공급함으로써 상기 효과를 발휘하기 쉽다. 따라서, 이들 기간에 LF1 전력 또는 LF2 전력을 공급함으로써 이온 에너지를 높여, 이온 입사각을 수직으로 할 수 있다. 이로써, 기간 (2), (3)에서는 기간 (1)보다 마스크 선택비가 높고, 수직의 에칭이 가능하게 된다.
또한, LF1 전력과 LF2 전력은 온 상태와 오프 상태의 2개의 파워 레벨을 갖는 펄스 신호를 생성할 수 있다. 단, LF1 전력과 LF2 전력은, 온 상태와 오프 상태와 그 중간의 파워 레벨과 같이, 2개 이상의 파워 레벨을 갖는 펄스 신호가 생성되어도 좋다. LF1 전력과 LF2 전력은 2개의 다른 온 상태를 갖더라도 좋다.
도 8은 3주파의 고주파 전력 펄스의 펄스 패턴의 다른 예를 도시한다. HF 전력의 제1 펄스 사이클, LF1 전력의 제2 펄스 사이클, LF2 전력의 제3 펄스 사이클을 1 주기로 하여, HF 전력, LF1 전력 및 LF2 전력의 각 펄스 신호의 제어가 반복된다. 어느 펄스 사이클이나 배기 기간을 포함할 수 있다.
도 8의 펄스 사이클 패턴과 도 7의 펄스 사이클 패턴의 차이는, LF1 전력의 온 상태와 LF2 전력의 온 상태의 순서가 반대이며, 이에 따라서 딜레이 시간(Tdelay)의 타이밍도 시프트되고 있다는 점이다. 딜레이 시간(Tdelay)은 LF1 전력이 온 상태로 천이하기 직전에 둔다.
본 예에서도, 플라즈마 온도가 높을 때를 피하여 LF1 전력 또는 LF2 전력을 온 상태로 한다. 본 예에서는 LF2 전력, LF1 전력의 순으로 온 상태로 한다. 기간 (1) 경과 후의 시각 t11에는 플라즈마 온도는 저하하고 있다. 이 타이밍, 즉 HF 전력이 High 파워 레벨보다 낮은 Low 파워 레벨로 천이한 시각 t11에 LF2 전력이 온 상태로 천이하고, 기간 (2)에 시간적으로 일치하는 기간 (6)에 있어서 LF2 전력을 온 상태로 유지한다. 이에 따라, 에칭 시의 부생성물의 양을 억제할 수 있고, 에칭을 촉진할 수 있다.
시각 t11에서부터 시각 t12까지의 기간 (2)에 시간적으로 일치하는 기간 (5)에 있어서 LF1 전력은 오프 상태를 유지한다. 본 실시형태에서는, 시각 t12에 HF 전력은 오프 상태로 천이하고, LF1 전력은 오프 상태를 유지하고, LF2 전력은 오프 상태로 천이한다. 딜레이 시간(Tdelay)에 있어서 HF 전력은 오프 상태로 유지된다. 그러나, 딜레이 시간(Tdelay)의 HF 전력의 파워 레벨은 기간 (1)의 HF 전력의 파워 레벨보다 낮은 Low 레벨이라도 좋다. HF 전력의 파워 레벨을 더욱 내림으로써 LF1 전력을 공급하는 시각 t22의 타이밍보다 앞의 딜레이 시간(Tdelay)에 라디칼과 이온의 생성을 줄일 수 있다. 시각 t12로부터 딜레이 시간(Tdelay) 경과 후의 시각 t22에 있어서, LF1 전력은 온 상태로 천이한다. 시각 t22에 있어서, HF 전력 및 LF2 전력은 오프 상태를 유지한다. 이 결과, 딜레이 시간(Tdelay) 경과 후의 시각 t22에서부터 시각 t13까지의 기간 (4)에 있어서 에칭 대상 막에 형성된 오목부의 바닥부에 도달시키는 이온 플럭스를 제어할 수 있다. 이때, 기간 (4)는 30 ㎲ 이하의 시간으로 설정된다. 또한, 기간 (4)는 기간 (1)과 시간적으로 중복되지 않는다. 또한, 기간 (2)와 시간적으로 일치하는 기간 (5) 및 배기 기간은 임의의 시간으로 설정되며, 30 ㎲보다 길더라도 좋다. 즉, 본 예에서는 LF1 전력은 기간 (4)에 있어서 30 ㎲ 이하의 시간, 온 상태를 유지한다. 이와 같이 하여, 기간 (4)에 있어서 LF1 전력의 공급을 30 ㎲ 이하의 단시간 행함으로써, 더욱 이온을 수직으로 제어하여, 이방성이 높은 에칭이 가능하게 된다. 단, 딜레이 시간(Tdelay)을 지나치게 길게 하면 이온이 소실되기 때문에, 딜레이 시간(Tdelay)은 미리 적절한 값으로 설정되어 있다.
도 9는 3주파의 고주파 전력 펄스의 제어의 다른 예를 도시한다. 본 예에서도, HF 전력의 제1 펄스 사이클, LF1 전력의 제2 펄스 사이클, LF2 전력의 제3 펄스 사이클을 1 주기로 하여, HF 전력, LF1 전력 및 LF2 전력의 각 펄스 신호의 제어가 반복된다. 어느 펄스 사이클이나 배기 기간을 포함할 수 있다.
도 9의 펄스 사이클 패턴과 도 7 및 도 8의 펄스 사이클 패턴의 차이는, 딜레이 시간(Tdelay)을, 도 7 및 도 8에서는 LF1 전력이 온 상태로 천이하기 직전에 두었지만, 도 9에서는 LF2 전력이 온 상태로 천이하기 직전에 둔 점이다.
본 예에서는, 기간 (1)에 있어서 HF 전력은 High 파워 레벨로 유지되고, LF1 전력 및 LF2 전력은 오프 상태로 유지된다. 기간 (2)와 기간 (3)에 시간적으로 일치하는 기간 (5) 및 (7)에 있어서, HF 전력, LF1 전력 및 LF2 전력 모두가 오프 상태로 유지된다(배기 기간).
그 후, 시각 t21에 있어서, HF 전력은 High 파워 레벨보다 낮은 Low 파워 레벨로 천이하고, LF1 전력은 온 상태로 천이한다. 시각 t21에 있어서, LF2 전력은 오프 상태를 유지한다. 그리고, 기간 (2)에 있어서, HF 전력은 Low 파워 레벨로 유지된다. 기간 (2)와 시간적으로 중복되는(즉, 기간 (2)의 제1 부분과 시간적으로 일치하는) 기간 (4)에 있어서 LF1 전력은 30 ㎲ 이하의 시간, 온 상태를 유지한다. 기간 (2) 중의 시각 t22에 LF1 전력은 오프 상태로 천이하고, 시각 t22로부터 딜레이 시간(Tdelay) 경과 후의 시각 t23에 LF2 전력은 온 상태로 천이한다. 시각 t22 및 시각 t23에 있어서, HF 전력은 Low 파워 레벨로 유지된다. 그리고, 시각 t23으로부터 기간 (2)와 시간적으로 중복되는(즉, 기간 (2)의 제2 부분과 시간적으로 일치하는) 기간 (6)에 있어서 LF2 전력은 온 상태를 유지한다.
이와 같이, 본 예에서는, HF 전력이 Low 파워 레벨로 유지되고 있는 기간 (2) 중에, LF1 전력과 LF2 전력을 교대로 온 상태로 한다. 또한, 기간 (4)에 있어서 LF1 전력의 공급을 30 ㎲ 이하의 단시간 행한다. 이에 따라, 더욱 이온을 수직으로 제어하여, 이방성이 높은 에칭이 가능하게 된다. 또한, 기간 (6) 경과 후의 시각 t24에서부터 시각 t25까지 사이의 배기 기간의 제어에 관해서는 다른 펄스 사이클과 동일하기 때문에 설명을 생략한다.
이상에 설명한 것과 같이, 본 실시형태의 플라즈마 처리 장치 및 플라즈마 처리 방법에 의하면, 복수의 고주파 전력 펄스 신호를 이용하여 프로세스의 성능을 향상시킬 수 있다.
이번에 개시된 실시형태에 따른 플라즈마 처리 장치 및 플라즈마 처리 방법은 모든 점에서 예시이며 제한적인 것이 아니라고 생각되어야 한다. 실시형태는, 첨부한 청구범위 및 그 주지를 일탈하지 않고서 여러 가지 형태로 변형 및 개량이 가능하다. 상기 복수의 실시형태에 기재된 사항은, 모순되지 않는 범위에서 다른 구성도 취할 수 있으며, 또한 모순되지 않는 범위에서 조합할 수 있다.

Claims (14)

  1. 플라즈마 처리 장치로서,
    챔버와,
    상기 챔버에 결합된 제1 정합 회로와,
    상기 챔버에 결합된 제2 정합 회로와,
    상기 제1 정합 회로에 결합되고, 복수의 제1 펄스 사이클을 포함하는 제1 RF 펄스 신호를 생성하도록 구성된 제1 RF 생성부로서, 상기 복수의 제1 펄스 사이클 각각은 제1 기간, 제2 기간 및 제3 기간을 포함하고, 상기 제1 RF 펄스 신호는 상기 제1 기간에 제1 파워 레벨, 상기 제2 기간에 제2 파워 레벨 및 상기 제3 기간에 제3 파워 레벨을 갖는, 상기 제1 RF 생성부와,
    상기 제2 정합 회로에 결합되고, 복수의 제2 펄스 사이클을 포함하는 제2 RF 펄스 신호를 생성하도록 구성된 제2 RF 생성부로서, 상기 복수의 제2 펄스 사이클 각각은 제4 기간 및 제5 기간을 포함하고, 상기 제2 RF 펄스 신호의 주파수는 상기 제1 RF 펄스 신호의 주파수보다 낮고, 상기 제2 RF 펄스 신호는 상기 제4 기간에 제4 파워 레벨 및 상기 제5 기간에 제5 파워 레벨을 가지고, 상기 제4 기간은 30 ㎲ 이하이고, 상기 제4 기간은 상기 제1 기간과는 중복되지 않는, 상기 제2 RF 생성부와,
    상기 제2 정합 회로에 결합되고, 복수의 제3 펄스 사이클을 포함하는 제3 RF 펄스 신호를 생성하도록 구성된 제3 RF 생성부로서, 상기 복수의 제3 펄스 사이클 각각은 제6 기간 및 제7 기간을 포함하고, 상기 제3 RF 펄스 신호의 주파수는 상기 제2 RF 펄스 신호의 주파수보다 낮고, 상기 제3 RF 펄스 신호는 상기 제6 기간에 제6 파워 레벨 및 상기 제7 기간에 제7 파워 레벨을 가지고, 상기 제6 기간은 상기 제1 기간 및 상기 제4 기간과는 중복되지 않는, 상기 제3 RF 생성부
    를 포함하는, 플라즈마 처리 장치.
  2. 제1항에 있어서,
    상기 제3 파워 레벨, 상기 제5 파워 레벨 및 상기 제7 파워 레벨은 제로 파워 레벨인 것인, 플라즈마 처리 장치.
  3. 제1항 또는 제2항에 있어서,
    상기 제1 파워 레벨은 상기 제2 파워 레벨보다 크고,
    상기 제2 파워 레벨은 상기 제3 파워 레벨보다 크고,
    상기 제4 기간은 상기 제3 기간과 중복되고,
    상기 제6 기간은 상기 제2 기간과 중복되는 것인, 플라즈마 처리 장치.
  4. 제3항에 있어서,
    상기 복수의 제1 펄스 사이클 각각은 상기 제1 기간으로부터 상기 제3 기간으로 천이하며, 상기 제3 기간으로부터 상기 제2 기간으로 천이하고,
    상기 제4 기간은 상기 제3 기간과 일치하고,
    상기 제6 기간은 상기 제2 기간과 일치하는 것인, 플라즈마 처리 장치.
  5. 제3항에 있어서,
    상기 복수의 제1 펄스 사이클 각각은 상기 제1 기간으로부터 상기 제3 기간으로 천이하며, 상기 제3 기간으로부터 상기 제2 기간으로 천이하고,
    상기 제4 기간은 상기 제1 기간으로부터 상기 제3 기간으로의 천이 후의 지연 시간 경과 후에 시작하여, 상기 제3 기간으로부터 상기 제2 기간으로의 천이와 동시에 종료하고,
    상기 제6 기간은 상기 제2 기간과 일치하는 것인, 플라즈마 처리 장치.
  6. 제3항에 있어서,
    상기 복수의 제1 펄스 사이클 각각은 상기 제1 기간으로부터 상기 제2 기간으로 천이하며, 상기 제2 기간으로부터 상기 제3 기간으로 천이하고,
    상기 제4 기간은 상기 제2 기간으로부터 상기 제3 기간으로의 천이 후의 지연 시간 경과 후에 시작하여, 상기 제3 기간의 종료와 동시에 종료하고,
    상기 제5 기간은 상기 제2 기간과 일치하는 것인, 플라즈마 처리 장치.
  7. 제1항 또는 제2항에 있어서,
    상기 제1 파워 레벨은 상기 제2 파워 레벨보다 크고,
    상기 제2 파워 레벨은 상기 제3 파워 레벨보다 크고,
    상기 제4 기간은 상기 제2 기간과 중복되고,
    상기 제6 기간은 상기 제3 기간과 중복되는 것인, 플라즈마 처리 장치.
  8. 제7항에 있어서,
    상기 복수의 제1 펄스 사이클 각각은 상기 제1 기간으로부터 상기 제3 기간으로 천이하며, 상기 제3 기간으로부터 상기 제2 기간으로 천이하고,
    상기 제4 기간은 상기 제3 기간으로부터 상기 제2 기간으로의 천이와 동시에 시작하여, 상기 제2 기간의 종료 전에 종료하고,
    상기 제6 기간은 상기 제4 기간의 종료와 동시 또는 상기 제4 기간의 종료로부터 지연 시간 경과 후에 시작하여, 상기 제2 기간의 종료 전에 종료하는 것인, 플라즈마 처리 장치.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 복수의 제1 펄스 사이클 각각은 50 ㎲∼1000 ㎲인 것인, 플라즈마 처리 장치.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 제1 RF 펄스 신호는 20 MHz∼60 MHz의 주파수이고,
    상기 제2 RF 펄스 신호는 1 MHz∼15 MHz의 주파수이고,
    상기 제3 RF 펄스 신호는 100 kHz∼4 MHz의 주파수인 것인, 플라즈마 처리 장치.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    상기 제3 RF 펄스 신호는 상기 제2 RF 펄스 신호가 0보다 큰 파워 레벨을 갖는 기간에 제로 파워 레벨을 갖는 것인, 플라즈마 처리 장치.
  12. 플라즈마 처리 장치로서,
    챔버와,
    상기 챔버에 결합된 적어도 하나의 제1 정합 회로와,
    상기 적어도 하나의 제1 정합 회로에 결합되고, 복수의 제1 펄스 사이클을 포함하는 제1 RF 펄스 신호를 생성하도록 구성된 제1 RF 생성부로서, 상기 복수의 제1 펄스 사이클 각각은 제1 기간 및 제2 기간을 포함하고, 상기 제1 RF 펄스 신호는 상기 제1 기간에 제1 파워 레벨 및 상기 제2 기간에 제2 파워 레벨을 갖는, 상기 제1 RF 생성부와,
    상기 적어도 하나의 제1 정합 회로에 결합되고, 복수의 제2 펄스 사이클을 포함하는 제2 RF 펄스 신호를 생성하도록 구성된 제2 RF 생성부로서, 상기 복수의 제2 펄스 사이클 각각은 제3 기간 및 제4 기간을 포함하고, 상기 제2 RF 펄스 신호의 주파수는 상기 제1 RF 펄스 신호의 주파수보다 낮고, 상기 제2 RF 펄스 신호는 상기 제3 기간에 제3 파워 레벨 및 상기 제4 기간에 제4 파워 레벨을 가지고, 상기 제3 기간은 30 ㎲ 이하이고, 상기 제3 기간은 상기 제1 기간과는 중복되지 않는, 상기 제2 RF 생성부
    를 포함하는, 플라즈마 처리 장치.
  13. 제12항에 있어서,
    상기 제2 파워 레벨 및 상기 제4 파워 레벨은 제로 파워 레벨인 것인, 플라즈마 처리 장치.
  14. 플라즈마 처리 장치를 이용한 플라즈마 처리 방법으로서,
    상기 플라즈마 처리 장치는,
    챔버와,
    상기 챔버 내에 배치된 전극과,
    상기 전극의 위쪽에 배치된 안테나와,
    상기 안테나에 결합된 제1 정합 회로와,
    상기 전극에 결합된 제2 정합 회로
    를 포함하고,
    상기 플라즈마 처리 방법은 복수의 사이클을 포함하며, 각 사이클은,
    제1 기간에 있어서, 제1 파워 레벨을 갖는 제1 RF 펄스 신호를 상기 제1 정합 회로를 통해 상기 안테나에 공급하는 공정과,
    제2 기간에 있어서, 제2 파워 레벨을 갖는 제1 RF 펄스 신호를 상기 제1 정합 회로를 통해 상기 안테나에 공급하는 공정과,
    제3 기간에 있어서, 제2 RF 펄스 신호를 상기 제2 정합 회로를 통해 상기 전극에 공급하는 공정으로서, 상기 제2 RF 펄스 신호의 주파수는 상기 제1 RF 펄스 신호의 주파수보다 낮고, 상기 제3 기간은 30 ㎲ 이하이고, 상기 제3 기간은 상기 제1 기간과는 중복되지 않는, 공정과,
    제4 기간에 있어서, 제3 RF 펄스 신호를 상기 제2 정합 회로를 통해 상기 전극에 공급하는 공정으로서, 상기 제3 RF 펄스 신호의 주파수는 상기 제2 RF 펄스 신호의 주파수보다 낮고, 상기 제4 기간은 상기 제1 기간 및 상기 제3 기간과는 중복되지 않는, 공정
    을 포함하는 것인, 플라즈마 처리 방법.
KR1020210118626A 2020-09-15 2021-09-06 플라즈마 처리 장치 및 플라즈마 처리 방법 KR20220036340A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2020-154843 2020-09-15
JP2020154843A JP7479256B2 (ja) 2020-09-15 2020-09-15 プラズマ処理装置

Publications (1)

Publication Number Publication Date
KR20220036340A true KR20220036340A (ko) 2022-03-22

Family

ID=80539387

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210118626A KR20220036340A (ko) 2020-09-15 2021-09-06 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (5)

Country Link
US (2) US11756767B2 (ko)
JP (1) JP7479256B2 (ko)
KR (1) KR20220036340A (ko)
CN (1) CN114188209A (ko)
TW (1) TW202215911A (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230402255A1 (en) * 2022-06-09 2023-12-14 Tokyo Electron Limited Equipment and Method for Improved Edge Uniformity of Plasma Processing of Wafers
WO2024070580A1 (ja) * 2022-09-29 2024-04-04 東京エレクトロン株式会社 プラズマ処理装置及び電源システム
WO2024070578A1 (ja) * 2022-09-29 2024-04-04 東京エレクトロン株式会社 プラズマ処理装置及び電源システム
WO2024107552A1 (en) * 2022-11-16 2024-05-23 Lam Research Corporation Systems and methods for driving passivation to increase an etch rate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019067503A (ja) 2017-09-28 2019-04-25 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
JP6541540B2 (ja) 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US11398387B2 (en) * 2018-12-05 2022-07-26 Lam Research Corporation Etching isolation features and dense features within a substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019067503A (ja) 2017-09-28 2019-04-25 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
US11756767B2 (en) 2023-09-12
TW202215911A (zh) 2022-04-16
US20220084789A1 (en) 2022-03-17
US20230360884A1 (en) 2023-11-09
CN114188209A (zh) 2022-03-15
JP2022048811A (ja) 2022-03-28
JP7479256B2 (ja) 2024-05-08

Similar Documents

Publication Publication Date Title
TWI822617B (zh) 射頻產生器及用於產生射頻訊號的方法
KR20220036340A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI814838B (zh) 控制方法、電漿處理裝置、電腦程式及電腦記錄媒體
KR102494181B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2022041874A (ja) プラズマ処理装置及びプラズマ処理方法
US20240014006A1 (en) Plasma processing apparatus and plasma processing method
TW202110287A (zh) 一種射頻電源系統、電漿處理器及其調頻匹配方法
US10903051B2 (en) Matching method and plasma processing apparatus
KR20220045893A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2021182619A (ja) プラズマ処理方法およびプラズマ処理装置
KR20220035840A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20240105424A1 (en) Plasma processing apparatus and plasma processing method
WO2023127655A1 (ja) プラズマ処理装置、電源システム、制御方法、プログラム、及び記憶媒体
US20240153742A1 (en) Plasma processing method and plasma processing apparatus
WO2024070580A1 (ja) プラズマ処理装置及び電源システム
WO2023210399A1 (ja) プラズマ処理装置、電源システム及びプラズマ処理方法
JP7313293B2 (ja) 処理方法及びプラズマ処理装置
JP2023178190A (ja) プラズマ処理装置、プラズマ処理方法、圧力弁制御装置、圧力弁制御方法及び圧力調整システム
CN116918043A (zh) 等离子体处理方法以及等离子体处理装置
JP2024519838A (ja) 半導体工程においてバイアスパワーを提供するための周波数発生デバイス
TW202305865A (zh) 電漿處理方法及電漿處理裝置
TW202418887A (zh) 電漿處理裝置、電漿處理方法、壓力閥控制裝置、壓力閥控制方法及壓力調整系統
KR20240009972A (ko) 플라즈마 처리 장치 및 rf 시스템