KR20220017132A - Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure - Google Patents

Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure Download PDF

Info

Publication number
KR20220017132A
KR20220017132A KR1020200097253A KR20200097253A KR20220017132A KR 20220017132 A KR20220017132 A KR 20220017132A KR 1020200097253 A KR1020200097253 A KR 1020200097253A KR 20200097253 A KR20200097253 A KR 20200097253A KR 20220017132 A KR20220017132 A KR 20220017132A
Authority
KR
South Korea
Prior art keywords
layer
pellicle
extreme ultraviolet
mosix
ultraviolet lithography
Prior art date
Application number
KR1020200097253A
Other languages
Korean (ko)
Other versions
KR102440483B1 (en
Inventor
신철
이창훈
홍주희
윤종원
박철균
이승조
김지혜
이해나
Original Assignee
주식회사 에스앤에스텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에스앤에스텍 filed Critical 주식회사 에스앤에스텍
Priority to KR1020200097253A priority Critical patent/KR102440483B1/en
Publication of KR20220017132A publication Critical patent/KR20220017132A/en
Application granted granted Critical
Publication of KR102440483B1 publication Critical patent/KR102440483B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

A pellicle for extreme ultraviolet lithography includes a pellicle part including a central layer of a two-layer structure in which a Si-based layer and a SiN_x-based layer are sequentially stacked on a support part. The pellicle part has a capping layer made of B_xC, SiC_x, or MoSi_x. A pellicle with excellent heat dissipation performance, excellent stability in an extreme ultraviolet lithography environment, and excellent mechanical strength is provided. In particular, the stability of the pellicle exposed to the extreme ultraviolet lithography environment can be secured by forming the capping layer on a lower surface of the central layer.

Description

2층 구조의 중심층을 구비한 극자외선 리소그래피용 펠리클 {Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure}Pellicle for Extreme Ultraviolet (EUV) Lithography with Central Layer of 2-layer Structure

본 발명은 극자외선 리소그래피용 펠리클에 관한 것으로서, 보다 상세하게는, 극자외선 노광광에 대하여 85% 이상의 투과율 및 0.04% 이하의 반사율을 만족하는 극자외선 리소그래피용 펠리클에 관한 것이다.The present invention relates to a pellicle for extreme ultraviolet lithography, and more particularly, to a pellicle for extreme ultraviolet lithography that satisfies a transmittance of 85% or more and a reflectance of 0.04% or less with respect to extreme ultraviolet exposure light.

포토리소그래피(Photo-lithography)라고 불리는 노광(Exposure) 기술의 발달은 반도체 집적 회로의 고집적화(High integration)를 가능하게 하였다. 웨이퍼 위에 보다 미세한 회로 패턴을 형성하려면 분해능 이라고도 불리는 노광 장비의 해상력(resolution)이 높아져야 한다. 해상력의 한계를 넘어서는 미세 패턴을 전사한다면, 빛의 회절(diffraction)과 산란(scattering)으로 인한 빛 간섭이 발생하여 원래의 마스크 패턴과는 다른 왜곡된 상이 전사되는 문제가 발생한다.The development of exposure technology called photo-lithography has enabled high integration of semiconductor integrated circuits. In order to form a finer circuit pattern on the wafer, the resolution of the exposure equipment, also called resolution, needs to be increased. If a fine pattern that exceeds the resolution limit is transferred, light interference due to diffraction and scattering of light occurs, resulting in a problem in which a distorted image different from the original mask pattern is transferred.

현재 상용화된 노광 공정은 193㎚의 ArF 파장을 이용하는 노광 장비로 전사 공정을 진행하여 웨이퍼 상에 미세 패턴을 형성하고 있으나, 50㎚ 이하의 미세 패턴 형성에 대해서는 빛의 회절과 산란으로 인한 한계를 보이고 있어 공기보다 굴절률이 큰 액상 매체를 이용한 액침 노광기술(Immersion lithography), 노광 공정을 두 번 시행하는 이중 노광기술(Double lithography), 빛의 위상을 180˚ 반전시켜 인접하는 투과광과 소멸간섭을 발생시키도록 하는 위상전이기술(Phase shift technology), 빛의 간섭 및 회절 효과에 의하여 설계 패턴 크기보다 작아지거나 끝부분이 라운드 되는 현상을 보정하는 광학위상보정(Optical phase correction) 등 다양한 방법들이 개발되고 있다.The currently commercialized exposure process forms a fine pattern on the wafer by performing the transfer process with exposure equipment using an ArF wavelength of 193 nm. immersion lithography using a liquid medium with a higher refractive index than air Various methods are being developed, such as phase shift technology to make the pattern smaller than the design pattern size or optical phase correction to correct the rounding of the tip due to interference and diffraction effects of light.

그러나, 상기 ArF 파장을 이용하는 노광 기술로는 더욱 미세화된 32㎚ 이하의 회로 선폭을 구현하기 어려울 뿐 아니라, 생산 비용이 증가하고 공정 복합성이 증가 할 수 밖에 없다. 이로 인하여 193㎚의 파장에 비하여 매우 단파장인 13.5㎚ 파장을 주 노광 파장으로 사용하는 극자외선(Extreme Ultra-Violet, 이하 EUV 라고 함)광을 사용하는 EUV 리소그래피 기술이 차세대 공정으로 주목을 받고 있다.However, with the exposure technology using the ArF wavelength, it is difficult to realize a more miniaturized circuit line width of 32 nm or less, and production cost is increased and process complexity is inevitably increased. For this reason, EUV lithography technology using Extreme Ultra-Violet (hereinafter referred to as EUV) light that uses 13.5 nm wavelength, which is a very short wavelength compared to 193 nm wavelength, as the main exposure wavelength is attracting attention as a next-generation process.

한편, 리소그래피 공정은 패터닝을 위한 원판으로서 포토마스크(Photomask)가 사용되고, 포토마스크 상의 패턴이 웨이퍼(Wafer)에 전사되는데, 만약, 포토마스크 상에 파티클(Particle)이나 이물질 등의 불순물이 부착되어 있으면 이 불순물로 인해 노광광이 흡수되거나 반사되어 전사된 패턴이 손상될 수 있으며, 이에 따라 반도체 장치의 성능이나 수율의 저하를 초래할 수 있다.On the other hand, in the lithography process, a photomask is used as an original plate for patterning, and the pattern on the photomask is transferred to a wafer. If impurities such as particles or foreign substances are attached to the photomask, This impurity may absorb or reflect the exposure light, thereby damaging the transferred pattern, which may lead to deterioration in performance or yield of the semiconductor device.

이에 따라, 포토마스크 표면에 불순물이 부착되는 것을 방지하기 위하여 포토마스크에 펠리클(Pellicle)을 부착하는 방법이 사용되고 있다. 펠리클은 포토마스크 표면 상부에 배치되며, 펠리클 상에 불순물이 부착되더라도, 포토리소그래피 공정 시 초점은 포토마스크의 패턴 상에 일치되어 있으므로 펠리클 상의 불순물은 초점이 맞지 않아 웨이퍼 표면에 전사되지 않는다. 최근에는, 회로 선폭의 미세화에 따라 패턴 손상에 영향을 미칠 수 있는 불순물의 크기 또한 줄어 들었기 때문에, 포토마스크 보호를 위한 펠리클의 역할이 더욱 중요해지고 있다.Accordingly, in order to prevent impurities from adhering to the surface of the photomask, a method of attaching a pellicle to the photomask is used. The pellicle is disposed on the surface of the photomask, and even if impurities are attached to the pellicle, during the photolithography process, the focus is on the pattern of the photomask, so the impurities on the pellicle are not in focus and are not transferred to the wafer surface. Recently, since the size of impurities that may affect pattern damage has also decreased according to the miniaturization of the circuit line width, the role of the pellicle for protecting the photomask is becoming more important.

본 발명은 펠리클의 광학적 특성 손실을 최소화하면서 펠리클의 기계적, 열적, 화학적 안정성을 개선할 수 있는 극자외선 리소그래피용 펠리클을 제공하는 것을 목적으로 한다.An object of the present invention is to provide a pellicle for extreme ultraviolet lithography capable of improving the mechanical, thermal and chemical stability of the pellicle while minimizing the loss of optical properties of the pellicle.

상기 목적을 달성하기 위한 본 발명에 따른 극자외선 리소그래피용 펠리클은, 지지부상에 Si 재질의 층과 SiNx 재질의 층이 순차 적층된 2층막 구조의 중심층을 포함하는 펠리클부를 포함하는 것을 특징으로 한다.The pellicle for extreme ultraviolet lithography according to the present invention for achieving the above object includes a pellicle part including a central layer of a two-layer film structure in which a layer of Si material and a layer of SiNx material are sequentially stacked on a support part. .

상기 펠리클부는, BxC, SiCx, 또는 MoSix 중 적어도 하나 이상의 물질로 형성된 한 층 이상의 캡핑층을 더 포함하여 구성될 수 있다.The pellicle part, BxC, SiCx, or MoSix It may be configured to further include one or more capping layers formed of at least one or more materials.

상기 중심층의 하층이 Si 재질로 형성되고 상기 중심층의 상층이 SiNx 재질로 형성되는 것이 바람직하다.Preferably, the lower layer of the central layer is formed of a Si material and the upper layer of the central layer is formed of a SiNx material.

상기 캡핑층은 상기 중심층의 하면에 형성되는 것이 바람직하다.The capping layer is preferably formed on a lower surface of the central layer.

상기 펠리클부는, 상기 캡핑층의 하면에 형성되며 BxN, B, Zr, Zn, BxC, SiCx, SiNx, 중 하나 이상의 물질로 형성된 한 층 이상의 보호층을 더 포함하여 구성될 수 있다.The pellicle part is formed on the lower surface of the capping layer and may further include one or more protective layers formed of one or more of B x N, B, Zr, Zn, B x C, SiC x , SiN x , have.

상기 펠리클부를 구성하는 각각의 상기 물질은, BxN (x=0~3), BxC (x=0~7), SiNx (x=0.5~2), SiCx (x=0.1~4), MoSix (x=0.5~2.5) 의 조성비를 갖는다.Each of the materials constituting the pellicle part is B x N (x=0~3), B x C (x=0~7), SiN x (x=0.5~2), SiC x (x=0.1~) 4), and has a composition ratio of MoSi x (x=0.5 to 2.5).

상기 펠리클부는 최하층으로부터 최상층의 구조가, SiCx/MoSix/Si/SiNx; BxN/MoSix/Si/SiNx; B/MoSix/Si/SiNx; Zr/MoSix/Si/SiNx; Zn/MoSix/Si/SiNx; BxC/MoSix/Si/SiNx; SiCx/MoSix/BxC/Si/SiNx; SiCx/MoSix/SiCx/Si/SiNx; 중 어느 하나의 구조를 가질 수 있다.The structure of the uppermost layer from the lowermost layer of the pellicle part is SiCx/MoSix/Si/SiN x ; BxN/MoSix/Si/SiN x ; B/MoSix/Si/SiN x ; Zr/MoSix/Si/SiN x ; Zn/MoSix/Si/SiN x ; BxC/MoSix/Si/SiN x ; SiCx/MoSix/BxC/Si/SiNx; SiCx/MoSix/SiCx/Si/SiNx; It may have any one structure.

상기 펠리클부는, 상기 중심층과 상기 캡핑층 또는 상기 캡핑층과 상기 보호층 사이에 형성된 계면층을 더 포함하여 구성될 수 있다.The pellicle part may be configured to further include an interface layer formed between the central layer and the capping layer or between the capping layer and the protective layer.

상기 펠리클부는 극자외선 노광광에 대하여 85% 이상의 투과율 및 0.04% 이하의 반사율을 갖는다.The pellicle portion has a transmittance of 85% or more and a reflectance of 0.04% or less with respect to the extreme ultraviolet exposure light.

본 발명에 따르면, 열방출 성능이 우수하고 극자외선 리소그래피 환경에서의 안정성이 뛰어나며 기계적 강도가 우수한 펠리클이 제공된다. 특히 캡핑층을 중심층 하면에 형성함으로써 극자외선 리소그래피 환경에 노출된 펠리클의 안정성을 확보할 수 있다.According to the present invention, there is provided a pellicle having excellent heat dissipation performance, excellent stability in an extreme ultraviolet lithography environment, and excellent mechanical strength. In particular, by forming the capping layer on the lower surface of the central layer, it is possible to secure the stability of the pellicle exposed to the extreme ultraviolet lithography environment.

도 1 은 본 발명의 제 1 실시예에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도.
도 2 는 본 발명의 제 2 실시예에 따른 극자외선 리소그래피용 펠리클의 계면에 형성될 수 있는 계면층을 도시한 단면도.
도 3 내지 도 7 은 도 1 의 제 1 실시예에 따른 극자외선 리소그래피용 펠리클의 제조방법을 순차적으로 도시한 단면도.
1 is a cross-sectional view showing a pellicle for extreme ultraviolet lithography according to a first embodiment of the present invention.
2 is a cross-sectional view showing an interface layer that may be formed at the interface of a pellicle for extreme ultraviolet lithography according to a second embodiment of the present invention.
3 to 7 are cross-sectional views sequentially illustrating a method of manufacturing a pellicle for extreme ultraviolet lithography according to the first embodiment of FIG. 1 .

이하에서는 첨부 도면을 참조하여 본 발명을 구체적으로 기술한다.Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

도 1 은 본 발명의 제 1 실시예에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도이다.1 is a cross-sectional view showing a pellicle for extreme ultraviolet lithography according to a first embodiment of the present invention.

도 1 을 참조하면, 본 발명에 따른 극자외선 리소그래피용 펠리클(100)은 펠리클부(10)와 지지부(20)로 구성된다.Referring to FIG. 1 , a pellicle 100 for extreme ultraviolet lithography according to the present invention includes a pellicle part 10 and a support part 20 .

펠리클부(10)는 중심층(110), 캡핑층(120), 보호층(130)을 포함하여 구성된다. 캡핑층(120)은 중심층(110)의 하부에 형성되고, 보호층(130)은 캡핑층(120)의 하부에 형성된다. 펠리클부(10)는 EUV 노광광에 대하여 85% 이상의 투과율 가지며, 반사율은 0.04% 이내가 바람직하다. 지지부(20)는 지지층 패턴(210a)과 식각 저지층 패턴(140a)을 포함하여 구성된다.The pellicle part 10 includes a central layer 110 , a capping layer 120 , and a protective layer 130 . The capping layer 120 is formed under the central layer 110 , and the protective layer 130 is formed under the capping layer 120 . The pellicle part 10 has a transmittance of 85% or more with respect to EUV exposure light, and a reflectance of 0.04% or less is preferable. The support 20 includes a support layer pattern 210a and an etch stop layer pattern 140a.

상기 펠리클부(10)의 중심층(110)은 극자외선용 노광광에 대해 높은 투과율을 유지하면서 기계적 강도가 우수한 물질로 구성되며, 도 1 에 도시된 바와 같이 하층(111)과 상층(112)의 2층막 구조를 가진다. 2층막 구조는 하층(111)/상층(112)이 Si/SiNx 재질로 형성된 구조로 이루어질 수 있다. 이와는 반대로 하층(111)/상층(112)이 SiNx/Si 재질로 형성된 구조로 이루어질 수도 있으나, Si 재질의 층을 하층(111)으로 형성하고 SiNx 재질을 상층(112)으로 형성하는 것이 제작 공정상 용이하다.The central layer 110 of the pellicle part 10 is made of a material having excellent mechanical strength while maintaining high transmittance with respect to extreme ultraviolet exposure light, and as shown in FIG. 1 , a lower layer 111 and an upper layer 112 . It has a two-layer film structure of The two-layer structure may have a structure in which the lower layer 111/the upper layer 112 are formed of a Si/SiN x material. Conversely, the lower layer 111/upper layer 112 may have a structure formed of SiNx/Si material. Easy.

상기 중심층(110)을 구성하는 Si 는 단결정, 다결정 및 무결정 중 하나 이상의 상태를 포함하는 실리콘으로 형성될 수 있다. Si 재질은 노광광에 대한 투과율이 매우 높다. 따라서 하층(111)을 Si 재질로 형성함으로써 중심층(110) 전체가 높은 투과율을 가질 수 있다. SiNx 재질은 Si 재질에 비하여 기계적 강도가 높고 화학적 안정성이 높다. 따라서 상층(112)을 SiNx 재질로 형성함으로써 중심층(110)의 기계적 강도와 화학적 안정성을 확보할 수 있다.Si constituting the central layer 110 may be formed of silicon including one or more states of single crystal, polycrystalline, and amorphous. The Si material has very high transmittance to exposure light. Accordingly, by forming the lower layer 111 of the Si material, the entire central layer 110 may have high transmittance. The SiNx material has higher mechanical strength and higher chemical stability than the Si material. Therefore, by forming the upper layer 112 of SiNx material, the mechanical strength and chemical stability of the central layer 110 can be secured.

상기 중심층(110)은 100nm 이하의 두께를 갖고, EUV 노광광에 대하여 85% 이상의 투과율 가지며, 이를 위해, 중심층(110)을 구성하는 하층(111)과 상층(112) 각각의 두께는 다양한 조합을 가질 수 있다.The central layer 110 has a thickness of 100 nm or less, and has a transmittance of 85% or more with respect to EUV exposure light. can have combinations.

상기 펠리클부(10)의 캡핑층(120)은 극자외선 리소그래피 환경에서 일어나는 펠리클(100)의 열방사를 통하여 펠리클(100)의 열적 안정성을 높히는 역할 및 기계적 강도를 강화하는 역할을 수행한다. 이를 위해, 캡핑층(120)은 SiCx, BxC, MoSix 중 하나 이상의 물질로 구성되며, 한 층 이상으로 구성될 수 있다.The capping layer 120 of the pellicle part 10 serves to increase the thermal stability of the pellicle 100 through heat radiation of the pellicle 100 occurring in an extreme ultraviolet lithography environment and to strengthen the mechanical strength. To this end, the capping layer 120 is made of one or more of SiCx, BxC , and MoSix, and may be composed of one or more layers.

상기 캡핑층(120)은 15nm 이하의 두께, 바람직하게는 10nm 이하의 두께를 가지며, 펠리클부(10)의 기계적 강도 및 광학적 특성을 고려하여 다양한 두께로 형성될 수 있다. 바람직하게는, 캡핑층(120)은 펠리클부(10)의 극자외선 노광광에 대하여 반사율이 최소가 되는 두께로 형성된다. 예를 들어, 캡핑층(120)은 캡핑층(120) 외의 다른 하나 이상의 층에서 반사한 극자외선 노광광과 상쇄간섭 일으키는 광학두께로 형성할 수 있다.The capping layer 120 has a thickness of 15 nm or less, preferably 10 nm or less, and may be formed in various thicknesses in consideration of the mechanical strength and optical properties of the pellicle unit 10 . Preferably, the capping layer 120 is formed to have a minimum reflectance with respect to the extreme ultraviolet exposure light of the pellicle part 10 . For example, the capping layer 120 may be formed to have an optical thickness causing destructive interference with extreme ultraviolet exposure light reflected from one or more layers other than the capping layer 120 .

상기 펠리클부(10)의 보호층(130)은 극자외선 리소그래피 환경에서 일어나는 화학적 반응으로부터 캡핑층(120)을 보호하는 기능을 한다. 펠리클(100)이 사용되는 환경에서는 수소(H) 라디칼이 다량 존재하며, 이 수소 라디칼은 캡핑층(120)과 반응하여 캡핑층(120)의 기능이 저하될 수 있다. 보호층(130)은 캡핑층(120)이 수소 라디칼과 접촉되지 않도록 보호하여 캡핑층(120)의 기능을 유지하는 기능을 한다. 또한 보호층(130)은 펠리클부(10)의 기계적 강도를 강화하는 기능을 한다. 이를 위해, 보호층(130)은 수소(H) 라디칼 및 산소(O)와의 반응성이 낮고 화학적으로 안정하면서 기계적으로 우수한 물질인 BxN, B, Zr, Zn, BxC SixNy, SiCx, 중 1종 이상의 물질로 구성된다. 여기서 조성비 x, y는 물질마다 상이한 범위를 갖는다. 보호층(130)은 한 층 또는 2층 이상의 다층으로 구성될 수 있다.The protective layer 130 of the pellicle part 10 functions to protect the capping layer 120 from chemical reactions occurring in an extreme ultraviolet lithography environment. In an environment in which the pellicle 100 is used, a large amount of hydrogen (H) radicals exist, and these hydrogen radicals may react with the capping layer 120 to deteriorate the function of the capping layer 120 . The protective layer 130 functions to maintain the function of the capping layer 120 by protecting the capping layer 120 from contacting with hydrogen radicals. In addition, the protective layer 130 serves to strengthen the mechanical strength of the pellicle unit (10). To this end, the protective layer 130 has low reactivity with hydrogen (H) radicals and oxygen (O), is chemically stable, and is a mechanically excellent material B x N, B, Zr, Zn, B x C Si x N y , SiC x , composed of one or more materials. Here, the composition ratios x and y have different ranges for each material. The protective layer 130 may be composed of one layer or multiple layers of two or more layers.

상기한 바와 같은, 중심층(110), 캡핑층(120) 및 보호층(130)을 구성하는 각각의 물질들의 바람직한 조성비는, BxN (x=0~3), BxC (x=0~7), SiNx (x=0.5~2), SiCx (x=0.1~4), MoSix (x=0.5~2.5) 이다.As described above, a preferable composition ratio of each of the materials constituting the central layer 110 , the capping layer 120 and the protective layer 130 is B x N (x = 0 to 3), B x C (x = 0~7), SiN x (x=0.5~2), SiC x (x=0.1~4), MoSi x (x=0.5~2.5).

한편, 도 1 에서는 펠리클부(10)가 캡핑층(120)과 보호층(130)을 구비한 예를 도시하였으나, 캡핑층(120)만 구비하거나 보호층(130)만 구비하도록 구성할 수 있다. 또한 캡핑층(120)은 각각 하나의 층으로 구성될 수도 있고 2층 이상의 다층으로 구성될 수도 있으며, 보호층(130) 또한 하나의 층으로 구성될 수도 있고 2층 이상의 다층으로 구성될 수도 있다.Meanwhile, although FIG. 1 illustrates an example in which the pellicle unit 10 includes the capping layer 120 and the protective layer 130 , it may be configured to include only the capping layer 120 or only the protective layer 130 . . In addition, each capping layer 120 may be composed of one layer or may be composed of two or more multi-layers, and the protective layer 130 may also be composed of one layer or may be composed of two or more multi-layers.

또한 도 1 에서는 캡핑층(120)과 보호층(130)이 중심층(110)의 하부에 형성된 예를 도시하였으나, 캡핑층(120)과 보호층(130)은 중심층(110)의 상부에 형성될 수도 있다. 중심층(110)을 이루고 있는 층 중 Si 재질의 층은 수소 라디칼과 반응하고 SiNx 재질의 층은 수소 라디칼과 반응하지 않으므로, Si 재질의 층은 펠리클부(10)의 외표면을 구성하지 않도록 하는 것이 바람직하다. 따라서 본 실시예에서와 같이 Si 층이 중심층(110)의 하층(111)에 배치되는 것이 바람직하며, 이 경우에는 캡핑층(120)은 중심층(110)의 하부에 배치되는 것이 바람직하다. 만약 Si 층이 중심층(110)의 상층(112)에 배치된다면 캡핑층(120)은 중심층(110)의 상부에 배치되는 것이 바람직하다. 보호층(130)은 캡핑층(120)이 수소 라디칼과 반응하는 것을 반지하는 기능을 하므로 캡핑층(120)의 외측에 배치되는 것이 바람직하다. 이러한 점을 고려할 때, 펠리클부(10)는 하부로부터 상부 방향으로 보호층(130), 캡핑층(120), Si 재질의 하층(111), SiNx 재질의 상층(112)의 순서로 배치되는 것이 바람직하다.Also, although FIG. 1 shows an example in which the capping layer 120 and the protective layer 130 are formed under the central layer 110 , the capping layer 120 and the protective layer 130 are disposed on the central layer 110 . may be formed. Among the layers constituting the central layer 110 , the Si material layer reacts with hydrogen radicals and the SiNx material layer does not react with hydrogen radicals, so that the Si material layer does not constitute the outer surface of the pellicle part 10 . it is preferable Therefore, as in the present embodiment, the Si layer is preferably disposed on the lower layer 111 of the central layer 110 , and in this case, the capping layer 120 is preferably disposed on the lower portion of the central layer 110 . If the Si layer is disposed on the upper layer 112 of the central layer 110 , the capping layer 120 is preferably disposed on the central layer 110 . Since the protective layer 130 functions to prevent the capping layer 120 from reacting with hydrogen radicals, it is preferable to be disposed outside the capping layer 120 . In consideration of this, the pellicle unit 10 is disposed in the order of the protective layer 130, the capping layer 120, the lower layer 111 made of Si, and the upper layer 112 made of the SiNx material from the bottom to the top. desirable.

위와 같은 조성물들의 조합에 따른 펠리클부(10)의 구성의 예로서, 펠리클부(10)는 최하층으로부터 최상층의 구조가 SiCx/MoSix/Si/SiNx; BxN/MoSix/Si/SiNx; B/MoSix/Si/SiNx; Zr/MoSix/Si/SiNx; Zn/MoSix/Si/SiNx; BxC/MoSix/Si/SiNx; SiCx/MoSix/BxC/Si/SiNx; SiCx/MoSix/SiCx/Si/SiNx; 중 하나의 구조를 갖도록 형성될 수 있다.As an example of the configuration of the pellicle part 10 according to the combination of the above compositions, the pellicle part 10 has a structure of the uppermost layer from the lowermost layer SiCx/MoSix/Si/SiNx; BxN/MoSix/Si/SiNx; B/MoSix/Si/SiNx; Zr/MoSix/Si/SiNx; Zn/MoSix/Si/SiNx; BxC/MoSix/Si/SiNx; SiCx/MoSix/BxC/Si/SiNx; SiCx/MoSix/SiCx/Si/SiNx; It may be formed to have one of the structures.

도 2 는 본 발명의 제 2 실시예에 따른 극자외선 리소그래피용 펠리클의 단면도이다. 도 2 에서는 지지부(20)를 제외한 펠리클부(10)만이 도시되어 있으나, 도 2 의 실시예에서도 펠리클(100)은 지지부(20)를 구비한다.2 is a cross-sectional view of a pellicle for extreme ultraviolet lithography according to a second embodiment of the present invention. In FIG. 2 , only the pellicle part 10 is shown except for the support part 20 , but also in the embodiment of FIG. 2 , the pellicle 100 includes the support part 20 .

제 2 실시예에서 펠리클부(10)가 중심층(110), 캡핑층(120), 및 보호층(130)을 구비하는 점은 도 1 의 제 1 실시예와 동일하며, 제 2 실시예의 펠리클(100)은 중심층(110)과 캡핑층(120) 사이 및 캡핑층(120)과 보호층(130) 사이에 계면층(150)을 구비하는 점에서 도 1 의 실시예와 상이하다. 계면층(150)은 중심층(110)과 캡핑층(120) 사이 및 캡핑층(120)과 보호층(130) 사이 중 어느 하나에만 형성될 수도 있다.In the second embodiment, the point in which the pellicle unit 10 includes the central layer 110 , the capping layer 120 , and the protective layer 130 is the same as that of the first embodiment of FIG. 1 , and the pellicle of the second embodiment 100 is different from the embodiment of FIG. 1 in that the interfacial layer 150 is provided between the central layer 110 and the capping layer 120 and between the capping layer 120 and the protective layer 130 . The interface layer 150 may be formed only between the central layer 110 and the capping layer 120 and between the capping layer 120 and the protective layer 130 .

계면층(150)은 각 층을 형성하는 과정에서 자연스럽게 형성될 수 있고, 또는 인위적인 형성 단계를 추가함으로써 형성될 수도 있다. 계면층(150)은 계면에 인접한 두 층을 구성하는 물질과 상이한 조성으로 형성될 수 있다. 각 계면층(150)의 조성은 두께 방향상의 위치에 따라 선형 혹은 비선형적으로 변화될 수 있고, 또는 평면 방향상의 위치에 따라 선형 또는 비선형적으로 변화될 수 있으며, 이 둘이 혼합될 수도 있다. 예를 들어, Si/금속실리사이드 구조에서 Si층과 금속실리사이드층의 계면에는 두께 방향으로 금속실리사이드 층의 조성이 차이가 있을 수 있다. 구체적으로는, Si/MoSix 구조에서, Si와 인접한 위치에서 계면층(150)은 MoSi1.1 의 조성을 가지며, MoSix 와 인접한 위치에서 계면층(150)은 MoSi0.9 의 조성을 가질 수 있으며, 또는 그 반대의 조성을 가질 수 있다.The interface layer 150 may be formed naturally in the process of forming each layer, or may be formed by adding an artificial forming step. The interface layer 150 may be formed of a different composition from materials constituting the two layers adjacent to the interface. The composition of each interface layer 150 may be changed linearly or non-linearly according to a position in the thickness direction, or may be changed linearly or non-linearly according to a position in a planar direction, and the two may be mixed. For example, in the Si/metal silicide structure, the composition of the metal silicide layer may be different in the thickness direction at the interface between the Si layer and the metal silicide layer. Specifically, in the Si/MoSi x structure, the interfacial layer 150 at a position adjacent to Si may have a composition of MoSi 1.1 , and the interfacial layer 150 at a position adjacent to MoS x may have a composition of MoSi 0.9 , or It can have the opposite composition.

본 발명에서는 계면층(150)의 형성에 대하여 Si/MoSix 구조 하나의 예시를 설명하였으나, 중심층(110), 캡핑층(120) 및 보호층(130)으로 구성되는 다층 구조 펠리클부(10)의 각 계면에서는 계면을 형성하는 해당 물질에 따라 다양한 종류의 계면층(150)이 존재할 수 있다. 즉, 본 발명의 다층 구조에서, 각 층간 계면에 하나 이상의 층이 존재하고, 계면에 존재하는 층의 물질 및 조성이 계면을 형성하는 인접한 두 층의 구성 물질들의 조합으로 구성된다면, 이는 계면층(150)의 범주에 포함될 수 있다.In the present invention, one example of the Si/MoSi x structure has been described with respect to the formation of the interfacial layer 150 , but the multilayer structure pellicle part 10 composed of the central layer 110 , the capping layer 120 , and the protective layer 130 . ) at each interface, various types of the interface layer 150 may exist according to the material forming the interface. That is, in the multilayer structure of the present invention, if at least one layer is present at the interface between each layer, and the material and composition of the layer present at the interface is composed of a combination of constituent materials of two adjacent layers forming the interface, then this is an interfacial layer ( 150) can be included.

도 3 내지 도 7 은 도 1 의 제 1 실시예에 따른 극자외선 리소그래피용 펠리클의 제조 방법을 순차적으로 도시한 도면이다.3 to 7 are views sequentially illustrating a method of manufacturing a pellicle for extreme ultraviolet lithography according to the first embodiment of FIG. 1 .

도 3 을 참조하면, 펠리클 지지기판(210)인 실리콘 기판 상에 식각 저지층(140) 및 중심층(110)을 순차적으로 형성한다.Referring to FIG. 3 , the etch stop layer 140 and the central layer 110 are sequentially formed on the silicon substrate serving as the pellicle support substrate 210 .

도 4 를 참조하면, 중심층(110) 위에 상부 식각보호층(310)을 형성하며, 이와 동시에 펠리클 지지기판(210) 하면에 하부 식각보호층(320)을 형성한다.Referring to FIG. 4 , an upper etch protection layer 310 is formed on the central layer 110 , and at the same time, a lower etch protection layer 320 is formed on the lower surface of the pellicle support substrate 210 .

도 5 를 참조하면, 먼저 하부 식각보호층(320)을 패터닝하여 하부 식각보호층 패턴(320a)을 형성한다. 여기에서, 하부 식각보호층 패턴(320a)은 건식 또는 습식 식각을 통하여 패터닝한다. 그리고 나서, 하부 식각보호층패턴(320a)을 식각마스크로 사용하여 지지기판(210)을 건식 식각 또는 KOH, TMAH 등을 이용한 습식 식각 공정으로 식각하고, 식각 저지층(140)을 식각하여 펠리클부(10)의 중심층(110) 하면이 노출되도록 식각저지층 패턴(140a)을 형성한다. Referring to FIG. 5 , the lower etch protection layer 320 is first patterned to form a lower etch protection layer pattern 320a. Here, the lower etch protection layer pattern 320a is patterned through dry or wet etching. Then, the support substrate 210 is etched by dry etching or a wet etching process using KOH, TMAH, etc. using the lower etch protection layer pattern 320a as an etch mask, and the etch stop layer 140 is etched to form the pellicle part An etch stop layer pattern 140a is formed so that the lower surface of the center layer 110 of (10) is exposed.

도 6 을 참조하면, 상부 식각보호층(310) 및 하부 식각보호층패턴(320a)을 제거한 후, 중심층(110) 하면에 캡핑층(120)을 형성한다.Referring to FIG. 6 , after the upper etch protection layer 310 and the lower etch protection layer pattern 320a are removed, a capping layer 120 is formed on the lower surface of the central layer 110 .

도 7 을 참조하면, 캡핑층(120) 하면에 보호층(130)을 형성한다.Referring to FIG. 7 , the protective layer 130 is formed on the lower surface of the capping layer 120 .

중심층(110), 캡핑층(120), 보호층(130), 식각 저지층(140), 상부 식각보호층(310), 및 하부 식각보호층(320)은 화학기상층착(Chemical Vapor Deposition: CVD), 열산화공정(Thermal oxidation), 스퍼터링(Sputtering), 전자빔 증발법(E-beam evaporation), 원자층증착(Atomic layer deposition) 등의 방법으로 형성한다.The central layer 110 , the capping layer 120 , the passivation layer 130 , the etch stop layer 140 , the upper etch protection layer 310 , and the lower etch protection layer 320 are formed by chemical vapor deposition: CVD), thermal oxidation, sputtering, E-beam evaporation, atomic layer deposition, and the like.

한편, 도 3 내지 도 7 의 실시예에서는 캡핑층(120)과 보호층(130)이 지지층 패턴(210a) 형성 후에 형성되는 예를 기술하였으나, 캡핑층(120)과 보호층(130)은 중심층(110) 형성 전에 지지기판(210) 상에 먼저 형성되도록 할 수도 있다.Meanwhile, in the embodiment of FIGS. 3 to 7 , an example in which the capping layer 120 and the protective layer 130 are formed after the support layer pattern 210a is formed has been described, but the capping layer 120 and the protective layer 130 are located in the center It may be formed first on the support substrate 210 before the layer 110 is formed.

이상, 도면을 참조하여 본 발명의 구조를 통하여 본 발명을 구체적으로 설명하지만, 구조는 단지 본 발명의 예시 및 설명을 하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로, 본 발명의 기술 분야에서 통상의 지식을 가진 자라면 구조로부터 다양한 변형 및 균등한 타 구조가 가능하다는 점을 이해할 수 있을 것이다. 따라서, 본 발명의 진정한 기술력 보호범위는 특허청구범위의 기술적 사항에 의해 정해져야 할 것이다.Above, although the present invention is specifically described through the structure of the present invention with reference to the drawings, the structure is used only for the purpose of illustration and description of the present invention and limits the meaning or the scope of the present invention described in the claims It is not intended to be limiting. Therefore, it will be understood by those of ordinary skill in the art of the present invention that various modifications and equivalent other structures are possible from the structure. Therefore, the true technical protection scope of the present invention will have to be determined by the technical matters of the claims.

100: 펠리클 110: 중심층
120: 캡핑층 130: 보호층
140: 식각 저지층 150: 계면층
210: 지지기판 310: 상부 식각보호층
320: 하부 식각보호층 320a: 하부 식각보호층 패턴
100: pellicle 110: central layer
120: capping layer 130: protective layer
140: etch stop layer 150: interfacial layer
210: support substrate 310: upper etch protection layer
320: lower etch protection layer 320a: lower etch protection layer pattern

Claims (9)

지지부상에 Si 재질의 층과 SiNx 재질의 층이 순차 적층된 2층막 구조의 중심층을 포함하는 펠리클부;
를 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
a pellicle part including a central layer of a two-layer film structure in which a layer of Si material and a layer of SiNx material are sequentially stacked on a support part;
A pellicle for extreme ultraviolet lithography comprising a.
제 1 항에 있어서,
상기 펠리클부는, BxC, SiCx, 또는 MoSix 중 적어도 하나 이상의 물질로 형성된 한 층 이상의 캡핑층을 더 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
The method of claim 1,
The pellicle part, BxC, SiCx, or MoSix Extreme ultraviolet lithography pellicle, characterized in that it further comprises one or more capping layers formed of at least one or more materials.
제 2 항에 있어서,
상기 중심층의 하층이 Si 재질로 형성되고 상기 중심층의 상층이 SiNx 재질로 형성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
A pellicle for extreme ultraviolet lithography, characterized in that the lower layer of the central layer is formed of a Si material and the upper layer of the central layer is formed of a SiNx material.
제 3 항에 있어서,
상기 캡핑층은 상기 중심층의 하면에 형성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
4. The method of claim 3,
The capping layer is a pellicle for extreme ultraviolet lithography, characterized in that formed on the lower surface of the central layer.
제 4 항에 있어서,
상기 펠리클부는, 상기 캡핑층의 하면에 형성되며 BxN, B, Zr, Zn, BxC, SiCx, SiNx, 중 하나 이상의 물질로 형성된 한 층 이상의 보호층을 더 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
5. The method of claim 4,
The pellicle part is formed on the lower surface of the capping layer and further comprises one or more protective layers formed of one or more of B x N, B, Zr, Zn, B x C, SiC x , SiN x , pellicle for extreme ultraviolet lithography.
제 5 항에 있어서,
상기 펠리클부를 구성하는 각각의 상기 물질은, BxN (x=0~3), BxC (x=0~7), SiNx (x=0.5~2), SiCx (x=0.1~4), MoSix (x=0.5~2.5) 의 조성비를 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
6. The method of claim 5,
Each of the materials constituting the pellicle part is B x N (x=0~3), B x C (x=0~7), SiN x (x=0.5~2), SiC x (x=0.1~) 4), MoSi x (x = 0.5 ~ 2.5) pellicle for extreme ultraviolet lithography, characterized in that it has a composition ratio.
제 4 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 펠리클부는 최하층으로부터 최상층의 구조가, SiCx/MoSix/Si/SiNx; BxN/MoSix/Si/SiNx; B/MoSix/Si/SiNx; Zr/MoSix/Si/SiNx; Zn/MoSix/Si/SiNx; BxC/MoSix/Si/SiNx; SiCx/MoSix/BxC/Si/SiNx; SiCx/MoSix/SiCx/Si/SiNx; 중 어느 하나의 구조를 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
7. The method according to any one of claims 4 to 6,
The structure of the uppermost layer from the lowermost layer of the pellicle part is SiCx/MoSix/Si/SiN x ; BxN/MoSix/Si/SiN x ; B/MoSix/Si/SiN x ; Zr/MoSix/Si/SiN x ; Zn/MoSix/Si/SiN x ; BxC/MoSix/Si/SiN x ; SiCx/MoSix/BxC/Si/SiNx; SiCx/MoSix/SiCx/Si/SiNx; A pellicle for extreme ultraviolet lithography, characterized in that it has any one of the structures.
제 5 항 또는 제 6 항에 있어서,
상기 펠리클부는, 상기 중심층과 상기 캡핑층 또는 상기 캡핑층과 상기 보호층 사이에 형성된 계면층을 더 포함하는 특징으로 하는 극자외선 리소그래피용 펠리클.
7. The method according to claim 5 or 6,
The pellicle unit, extreme ultraviolet lithography pellicle, characterized in that it further comprises an interface layer formed between the central layer and the capping layer or the capping layer and the protective layer.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 펠리클부는 극자외선 노광광에 대하여 85% 이상의 투과율 및 0.04% 이하의 반사율을 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
7. The method according to any one of claims 1 to 6,
The pellicle portion is a pellicle for extreme ultraviolet lithography, characterized in that it has a transmittance of 85% or more and a reflectance of 0.04% or less with respect to the extreme ultraviolet exposure light.
KR1020200097253A 2020-08-04 2020-08-04 Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure KR102440483B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200097253A KR102440483B1 (en) 2020-08-04 2020-08-04 Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200097253A KR102440483B1 (en) 2020-08-04 2020-08-04 Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure

Publications (2)

Publication Number Publication Date
KR20220017132A true KR20220017132A (en) 2022-02-11
KR102440483B1 KR102440483B1 (en) 2022-09-07

Family

ID=80266522

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200097253A KR102440483B1 (en) 2020-08-04 2020-08-04 Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure

Country Status (1)

Country Link
KR (1) KR102440483B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023249314A1 (en) * 2022-06-22 2023-12-28 주식회사 에프에스티 Method for manufacturing pellicle for extreme ultraviolet lithography

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170205705A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Pellicle and method for manufacturing the same
US20180292744A1 (en) * 2016-12-15 2018-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
US20190146332A1 (en) * 2014-07-04 2019-05-16 Asml Netherlands B.V. Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane
KR20190053706A (en) * 2017-11-10 2019-05-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet Lithography
KR20190053766A (en) * 2018-08-24 2019-05-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20200077527A (en) * 2017-11-06 2020-06-30 에이에스엠엘 네델란즈 비.브이. Metal silicide nitriding for stress reduction

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190146332A1 (en) * 2014-07-04 2019-05-16 Asml Netherlands B.V. Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane
US20170205705A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Pellicle and method for manufacturing the same
US20180292744A1 (en) * 2016-12-15 2018-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
KR20200077527A (en) * 2017-11-06 2020-06-30 에이에스엠엘 네델란즈 비.브이. Metal silicide nitriding for stress reduction
KR20190053706A (en) * 2017-11-10 2019-05-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet Lithography
KR20190053766A (en) * 2018-08-24 2019-05-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023249314A1 (en) * 2022-06-22 2023-12-28 주식회사 에프에스티 Method for manufacturing pellicle for extreme ultraviolet lithography

Also Published As

Publication number Publication date
KR102440483B1 (en) 2022-09-07

Similar Documents

Publication Publication Date Title
KR101863497B1 (en) Pellicle structure and method for forming the same
US20070224523A1 (en) Reflective photomask, method of fabricating the same, and reflective blank photomask
US20210096458A1 (en) Pellicle for euv lithography and method for manufacturing the same
JP6743505B2 (en) Reflective mask blank and reflective mask
KR102463517B1 (en) Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same
KR102440483B1 (en) Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure
WO2021085192A1 (en) Reflective mask and production method for reflective mask
TW202129705A (en) Euv photo masks and manufacturing method thereof
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
KR20200084206A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20210095111A (en) Pellicle for EUV Lithography, and Method for manufacturing the same
TWI785417B (en) Pellicle for extreme ultraviolet lithography
WO2022080257A1 (en) Reflective mask and method for producing reflective mask
US7807318B2 (en) Reflective photomask and method of fabricating the same
KR20220017135A (en) Pellicle for Extreme Ultraviolet Lithography with Surface layer formed by Heat-treatment
KR20210047455A (en) Pellicle with Porous Surface for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same
KR102511775B1 (en) Pellicle for Extreme Ultraviolet(EUV) Lithography with Diffusion Prevention Layer, and Method for fabricating the same
WO2022264832A1 (en) Reflective photomask and method for manufacturing reflective photomask
TWI785481B (en) Reflective mask and manufacturing method thereof
KR20220017134A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography with Multi-emission Spectrum and Method for Fabricating of the Same
TW202347008A (en) Reflective photomask blank and reflective photomask
KR20230011836A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for Fabricating of the same
KR20240015969A (en) Pellicle for EUV Lithography
TW202331406A (en) Reflection-type mask blank, reflection-type mask, and method for producing reflection-type mask
KR20220041363A (en) Pellicle for EUV lithography with Core Layer made of Nano-particles, and Method for manufacturing the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant