TW202331406A - Reflection-type mask blank, reflection-type mask, and method for producing reflection-type mask - Google Patents

Reflection-type mask blank, reflection-type mask, and method for producing reflection-type mask Download PDF

Info

Publication number
TW202331406A
TW202331406A TW112101202A TW112101202A TW202331406A TW 202331406 A TW202331406 A TW 202331406A TW 112101202 A TW112101202 A TW 112101202A TW 112101202 A TW112101202 A TW 112101202A TW 202331406 A TW202331406 A TW 202331406A
Authority
TW
Taiwan
Prior art keywords
film
reflective
absorber
reflection
reflective photomask
Prior art date
Application number
TW112101202A
Other languages
Chinese (zh)
Inventor
田邊容由
Original Assignee
日商Agc股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商Agc股份有限公司 filed Critical 日商Agc股份有限公司
Publication of TW202331406A publication Critical patent/TW202331406A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof

Abstract

The present invention relates to a reflection-type mask blank comprising a substrate and a multi-layer reflection film capable of reflecting EUV light, an intermediate film, a protection film and an absorbent film provided on the substrate in this order, in which the intermediate film is at least one selected from the group consisting of a silicon nitride film, a silicon oxide film and a silicon oxynitride film, and the thickness of the intermediate film is 7 to 11 nm.

Description

反射型光罩基底、反射型光罩、反射型光罩之製造方法Reflective photomask base, reflective photomask, manufacturing method of reflective photomask

本發明係關於一種反射型光罩及其製造方法、以及作為反射型光罩之原板之反射型光罩基底,上述反射型光罩用於半導體製造之曝光工藝中所使用之EUV(Etreme Ultra Violet:極紫外光)曝光。The present invention relates to a reflective photomask, a manufacturing method thereof, and a reflective photomask substrate as an original plate of a reflective photomask. : extreme ultraviolet light) exposure.

近年來,為了使半導體裝置進一步微細化,業界正在研究一種使用中心波長13.5 nm附近之EUV光作為光源之EUV微影術。In recent years, in order to further miniaturize semiconductor devices, EUV lithography using EUV light with a center wavelength near 13.5 nm as a light source is being studied.

於EUV曝光中,根據EUV光之特性,而使用反射光學系統以及反射型光罩。反射型光罩係於基板上形成有反射EUV光之多層反射膜,並於多層反射膜上圖案化有吸收EUV光之吸收體膜。於多層反射膜與吸收體膜之間,亦常形成保護膜,以保護多層反射膜免受形成光罩圖案時之蝕刻之影響(專利文獻1)。In EUV exposure, reflective optical systems and reflective masks are used according to the characteristics of EUV light. The reflective mask is formed on the substrate with a multilayer reflective film that reflects EUV light, and an absorber film that absorbs EUV light is patterned on the multilayer reflective film. A protective film is also often formed between the multilayer reflective film and the absorber film to protect the multilayer reflective film from the influence of etching when forming a mask pattern (Patent Document 1).

關於自曝光裝置之照明光學系統入射至反射型光罩之EUV光,於無吸收體膜之部分(開口部)中被反射,於有吸收體膜之部分(非開口部)中被吸收。結果為,光罩圖案通過曝光裝置之縮小投影光學系統,以抗蝕圖案之形式轉印於晶圓上,而實施後續處理。The EUV light incident on the reflective mask from the illumination optical system of the exposure device is reflected in the portion without the absorber film (opening) and absorbed in the portion with the absorber film (non-opening). As a result, the mask pattern is transferred on the wafer in the form of a resist pattern through the reduction projection optical system of the exposure device, and subsequent processing is performed.

另一方面,自EUV光之光源所發出之電磁波亦可包含除EUV光以外之紫外光。除上述EUV光以外之紫外光波長區域之光被稱為OOB(Out of Band,帶外)光。用於形成抗蝕圖案之抗蝕劑在大多情況下不僅對EUV光而且對上述OOB光亦具有感光性,對波長150~300 nm之紫外光(以下,亦稱為「抗蝕劑感光性紫外光」)之感光性尤其較高。 非開口部中之抗蝕劑感光性紫外光之反射率可藉由在上述吸收體膜上設置低反射膜來降低,但於開口部中,可因多層反射膜而產生抗蝕劑感光性紫外光之反射。於圖2示出自非專利文獻1中引用之具有Ru保護膜之多層反射膜於波長10~400 nm下之反射率。具有Ru保護膜之多層反射膜於波長13.5 nm附近之EUV光下具有65%左右之反射率,另一方面,除EUV光以外,於波長150 nm以上之紫外光下反射率亦較大。 [先前技術文獻] [專利文獻] On the other hand, the electromagnetic waves emitted from the light source of EUV light may also include ultraviolet light other than EUV light. Light in the ultraviolet wavelength region other than the above-mentioned EUV light is called OOB (Out of Band, out-of-band) light. Resists used to form resist patterns are photosensitive not only to EUV light but also to OOB light above in most cases, and to ultraviolet light with a wavelength of 150 to 300 nm (hereinafter also referred to as "resist photosensitive ultraviolet light"). Light") is especially high in photosensitivity. The reflectance of resist photosensitive ultraviolet light in the non-opening part can be reduced by providing a low reflection film on the above-mentioned absorber film, but in the opening part, the resist photosensitive ultraviolet light can be generated by the multilayer reflective film. reflection of light. FIG. 2 shows the reflectance at a wavelength of 10 to 400 nm of the multilayer reflective film having a Ru protective film cited in Non-Patent Document 1. The multilayer reflective film with Ru protective film has a reflectance of about 65% under EUV light with a wavelength of around 13.5 nm. On the other hand, in addition to EUV light, the reflectance is also relatively high under ultraviolet light with a wavelength of 150 nm or more. [Prior Art Literature] [Patent Document]

專利文獻1:日本專利特開2006-332153號公報 [非專利文獻] Patent Document 1: Japanese Patent Laid-Open No. 2006-332153 [Non-patent literature]

非專利文獻1:S. A. George等,Proc. SPIE 卷7636,763626(2010)Non-Patent Document 1: S. A. George et al., Proc. SPIE Vol. 7636, 763626 (2010)

[發明所欲解決之問題][Problem to be solved by the invention]

若如上所述於開口部中因多層反射膜而產生抗蝕劑感光性紫外光之反射,則抗蝕劑感光性紫外光雖然於EUV光之投影曝光時不會在晶圓上成像,但可使抗蝕劑整體曝光而使抗蝕圖案之形狀變差。 因此,業界期待開發一種開口部、即不存在吸收體膜之區域中之抗蝕劑感光性紫外光之反射得到抑制的反射型光罩。 又,對於反射型光罩,要求EUV光之反射率較高。 If the resist photosensitive ultraviolet light is reflected by the multilayer reflective film in the opening as described above, the resist photosensitive ultraviolet light will not form an image on the wafer during projection exposure to EUV light, but can Exposure of the entire resist degrades the shape of the resist pattern. Therefore, development of a reflective photomask in which reflection of resist photosensitive ultraviolet light is suppressed in openings, that is, regions where no absorber film exists, is desired in the industry. In addition, for reflective masks, higher reflectivity of EUV light is required.

於專利文獻1中,揭示有一種於保護膜與多層反射膜之間設置有熱擴散抑制膜之反射型光罩基底。上述熱擴散抑制膜之膜厚適宜為0.5~2.5 nm,於使用上述光罩基底所形成之反射型光罩中,對抗蝕劑感光性紫外光之反射之抑制並不充分。Patent Document 1 discloses a reflective photomask substrate in which a thermal diffusion suppressing film is provided between a protective film and a multilayer reflective film. The film thickness of the above-mentioned thermal diffusion suppressing film is preferably 0.5-2.5 nm, and in the reflective photomask formed using the above-mentioned photomask base, the suppression of the reflection of photosensitive ultraviolet light of the resist is not sufficient.

因此,本發明之課題在於提供一種反射型光罩基底,其於製作反射型光罩時,抑制EUV光之反射之降低,且達成對抗蝕劑感光性紫外光之反射之抑制。 又,本發明之課題亦在於提供一種使用上述反射型光罩基底之反射型光罩之製造方法、及反射型光罩。 [解決問題之技術手段] Therefore, the object of the present invention is to provide a reflective photomask substrate, which can suppress the decrease in the reflection of EUV light and achieve the suppression of the reflection of resist photosensitive ultraviolet light when manufacturing a reflective photomask. Moreover, the subject of this invention is also providing the manufacturing method of the reflective type mask which used the said reflective type mask base, and a reflective type mask. [Technical means to solve the problem]

本發明人等對上述課題進行了銳意研究,結果發現,藉由在多層反射膜與保護膜之間設置膜厚為7~11 nm之選自由氮化矽膜、氧化矽膜、及氮氧化矽膜所組成之群中之一種中間膜,而達成上述課題,從而完成了本發明。The inventors of the present invention have conducted earnest research on the above-mentioned subject, and found that by providing a film thickness of 7-11 nm between the multilayer reflective film and the protective film, it is selected from a silicon nitride film, a silicon oxide film, and a silicon oxynitride film. The present invention has been accomplished by achieving the above-mentioned object as one of the intermediate films among the group of films.

即,發明人等發現藉由以下構成可解決上述課題。 [1]一種反射型光罩基底,其於基板上依序具有反射EUV光之多層反射膜、中間膜、保護膜、及吸收體膜,且 上述中間膜為選自由氮化矽膜、氧化矽膜、及氮氧化矽膜所組成之群中之一種, 上述中間膜之膜厚為7~11 nm。 [2]如[1]所記載之反射型光罩基底,其於上述吸收體膜之與上述保護膜側相反側具有硬罩膜,且 構成上述硬罩膜之材料為鉻及矽中之任一種材料,或者為包含鉻、與選自由氧、氮、碳及氫所組成之群中之一種以上之元素的材料,或者為包含矽、與選自由氧、氮、碳及氫所組成之群中之一種以上之元素的材料。 [3]如[1]所記載之反射型光罩基底,其中上述吸收體膜為釕金屬單質,或者 包含選自由釕、鉭、及錫所組成之群中之一種以上之元素、與選自由鉻、金、鉑、錸、鉿、鈦、矽、鈮、氧、氮、硼及氫所組成之群中之一種以上之元素。 [4]如[1]所記載之反射型光罩基底,其中上述保護膜包含選自由釕及銠所組成之群中之至少一種元素。 [5]一種反射型光罩,其具有使如[1]至[4]中任一項所記載之反射型光罩基底之上述吸收體膜圖案化而形成之吸收體膜圖案。 [6]一種反射型光罩之製造方法,其包括使如[1]至[4]中任一項所記載之反射型光罩基底之上述吸收體膜圖案化之步驟。 [發明之效果] That is, the inventors found that the above-mentioned problems can be solved by the following configurations. [1] A reflective photomask substrate, which sequentially has a multilayer reflective film that reflects EUV light, an intermediate film, a protective film, and an absorber film on a substrate, and The intermediate film is one selected from the group consisting of a silicon nitride film, a silicon oxide film, and a silicon oxynitride film, The film thickness of the above-mentioned intermediate film is 7-11 nm. [2] The reflective photomask substrate according to [1], which has a hard mask film on the side opposite to the protective film side of the absorber film, and The material constituting the above-mentioned hard mask film is any one of chromium and silicon, or a material containing chromium and one or more elements selected from the group consisting of oxygen, nitrogen, carbon, and hydrogen, or a material containing silicon, Materials with one or more elements selected from the group consisting of oxygen, nitrogen, carbon and hydrogen. [3] The reflective photomask substrate as described in [1], wherein the absorber film is a simple substance of ruthenium metal, or Contains one or more elements selected from the group consisting of ruthenium, tantalum, and tin, and selected from the group consisting of chromium, gold, platinum, rhenium, hafnium, titanium, silicon, niobium, oxygen, nitrogen, boron, and hydrogen One or more of the elements. [4] The reflective photomask substrate according to [1], wherein the protective film contains at least one element selected from the group consisting of ruthenium and rhodium. [5] A reflective photomask having an absorber film pattern formed by patterning the absorber film of the reflective photomask base described in any one of [1] to [4]. [6] A method of manufacturing a reflective photomask, including the step of patterning the absorber film of the reflective photomask base described in any one of [1] to [4]. [Effect of Invention]

根據本發明,能夠提供一種反射型光罩基底,其於製作反射型光罩時,抑制EUV光之反射之降低,且達成對抗蝕劑感光性紫外光之反射之抑制。 又,根據本發明,亦能夠提供一種使用反射型光罩基底之反射型光罩之製造方法、及反射型光罩。 According to the present invention, it is possible to provide a reflective photomask substrate, which can suppress the decrease in the reflection of EUV light and achieve the suppression of the reflection of resist photosensitive ultraviolet light when manufacturing a reflective photomask. Moreover, according to this invention, the manufacturing method of the reflective mask using a reflective mask base, and a reflective mask can also be provided.

以下,詳細地對本發明進行說明。 以下所記載之構成要件之說明有時基於本發明之代表性實施方式而完成,但本發明並不限於此種實施方式。 示出本說明書中之各記載之含義。 於本說明書中,使用「~」所表示之數值範圍意指包含「~」之前後所記載之數值作為下限值及上限值之範圍。 於本說明書中,氫、硼、碳、氮、氧、氟、矽、氯、鈦、鉻、鈮、釕、銠、錫、鉿、鉭、鉑、金等元素有時以各自對應之元素符號(H、B、C、N、O、F、Si、Cl、Ti、Cr、Nb、Ru、Rh、Sn、Hf、Ta、Pt及Au等)進行表示。 Hereinafter, the present invention will be described in detail. The description of the constituent elements described below may be based on representative embodiments of the present invention, but the present invention is not limited to such embodiments. Indicates the meaning of each description in this specification. In this specification, the numerical range represented by "~" means the range which includes the numerical value described before and after "~" as a lower limit and an upper limit. In this specification, elements such as hydrogen, boron, carbon, nitrogen, oxygen, fluorine, silicon, chlorine, titanium, chromium, niobium, ruthenium, rhodium, tin, hafnium, tantalum, platinum, and gold are sometimes represented by their corresponding element symbols (H, B, C, N, O, F, Si, Cl, Ti, Cr, Nb, Ru, Rh, Sn, Hf, Ta, Pt, Au, etc.)

<反射型光罩基底> 本實施方式之反射型光罩基底係於基板上依序具有反射EUV光之多層反射膜、中間膜、保護膜、及吸收體膜。又,中間膜為選自由氮化矽膜、氧化矽膜、及氮氧化矽膜所組成之群中之一種,中間膜之膜厚為7~11 nm。 參照圖式對本實施方式之反射型光罩基底進行說明。 <Reflective mask base> The reflective photomask base of this embodiment has a multilayer reflective film that reflects EUV light, an intermediate film, a protective film, and an absorber film in sequence on a substrate. Also, the intermediate film is one selected from the group consisting of a silicon nitride film, a silicon oxide film, and a silicon oxynitride film, and the film thickness of the intermediate film is 7 to 11 nm. A reflective photomask base according to this embodiment will be described with reference to the drawings.

圖1係表示本實施方式之反射型光罩基底之態樣之一例的剖視圖。圖1所示之反射型光罩基底10依序具有基板11、多層反射膜12、中間膜13、保護膜14、及吸收體膜15,且於基板11之與多層反射膜12側相反側之面具有背面導電膜16。 圖1所示之反射型光罩基底10係具有背面導電膜16之態樣,亦可為不具有背面導電膜16之態樣。 又,如圖3所示,本實施方式之反射型光罩基底10a亦可為如下態樣,該態樣依序具有基板11、多層反射膜12、中間膜13、保護膜14、吸收體膜15、及硬罩膜17,且於基板11之與多層反射膜12相反側之面具有背面導電膜16。再者,圖3所示之反射型光罩基底10a係具有背面導電膜16之態樣,但亦可為不具有背面導電膜16之態樣。 FIG. 1 is a cross-sectional view showing an example of an aspect of a reflection-type photomask base according to this embodiment. The reflective photomask substrate 10 shown in FIG. 1 has a substrate 11, a multilayer reflective film 12, an intermediate film 13, a protective film 14, and an absorber film 15 in sequence, and on the side opposite to the multilayer reflective film 12 side of the substrate 11, The face has a back conductive film 16 . The reflective photomask substrate 10 shown in FIG. 1 has a backside conductive film 16 , and may also have a backside conductive film 16 . Furthermore, as shown in FIG. 3 , the reflective photomask substrate 10a of this embodiment may also have an aspect in which a substrate 11, a multilayer reflective film 12, an intermediate film 13, a protective film 14, and an absorber film are sequentially provided. 15, and a hard mask film 17, and a back conductive film 16 is provided on the surface of the substrate 11 opposite to the multilayer reflective film 12. Furthermore, the reflective photomask substrate 10 a shown in FIG. 3 has a back conductive film 16 , but may also have a back conductive film 16 .

此處,於使用上述反射型光罩基底10使吸收體膜15圖案化而製作反射型光罩時,於露出了保護膜14之區域中,可反射抗蝕劑感光性紫外光(波長150~300 nm之紫外光)。 關於來自反射型光罩之抗蝕劑感光性紫外光之反射光,認為其產生於所露出之保護膜14之表面、及多層反射膜12(主要是與中間膜13之界面)。於中間膜13為上述態樣之情形時,中間膜相對於抗蝕劑感光性紫外光而言透明,因此上述所產生之抗蝕劑感光性紫外光之反射光彼此干涉,反射得到抑制。又,同時,於中間膜13為上述態樣之情形時,可維持多層反射膜12所具有之EUV光之反射率。 Here, when a reflective photomask is produced by patterning the absorber film 15 using the above-mentioned reflective photomask base 10, in the region where the protective film 14 is exposed, the resist photosensitive ultraviolet light (wavelength 150 to 300 nm ultraviolet light). The reflected light from the resist photosensitive ultraviolet light of the reflective photomask is considered to be generated on the exposed surface of the protective film 14 and the multilayer reflective film 12 (mainly the interface with the intermediate film 13). In the case where the intermediate film 13 is in the above aspect, since the intermediate film is transparent to the resist photosensitive ultraviolet light, the reflected light of the resist photosensitive ultraviolet light generated above interferes with each other, and the reflection is suppressed. Also, at the same time, when the intermediate film 13 is in the above-mentioned form, the reflectance of the EUV light possessed by the multilayer reflective film 12 can be maintained.

以下,對本實施方式之反射型光罩基底所具有之構成進行說明。 再者,以下,於製作反射型光罩時,將在無吸收體膜之區域(露出了保護膜之區域)中EUV光之反射之降低得到抑制之情況亦稱為「EUV光之反射率優異」。又,於製作反射型光罩時,將在無吸收體膜之區域(露出了保護膜之區域)中抗蝕劑感光性紫外光之反射得到抑制之情況亦稱為「抗蝕劑感光性紫外光之低反射性優異」。 Hereinafter, the configuration of the reflective photomask base of the present embodiment will be described. Furthermore, in the following, when producing a reflective photomask, the fact that the decrease in the reflection of EUV light is suppressed in the area without the absorber film (the area where the protective film is exposed) is also referred to as "excellent reflectance of EUV light". ". In addition, when making a reflective photomask, the fact that the reflection of resist photosensitive ultraviolet light is suppressed in the area without the absorber film (the area where the protective film is exposed) is also called "resist photosensitive ultraviolet light". Excellent low reflectivity of light."

(基板) 本實施方式之反射型光罩基底所具有之基板較佳為熱膨脹係數較小。基板之熱膨脹係數較小,可抑制吸收體膜圖案因EUV光曝光時之熱量而產生應變。 基板之熱膨脹係數於20℃下,較佳為0±1.0×10 -7/℃,更佳為0±0.3×10 -7/℃。 作為熱膨脹係數較小之材料,可例舉SiO 2-TiO 2系玻璃等,但並不限定於此,亦可使用析出有β石英固溶體之結晶化玻璃、石英玻璃、金屬矽、及金屬等基板。 SiO 2-TiO 2系玻璃較佳為使用包含90~95質量%之SiO 2、及5~10質量%之TiO 2之石英玻璃。若TiO 2之含量為5~10質量%,則室溫附近之線膨脹係數大致為零,而於室溫附近基乎本不會產生尺寸變化。再者,SiO 2-TiO 2系玻璃亦可包含除SiO 2及TiO 2以外之微量成分。 (Substrate) The substrate included in the reflective photomask base of this embodiment preferably has a small coefficient of thermal expansion. The thermal expansion coefficient of the substrate is small, which can suppress the strain of the absorber film pattern due to the heat during EUV light exposure. The thermal expansion coefficient of the substrate is preferably 0±1.0×10 -7 /°C at 20°C, more preferably 0±0.3×10 -7 /°C. As a material with a small thermal expansion coefficient, SiO 2 -TiO 2 glass can be exemplified, but it is not limited thereto. Crystallized glass, quartz glass, metal silicon, and metal β-quartz solid solution can also be used. and other substrates. SiO 2 -TiO 2 -based glass is preferably quartz glass containing 90 to 95% by mass of SiO 2 and 5 to 10% by mass of TiO 2 . If the content of TiO 2 is 5-10% by mass, the coefficient of linear expansion near room temperature is almost zero, and there is almost no dimensional change near room temperature. Furthermore, the SiO 2 -TiO 2 based glass may also contain trace components other than SiO 2 and TiO 2 .

基板之供積層多層反射膜之側之面(以下,亦稱為「第1主面」)較佳為具有較高之表面平滑性。第1主面之表面平滑性可藉由表面粗糙度進行評價。第1主面之表面粗糙度以均方根粗糙度Rq計,較佳為0.15 nm以下。再者,表面粗糙度可利用原子力顯微鏡進行測定,表面粗糙度以基於JIS B0601:2013之均方根粗糙度Rq之形式進行說明。The surface of the substrate on which the multilayer reflective film is laminated (hereinafter also referred to as "the first main surface") preferably has high surface smoothness. The surface smoothness of the first main surface can be evaluated by surface roughness. The surface roughness of the first main surface is preferably 0.15 nm or less in terms of root mean square roughness Rq. In addition, the surface roughness can be measured using an atomic force microscope, and the surface roughness will be described as the root mean square roughness Rq based on JIS B0601:2013.

就可提高使用反射型光罩基底所獲得之反射型光罩之圖案轉印精度及位置精度之方面而言,較佳為對第1主面進行表面加工以成為特定之平坦度。基板之第1主面之特定之區域(例如132 mm×132 mm之區域)中,平坦度較佳為100 nm以下,更佳為50 nm以下,進而較佳為30 nm以下。平坦度例如可利用Fuji Film公司製造之平面測定用干涉儀進行測定。 基板之大小及厚度等可根據光罩之設計值等而適當決定。例如可例舉:外形為6英吋(152 mm)見方、且厚度為0.25英吋(6.3 mm)等。 In terms of improving the pattern transfer accuracy and positional accuracy of the reflective mask obtained using the reflective mask base, it is preferable to perform surface processing on the first main surface so as to have a specific flatness. In a specific region (for example, a region of 132 mm×132 mm) of the first main surface of the substrate, the flatness is preferably 100 nm or less, more preferably 50 nm or less, further preferably 30 nm or less. The flatness can be measured, for example, with an interferometer for planar measurement manufactured by Fuji Film Corporation. The size, thickness, and the like of the substrate can be appropriately determined according to the design value of the photomask, and the like. For example, it can be exemplified: the outer shape is 6 inches (152 mm) square, and the thickness is 0.25 inches (6.3 mm).

進而,就防止形成於基板上之膜(多層反射膜、吸收體膜等)因膜應力而發生變形之方面而言,基板較佳為具有較高之剛性。例如,基板之楊氏模數較佳為65 GPa以上。Furthermore, the substrate preferably has high rigidity from the viewpoint of preventing deformation of the film (multilayer reflective film, absorber film, etc.) formed on the substrate due to film stress. For example, the Young's modulus of the substrate is preferably 65 GPa or more.

(多層反射膜) 本實施方式之反射型光罩基底所具有之多層反射膜只要作為EUV光罩基底之反射層具有所需之特性即可,並無特別限定。多層反射膜較佳為對EUV光具有較高之反射率,具體而言,於EUV光以6°之入射角入射至多層反射膜之表面時,波長13.5 nm附近之EUV光之反射率之最大值較佳為60%以上,更佳為65%以上。又,即便於在多層反射膜上積層有保護膜之情形時,亦同樣地,波長13.5 nm附近之EUV光之反射率之最大值較佳為60%以上,更佳為65%以上。 (multilayer reflective film) The multilayer reflective film of the reflective mask base of this embodiment is not particularly limited as long as it has the required characteristics as the reflective layer of the EUV mask base. The multilayer reflective film preferably has higher reflectivity to EUV light, specifically, when EUV light is incident on the surface of the multilayer reflective film at an incident angle of 6°, the reflectivity of EUV light near a wavelength of 13.5 nm is the largest The value is preferably at least 60%, more preferably at least 65%. Also, even when a protective film is laminated on the multilayer reflective film, the maximum value of the reflectance of EUV light near a wavelength of 13.5 nm is preferably 60% or more, more preferably 65% or more.

關於多層反射膜,就可達成較高之EUV光之反射率之方面而言,通常使用將對EUV光顯示出較高之折射率之高折射率層、與對EUV光顯示出較低之折射率之低折射率層交替地積層複數次而成之多層反射膜。 多層反射膜可將自基板側依序積層有高折射率層及低折射率層之積層構造設為1個週期而積層複數個週期,亦可將依序積層有低折射率層及高折射率層之積層構造設為1個週期而積層複數個週期。 Regarding the multilayer reflective film, in terms of achieving a higher reflectance of EUV light, it is common to use a high-refractive-index layer that exhibits a higher refractive index for EUV light, and a layer that exhibits a lower refractive index for EUV light. A multi-layer reflective film formed by alternately laminating multiple low-refractive-index layers. The multi-layer reflective film can be laminated with a layered structure in which a high-refractive-index layer and a low-refractive-index layer are sequentially laminated from the substrate side as one cycle, and multiple cycles can be laminated, or a low-refractive-index layer and a high-refractive-index layer can be sequentially laminated. The lamination structure of the layers is assumed to be one cycle and a plurality of cycles are laminated.

作為高折射率層,可使用包含Si之層。作為包含Si之材料,除Si單質以外,亦可使用在Si中包含選自由B、C、N、及O所組成之群中之一種以上之Si化合物。藉由使用包含Si之高折射率層,可獲得EUV光之反射率優異之反射型光罩。 作為低折射率層,可使用包含選自由Mo、Ru、Rh、及Pt所組成之群中之金屬、或其等之合金之層。 上述高折射率層中廣泛使用Si,低折射率層中廣泛使用Mo。即,Mo/Si多層反射膜最為常見。但多層反射膜並不限定於此,亦可使用:Ru/Si多層反射膜、Mo/Be多層反射膜、Mo化合物/Si化合物多層反射膜、Si/Mo/Ru多層反射膜、Si/Mo/Ru/Mo多層反射膜、Si/Ru/Mo/Ru多層反射膜。 As the high refractive index layer, a layer containing Si can be used. As the material containing Si, besides Si simple substance, Si compounds containing one or more kinds selected from the group consisting of B, C, N, and O in Si may be used. By using a high-refractive-index layer containing Si, a reflection-type photomask excellent in reflectance of EUV light can be obtained. As the low refractive index layer, a layer containing a metal selected from the group consisting of Mo, Ru, Rh, and Pt, or an alloy thereof can be used. Si is widely used in the above-mentioned high refractive index layer, and Mo is widely used in the low refractive index layer. That is, Mo/Si multilayer reflective films are most common. However, the multilayer reflective film is not limited to this, and Ru/Si multilayer reflective film, Mo/Be multilayer reflective film, Mo compound/Si compound multilayer reflective film, Si/Mo/Ru multilayer reflective film, Si/Mo/ Ru/Mo multilayer reflective film, Si/Ru/Mo/Ru multilayer reflective film.

構成多層反射膜之各層之膜厚及層之重複單元之數可根據所使用之膜材料及對反射層所要求之EUV光之反射率進行適當選擇。以Mo/Si多層反射膜為例,於製成EUV光之反射率之最大值為60%以上之多層反射膜時,只要將膜厚2.3±0.1 nm之Mo膜與膜厚4.5±0.1 nm之Si膜以重複單元數成為30~60之方式進行積層即可。The film thickness of each layer constituting the multilayer reflective film and the number of repeating units of the layer can be appropriately selected according to the film material used and the reflectivity of EUV light required for the reflective layer. Taking Mo/Si multilayer reflective film as an example, when making a multilayer reflective film with a maximum reflectance of EUV light of more than 60%, it is only necessary to combine the Mo film with a film thickness of 2.3±0.1 nm and the Mo film with a film thickness of 4.5±0.1 nm. The Si film may be laminated so that the number of repeating units becomes 30 to 60.

再者,構成多層反射膜之各層可使用磁控濺鍍法、離子束濺鍍法等公知之成膜方法,以成為所需之厚度之方式進行成膜。例如,於使用離子束濺鍍法來製作多層反射膜之情形時,自離子源對高折射率材料之靶及低折射率材料之靶供給離子粒子而進行。於多層反射膜為Mo/Si多層反射膜之情形時,藉由離子束濺鍍法,例如首先使用Si靶,於基板上成膜出特定膜厚之Si層。其後,使用Mo靶,成膜出特定膜厚之Mo層。將該Si層及Mo層設為1個週期,積層30~60個週期,藉此成膜出Mo/Si多層反射膜。In addition, each layer which comprises a multilayer reflective film can be formed into a film so that it may become a desired thickness using well-known film-forming methods, such as a magnetron sputtering method and an ion beam sputtering method. For example, when an ion beam sputtering method is used to produce a multilayer reflective film, ion particles are supplied from an ion source to a target made of a high-refractive-index material and a target made of a low-refractive-index material. When the multilayer reflective film is a Mo/Si multilayer reflective film, an Si layer with a specific film thickness is formed on the substrate by ion beam sputtering, for example, using a Si target first. Thereafter, using a Mo target, a Mo layer having a specific film thickness is formed into a film. The Si layer and the Mo layer were set as one cycle and laminated for 30 to 60 cycles to form a Mo/Si multilayer reflective film.

多層反射膜之與中間膜相接之層較佳為包含不易氧化之材料之層。包含不易氧化之材料之層作為多層反射膜之蓋層發揮作用。作為包含不易氧化之材料之層,可例舉Si層。於多層反射膜為Si/Mo多層反射膜之情形時,若將與中間膜相接之層設為Si層,則與中間膜相接之層作為蓋層發揮作用。於此情形時,蓋層之膜厚較佳為11±2 nm。The layer of the multilayer reflective film that is in contact with the intermediate film is preferably a layer made of a material that is not easily oxidized. A layer comprising a material that is not easily oxidized functions as a capping layer of the multilayer reflective film. As a layer made of a material that is not easily oxidized, a Si layer may, for example, be mentioned. When the multilayer reflective film is a Si/Mo multilayer reflective film, if the layer in contact with the intermediate film is an Si layer, the layer in contact with the intermediate film functions as a capping layer. In this case, the film thickness of the cap layer is preferably 11±2 nm.

(中間膜) 本實施方式之反射型光罩基底所具有之中間膜為選自由氮化矽膜、氧化矽膜、及氮氧化矽膜所組成之群中之一種,且中間膜之膜厚為7~11 nm。 (Interlayer) The reflective photomask substrate of this embodiment has an intermediate film selected from the group consisting of a silicon nitride film, a silicon oxide film, and a silicon nitride oxide film, and the film thickness of the intermediate film is 7-11 nm. .

於中間膜為氮化矽膜之情形時,中間膜意指包含Si及N之膜,較佳為由Si及N所構成之膜。作為氮化矽膜,可例舉:Si 3N 4膜、Si-Si 3N 4膜(Si與Si 3N 4之混合物膜)、及SiN x膜(SiN x組成之均勻膜,x=0.1~1.3)。 Si-Si 3N 4膜中之Si 3N 4相對於Si之莫耳比較佳為0.25~4.00,更佳為0.43~1.50。 SiN x膜中之x較佳為0.1~1.0,更佳為0.2~0.5。 再者,氮化矽膜可包含不包括O在內之除Si及N以外之元素。 When the intermediate film is a silicon nitride film, the intermediate film means a film containing Si and N, preferably a film composed of Si and N. Examples of the silicon nitride film include: Si 3 N 4 film, Si-Si 3 N 4 film (mixture film of Si and Si 3 N 4 ), and SiN x film (uniform film of SiN x composition, x=0.1 ~1.3). The molar ratio of Si 3 N 4 to Si in the Si-Si 3 N 4 film is preferably from 0.25 to 4.00, more preferably from 0.43 to 1.50. x in the SiN x film is preferably from 0.1 to 1.0, more preferably from 0.2 to 0.5. Furthermore, the silicon nitride film may contain elements other than Si and N, excluding O.

於中間膜為氧化矽膜之情形時,中間膜意指包含Si及O之膜,較佳為由Si及O所構成之膜。作為氧化矽膜,可例舉:SiO 2膜、SiO膜、Si-SiO 2膜(Si與SiO 2之混合物膜)、Si-SiO膜(Si與SiO之混合物膜)、及SiO x膜(SiO x組成之均勻膜,x=0.1~1.9)。 Si-SiO 2膜中之SiO 2相對於Si之莫耳比較佳為0.67~9.00,更佳為1.50~4.00。 SiO x膜中之x較佳為0.4~1.6,更佳為0.7~1.3。 再者,氧化矽膜可包含不包括N在內之除Si及O以外之元素。 When the intermediate film is a silicon oxide film, the intermediate film means a film containing Si and O, preferably a film composed of Si and O. The silicon oxide film may, for example, be SiO2 film, SiO film, Si- SiO2 film (mixture film of Si and SiO2 ), Si-SiO film (mixture film of Si and SiO), and SiOx film ( SiOx film). Uniform film of x composition, x=0.1~1.9). The molar ratio of SiO 2 to Si in the Si-SiO 2 film is preferably from 0.67 to 9.00, more preferably from 1.50 to 4.00. x in the SiO x film is preferably from 0.4 to 1.6, more preferably from 0.7 to 1.3. Furthermore, the silicon oxide film may contain elements other than Si and O, excluding N.

於中間膜為氮氧化矽膜之情形時,中間膜意指包含Si、O及N之膜,較佳為由Si、O及N所構成之膜。作為氮氧化矽膜,可例舉:Si 2N 2O膜、Si-Si 2N 2O膜(Si與Si 2N 2O之混合物膜)、及SiO xN y膜(SiO xN y組成之均勻膜,x=0.1~1.9,y=0.1~1.3)。 Si-Si 2N 2O膜中之Si 2N 2O相對於Si之莫耳比較佳為0.25~4.00,更佳為0.43~2.33。 SiO xN y膜中之x較佳為0.05~0.3,更佳為0.1~0.2。SiO xN y膜中之y較佳為0.1~0.6,更佳為0.2~0.5。 再者,氮氧化矽膜可包含除Si、O及N以外之元素。 When the intermediate film is a silicon oxynitride film, the intermediate film means a film containing Si, O, and N, preferably a film composed of Si, O, and N. Examples of silicon oxynitride films include Si 2 N 2 O films, Si-Si 2 N 2 O films (mixture films of Si and Si 2 N 2 O), and SiO x N y films (SiO x N y compositions Uniform film, x=0.1~1.9, y=0.1~1.3). The molar ratio of Si 2 N 2 O to Si in the Si-Si 2 N 2 O film is preferably from 0.25 to 4.00, more preferably from 0.43 to 2.33. x in the SiO x N y film is preferably from 0.05 to 0.3, more preferably from 0.1 to 0.2. y in the SiO x N y film is preferably from 0.1 to 0.6, more preferably from 0.2 to 0.5. Furthermore, the silicon oxynitride film may contain elements other than Si, O, and N.

又,中間膜之膜厚為7~11 nm。藉由使中間膜之膜厚為7~11 nm,可抑制EUV光之反射之降低,且可抑制抗蝕劑感光性紫外光之反射。中間膜之膜厚較佳為7.5~10.5 nm,更佳為8~10 nm。 關於中間膜之膜厚,可製作反射型光罩基底之剖面,藉由掃描型穿透式電子顯微鏡-能量分散型X射線光譜(STEM-EDS)法進行分析而求出。 Also, the film thickness of the intermediate film is 7 to 11 nm. By making the film thickness of the interlayer film 7 to 11 nm, it is possible to suppress the decrease in the reflection of EUV light, and to suppress the reflection of resist photosensitive ultraviolet light. The film thickness of the interlayer is preferably 7.5-10.5 nm, more preferably 8-10 nm. The film thickness of the interlayer film can be determined by making a cross-section of the reflective mask substrate and analyzing it by scanning transmission electron microscope-energy dispersive X-ray spectroscopy (STEM-EDS).

就抗蝕劑感光性紫外光之低反射性優異之方面而言,中間膜較佳為相對於抗蝕劑感光性紫外光為透明。於中間膜相對於抗蝕劑感光性紫外光為透明之情形時,可干涉來自多層反射膜及保護膜之各個抗蝕劑感光性紫外光,而抗蝕劑感光性紫外光之低反射性優異。再者,上述「相對於抗蝕劑感光性紫外光為透明」係指抗蝕劑感光性紫外光之透過率為30%以上,透過率較佳為50%以上,更佳為70%以上。 又,中間膜較佳為不使多層反射膜所表現之較高之EUV光之反射率降低。就此方面而言,中間膜較佳為EUV光之透過率較高。就EUV光之透過率較高之方面而言,作為中間膜,較佳為氮化矽膜或氮氧化矽膜,更佳為氮化矽膜。 The interlayer film is preferably transparent to resist photosensitive ultraviolet light from the point of being excellent in low reflectivity of resist photosensitive ultraviolet light. When the interlayer film is transparent to resist UV light, it can interfere with each resist UV light from the multilayer reflective film and protective film, and the resist UV light has excellent low reflectivity . Furthermore, the above-mentioned "transparent to the photosensitive ultraviolet light of the resist" means that the transmittance of the photosensitive ultraviolet light of the resist is 30% or higher, preferably 50% or higher, more preferably 70% or higher. In addition, it is preferable that the interlayer film does not reduce the high reflectance of EUV light exhibited by the multilayer reflective film. In this regard, the intermediate film preferably has a higher transmittance of EUV light. In terms of high EUV light transmittance, the intermediate film is preferably a silicon nitride film or a silicon oxynitride film, more preferably a silicon nitride film.

中間膜之結晶狀態可為結晶性,亦可為非晶性,較佳為非晶性。The crystalline state of the intermediate film may be crystalline or amorphous, preferably amorphous.

中間膜可使用磁控濺鍍法、離子束濺鍍法等公知之成膜方法,以成為所需之厚度之方式進行成膜。例如,於使用離子束濺鍍法來製作氮化矽膜之中間膜之情形時,自離子源對Si之靶供給離子粒子,使製膜環境中含有氮氣而進行。若變更上述製膜環境中所含之氣體之種類,則可製膜出氧化矽膜或氮氧化矽膜之中間膜。又,若變更上述製膜環境中所含之氣體之量及比,則可調整中間膜中所含之各元素之比率。The intermediate film can be formed so as to have a desired thickness using known film forming methods such as magnetron sputtering and ion beam sputtering. For example, when forming an intermediate film of a silicon nitride film by ion beam sputtering, ion particles are supplied from an ion source to a Si target, and nitrogen gas is contained in the film forming environment. If the type of gas contained in the above-mentioned film-forming environment is changed, an intermediate film of a silicon oxide film or a silicon oxynitride film can be formed. Also, by changing the amount and ratio of the gases contained in the above-mentioned film forming environment, the ratio of each element contained in the intermediate film can be adjusted.

(保護膜) 本實施方式之反射型光罩基底所具有之保護膜係以如下目的而設置,即,於藉由蝕刻工藝(通常為乾式蝕刻工藝)對吸收體膜形成圖案時,保護多層反射膜使多層反射膜不會因蝕刻工藝而受損。 (protective film) The protective film of the reflective photomask base of this embodiment is provided for the purpose of protecting the multi-layer reflective film and making the multi-layer reflective when the absorber film is patterned by an etching process (usually a dry etching process). The membrane will not be damaged by the etching process.

作為可達成上述目的之材料,可例舉包含選自由Ru、及Rh所組成之群中之至少一種元素之材料。即,保護膜較佳為包含選自由Ru、及Rh所組成之群中之至少一種元素。 更具體而言,作為上述材料,可例舉:Ru金屬單質,包含Ru、與選自由Si、Ti、Nb、Rh、Ta、及Zr所組成之群中之一種以上之金屬之Ru合金,包含上述Ru合金及氮之含Ru氮化物等Ru系材料;以及Rh金屬單質,包含Rh、與選自由Si、Ti、Nb、Rh、Ta及Zr所組成之群中之一種以上之金屬之Rh合金,包含上述Rh合金及氮之含Rh氮化物等Rh系材料。 又,作為可達成上述目的之材料,亦可例示:Cr、Al、Ta、包含該等金屬及氮之氮化物、以及Al 2O 3等。 其中,作為可達成上述目的之材料,較佳為Ru系材料或Rh系材料,更佳為Ru金屬單質、Ru合金、Rh金屬單質、或Rh合金。作為Ru合金,較佳為Ru-Si合金,作為Rh合金,較佳為Rh-Si合金。 As a material capable of achieving the above object, a material containing at least one element selected from the group consisting of Ru and Rh is exemplified. That is, the protective film preferably contains at least one element selected from the group consisting of Ru and Rh. More specifically, as the above-mentioned material, for example: Ru metal single substance, Ru alloy containing Ru, and one or more metals selected from the group consisting of Si, Ti, Nb, Rh, Ta, and Zr, including Ru-based materials such as the above-mentioned Ru alloys and nitrogen-containing Ru nitrides; and Rh metal simple substances, Rh alloys containing Rh and one or more metals selected from the group consisting of Si, Ti, Nb, Rh, Ta, and Zr , including Rh-based materials such as the above-mentioned Rh alloy and nitrogen-containing Rh nitride. Moreover, Cr, Al, Ta, the nitride containing these metals and nitrogen, and Al2O3 etc. can also be illustrated as a material which can achieve the said object. Among them, the material capable of achieving the above-mentioned purpose is preferably a Ru-based material or an Rh-based material, and more preferably a single Ru metal, an Ru alloy, a single Rh metal, or an Rh alloy. The Ru alloy is preferably a Ru-Si alloy, and the Rh alloy is preferably a Rh-Si alloy.

於保護膜由Ru合金所形成之情形時,Ru合金中之Ru含量較佳為30 at%以上且未達100 at%。 於保護膜由Rh合金所形成之情形時,Rh合金中之Rh含量較佳為30 at%以上且未達100 at%。 若Ru含量或Rh含量處於上述範圍內,則保護膜可充分確保EUV光之反射率,並且可作為對吸收體膜進行蝕刻加工時之蝕刻終止層發揮作用。進而,可對反射型光罩賦予耐洗淨性,並且可防止多層反射膜之經時性劣化。 When the protective film is formed of a Ru alloy, the Ru content in the Ru alloy is preferably 30 at% or more and less than 100 at%. When the protective film is formed of a Rh alloy, the Rh content in the Rh alloy is preferably 30 at% or more and less than 100 at%. When the Ru content or the Rh content is within the above range, the protective film can sufficiently ensure the reflectance of EUV light and function as an etching stopper when etching the absorber film. Furthermore, cleaning resistance can be imparted to the reflective photomask, and deterioration over time of the multilayer reflective film can be prevented.

至於保護膜之膜厚,只要可發揮作為保護膜之功能即可,並無特別限制。就確保由多層反射膜所反射之EUV光之反射率之方面而言,保護膜之膜厚較佳為1~10 nm,更佳為1.5~6 nm,進而較佳為2~5 nm。 亦較佳為保護膜之材料為Ru金屬單質、Ru合金、Rh金屬單質、或Rh合金,且保護膜之膜厚為上述較佳之膜厚。 關於保護膜之膜厚,可製作反射型光罩基底之剖面,藉由掃描型穿透式電子顯微鏡-能量分散型X射線光譜(STEM-EDS)法進行分析而求出。 The thickness of the protective film is not particularly limited as long as it can function as a protective film. In terms of securing the reflectance of EUV light reflected by the multilayer reflective film, the film thickness of the protective film is preferably 1 to 10 nm, more preferably 1.5 to 6 nm, and still more preferably 2 to 5 nm. It is also preferable that the material of the protective film is Ru metal simple substance, Ru alloy, Rh metal simple substance, or Rh alloy, and the film thickness of the protective film is the above-mentioned preferred film thickness. The film thickness of the protective film can be determined by making a cross-section of the reflective mask substrate and analyzing it by scanning transmission electron microscope-energy dispersive X-ray spectroscopy (STEM-EDS).

保護膜可使用磁控濺鍍法、離子束濺鍍法等周知之成膜方法來成膜。於藉由磁控濺鍍法來成膜出Ru膜之情形時,較佳為將Ru靶用作靶,將Ar氣體用作濺鍍氣體來成膜。The protective film can be formed using a known film-forming method such as magnetron sputtering or ion beam sputtering. When forming a Ru film by a magnetron sputtering method, it is preferable to form a film using a Ru target as a target and Ar gas as a sputtering gas.

(吸收體膜) 對於本實施方式之反射型光罩基底所具有之吸收體膜,要求於使吸收體膜圖案化時,由多層反射膜反射之EUV光與由吸收體膜EUV光之對比度較高。 經圖案化之吸收體膜(吸收體膜圖案)可吸收EUV光而作為二元光罩發揮作用,亦可作為相位偏移光罩發揮作用,該相位偏移光罩反射EUV光並且與來自多層反射膜之EUV光進行干涉而產生對比度。 (absorbent film) For the absorber film included in the reflective photomask base of this embodiment, when patterning the absorber film, the contrast between the EUV light reflected by the multilayer reflective film and the EUV light from the absorber film is required to be high. The patterned absorber film (absorber film pattern) can absorb EUV light to function as a binary mask, and can also function as a phase-shift mask that reflects EUV light and integrates with the The EUV light of the reflective film interferes to generate contrast.

作為吸收體膜之態樣,例如可例舉:吸收體膜為Ru金屬單質之態樣;或者包含選自由Ru、Ta、及Sn所組成之群中之一種以上之元素、與選自由Cr、Au、Pt、Re、Hf、Ti、Si、Nb、O、N、B、及H所組成之群中之一種以上之元素的態樣。上述態樣可將下述吸收體膜圖案用作二元光罩或相位偏移光罩。As an aspect of the absorber film, for example, the absorber film is a form of Ru metal simple substance; or contains one or more elements selected from the group consisting of Ru, Ta, and Sn, and elements selected from the group consisting of Cr, A state of one or more elements in the group consisting of Au, Pt, Re, Hf, Ti, Si, Nb, O, N, B, and H. The above aspect can use the following absorber film pattern as a binary mask or a phase shift mask.

於將吸收體膜圖案用作二元光罩之情形時,必須是吸收體膜吸收EUV光,EUV光之反射率較低。具體而言,EUV光照射至吸收體膜之表面時之波長13.5 nm附近之EUV光之反射率之最大值較理想為2%以下。 吸收體膜可包含選自由Ta、Ti、Sn及Cr所組成之群中之一種以上之元素、與選自由O、N、B、Hf及H所組成之群中之一種以上之元素。其中,較佳為包含N或B。藉由包含N或B,可使吸收體膜之結晶狀態成為非晶或微晶結構。 吸收體膜之結晶狀態較佳為非晶。藉此,可提高吸收體膜之平滑性及平坦度。又,若吸收體膜之平滑性及平坦度變高,則吸收體膜圖案之邊緣粗糙度變小,可提高吸收體膜圖案之尺寸精度。 When the absorber film pattern is used as a binary mask, the absorber film must absorb EUV light, and the reflectance of EUV light is low. Specifically, when EUV light is irradiated onto the surface of the absorber film, the maximum value of the reflectance of EUV light near a wavelength of 13.5 nm is preferably 2% or less. The absorber film may contain one or more elements selected from the group consisting of Ta, Ti, Sn, and Cr, and one or more elements selected from the group consisting of O, N, B, Hf, and H. Among them, N or B is preferably included. By including N or B, the crystalline state of the absorber film can be made into an amorphous or microcrystalline structure. The crystalline state of the absorber film is preferably amorphous. Thereby, smoothness and flatness of the absorber film can be improved. Moreover, if the smoothness and flatness of the absorber film become high, the edge roughness of the absorber film pattern becomes small, and the dimensional accuracy of the absorber film pattern can be improved.

於將吸收體膜圖案用作相位偏移光罩之情形時,吸收體膜之EUV光之反射率較佳為2%以上。為了充分獲得相位偏移效果,吸收體膜之反射率較佳為9~15%。若將吸收體膜用作相位偏移光罩,則晶圓上之光學影像之對比度提高,曝光裕度增加。 作為形成相位偏移光罩之材料,例如可例示:Ru金屬單質;包含Ru與選自由Cr、Au、Pt、Re、Hf、Ti及Si所組成之群中之一種以上之金屬的Ru合金;Ta與Nb之合金;包含Ru合金或TaNb合金及氧之氧化物;包含Ru合金或TaNb合金及氮之氮化物;包含Ru合金或TaNb合金、氧及氮之氮氧化物等。 其中,關於形成相位偏移光罩之材料,選擇與形成上述保護膜之材料不同之材料。 When using the absorber film pattern as a phase shift mask, the reflectance of the absorber film for EUV light is preferably 2% or more. In order to fully obtain the phase shift effect, the reflectance of the absorber film is preferably 9 to 15%. If the absorber film is used as a phase shift mask, the contrast of the optical image on the wafer is improved and the exposure margin is increased. As the material for forming the phase shift mask, for example: Ru metal simple substance; Ru alloy containing Ru and one or more metals selected from the group consisting of Cr, Au, Pt, Re, Hf, Ti and Si; Alloys of Ta and Nb; oxides containing Ru alloys or TaNb alloys and oxygen; nitrides containing Ru alloys or TaNb alloys and nitrogen; nitrogen oxides containing Ru alloys or TaNb alloys, oxygen and nitrogen, etc. Here, regarding the material for forming the phase shift mask, a material different from the material for forming the above-mentioned protective film is selected.

吸收體膜可為單層膜,亦可為包含複數層膜之多層膜。於吸收體膜為單層膜之情形時,可減少光罩基底製造時之步驟數而提高生產效率。於吸收體膜為多層膜之情形時,配置於吸收體膜之與保護膜側相反側之層可為使用檢查光(例如波長193~248 nm)對吸收體膜圖案進行檢查時之抗反射膜。The absorber film may be a single-layer film or a multi-layer film including a plurality of layers. When the absorber film is a single-layer film, the number of steps in the manufacture of the photomask substrate can be reduced and the production efficiency can be improved. When the absorber film is a multilayer film, the layer disposed on the side opposite to the protective film side of the absorber film may be an antireflection film when inspecting the absorber film pattern using inspection light (eg, wavelength 193 to 248 nm) .

吸收體膜可使用磁控濺鍍法或離子束濺鍍法等公知之成膜方法來形成。例如,於使用磁控濺鍍法來形成氧化Ru膜作為吸收體膜之情形時,可使用Ru靶,供給包含Ar氣體及氧氣之氣體進行濺鍍,成膜出吸收體膜。The absorber film can be formed using a known film-forming method such as magnetron sputtering or ion beam sputtering. For example, when using a magnetron sputtering method to form a Ru oxide film as an absorber film, the absorber film can be formed by sputtering by supplying a gas containing Ar gas and oxygen gas using a Ru target.

(背面導電膜) 本實施方式之反射型光罩基底可於基板之與上述第1主面相反側之面(第2主面)具有背面導電膜。藉由具備背面導電膜,反射型光罩基底能夠利用靜電吸盤進行操作。 背面導電膜較佳為薄片電阻值較低。背面導電膜之薄片電阻值例如較佳為200 Ω/□以下,更佳為100 Ω/□以下。 作為背面導電膜之構成材料,可自公知之文獻中所記載者中廣泛選擇。例如,可應用日本專利特表2003-501823號公報中所記載之高介電常數之塗層、具體而言為包含Si、Mo、Cr、CrON、或TaSi之塗層。又,背面導電膜之構成材料亦可為包含Cr、與選自由B、N、O及C所組成之群中之一種以上之Cr化合物、或者包含Ta、與選自由B、N、O及C所組成之群中之一種以上之Ta化合物。 背面導電膜之厚度較佳為10~1000 nm,更佳為10~400 nm。 又,背面導電膜可具備反射型光罩基底之第2主面側之應力調整之功能。即,背面導電膜可調整至以下狀態,即,與形成於第1主面側之各種膜所產生之應力達到平衡,而使反射型光罩基底變得平坦。 背面導電膜可使用公知之成膜方法來形成,例如磁控濺鍍法、離子束濺鍍法等濺鍍法、CVD(chemical vapor deposition,化學氣相沈積)法、真空蒸鍍法、電解電鍍法。 (Conductive film on the back) The reflective photomask base of this embodiment may have a back conductive film on the surface (second main surface) of the substrate opposite to the above-mentioned first main surface. By having a backside conductive film, reflective photomask substrates can be handled using electrostatic chucks. The back conductive film preferably has a lower sheet resistance. The sheet resistance of the back conductive film is, for example, preferably 200 Ω/□ or less, more preferably 100 Ω/□ or less. The constituent material of the back conductive film can be widely selected from those described in known documents. For example, a coating with a high dielectric constant described in Japanese Patent Application Laid-Open No. 2003-501823, specifically, a coating containing Si, Mo, Cr, CrON, or TaSi can be applied. Also, the constituent material of the back conductive film may also include Cr and one or more Cr compounds selected from the group consisting of B, N, O, and C, or Ta, and a compound selected from B, N, O, and C. More than one Ta compound in the group formed. The thickness of the back conductive film is preferably 10-1000 nm, more preferably 10-400 nm. In addition, the back conductive film may have a function of adjusting the stress on the second main surface side of the reflection type photomask base. That is, the rear conductive film can be adjusted to a state in which it is in balance with the stress generated by various films formed on the first main surface side, so that the reflective photomask base becomes flat. The back conductive film can be formed using known film-forming methods, such as magnetron sputtering, ion beam sputtering and other sputtering methods, CVD (chemical vapor deposition, chemical vapor deposition) method, vacuum evaporation method, electrolytic plating, etc. Law.

(其他膜) 本實施方式之反射型光罩基底可具有其他膜。作為其他膜,可例舉硬罩膜。硬罩膜較佳為配置於吸收體膜之與保護膜側相反側。 作為硬罩膜,較佳為使用Cr系膜及Si系膜等對於乾式蝕刻耐受性較高之材料。作為硬罩膜之材料,具體而言,可例舉:鉻(Cr)及矽(Si)中之任一種材料;包含Cr、與選自由O、N、C及H所組成之群中之一種以上之元素的材料;或包含Si、與選自由O、N、C及H所組成之群中之一種以上之元素的材料等。更具體而言,可例舉:CrO、CrN、SiO 2、SiON、SiN、SiO、Si、SiC、SiCO、SiCN、及SiCON等。 若於吸收體膜上形成硬罩膜,則即便吸收體膜圖案之最小線寬變小,仍可實施乾式蝕刻。因此,對於吸收體膜圖案之微細化較為有效。 (Other films) The reflective photomask base of this embodiment may have other films. As another film, a hard mask film is mentioned. The hard cover film is preferably arranged on the side opposite to the protective film side of the absorber film. As the hard mask film, it is preferable to use a material having high resistance to dry etching, such as a Cr-based film and a Si-based film. As the material of the hard mask, specifically, any material in chromium (Cr) and silicon (Si); including Cr, and one selected from the group consisting of O, N, C and H A material of the above elements; or a material containing Si, and one or more elements selected from the group consisting of O, N, C, and H, etc. More specifically, CrO, CrN, SiO2 , SiON, SiN, SiO, Si, SiC, SiCO, SiCN, SiCON, etc. are mentioned. If the hard mask film is formed on the absorber film, dry etching can be performed even if the minimum line width of the absorber film pattern becomes small. Therefore, it is effective for miniaturization of the absorber film pattern.

<反射型光罩之製造方法及反射型光罩> 反射型光罩係使反射型光罩基底所具有之吸收體膜圖案化而獲得。即,本實施方式之反射型光罩基底具有使本實施方式之反射型光罩基底之吸收體膜圖案化而形成之吸收體膜圖案。 <Manufacturing method of reflective mask and reflective mask> The reflective photomask is obtained by patterning the absorber film of the reflective photomask base. That is, the reflective photomask base of this embodiment has the absorber film pattern formed by patterning the absorber film of the reflective photomask base of this embodiment.

參照圖4(a)~圖4(b),對反射型光罩之製造方法之一例進行說明。 圖4(a)表示於依序具有背面導電膜16、基板11、多層反射膜12、中間膜13、保護膜14及吸收體膜15之反射型光罩基底上形成了抗蝕圖案18之狀態。抗蝕圖案18之形成方法可使用公知之方法,例如,於反射型光罩基底之吸收體膜15上塗佈抗蝕劑,進行曝光及顯影而形成抗蝕圖案18。再者,抗蝕圖案18對應於使用反射型光罩於晶圓上形成之圖案。 其後,以圖4(a)之抗蝕圖案18作為光罩,對吸收體膜15進行蝕刻而使其圖案化,去除抗蝕圖案18,從而獲得圖4(b)所示之具有吸收體膜圖案15a之積層體。 繼而,如圖4(c)所示,於圖4(b)之積層體上形成對應於曝光區域之框之抗蝕圖案19,以圖4(c)之抗蝕圖案19作為光罩進行乾式蝕刻。實施乾式蝕刻直至到達基板11。於乾式蝕刻後,去除抗蝕圖案19,從而獲得圖4(d)所示之反射型光罩。 An example of the manufacturing method of the reflection type photomask is demonstrated with reference to FIG. 4(a) - FIG. 4(b). FIG. 4(a) shows a state where a resist pattern 18 is formed on a reflective photomask substrate having a back conductive film 16, a substrate 11, a multilayer reflective film 12, an intermediate film 13, a protective film 14, and an absorber film 15 in sequence. . The formation method of the resist pattern 18 can use a well-known method, for example, apply a resist on the absorber film 15 of a reflective mask base, perform exposure and development, and form the resist pattern 18. Furthermore, the resist pattern 18 corresponds to the pattern formed on the wafer using a reflective mask. Thereafter, the absorber film 15 is etched and patterned with the resist pattern 18 of FIG. A laminate of the film pattern 15a. Then, as shown in Figure 4(c), a resist pattern 19 corresponding to the frame of the exposure area is formed on the laminate in Figure 4(b), and the resist pattern 19 in Figure 4(c) is used as a photomask for dry etch. Dry etching is performed until reaching the substrate 11 . After dry etching, the resist pattern 19 is removed to obtain a reflective mask as shown in FIG. 4( d ).

關於形成吸收體膜圖案15a時之乾式蝕刻,例如可例舉:使用Cl系氣體之乾式蝕刻、及使用F系氣體之乾式蝕刻。 至於抗蝕圖案18或19之去除,只要藉由公知之方法進行即可,可例舉利用洗淨液進行之去除。作為洗淨液,可例舉:硫酸-過氧化氫水溶液(SPM)、硫酸、氨水、氨-過氧化氫水溶液(APM)、OH自由基洗淨水、及臭氧水等。 About dry etching at the time of forming the absorber film pattern 15a, the dry etching using Cl type gas, and the dry etching using F type gas are mentioned, for example. What is necessary is just to perform the removal of the resist pattern 18 or 19 by a well-known method, and removal using a cleaning solution is mentioned, for example. The cleaning solution may, for example, be sulfuric acid-hydrogen peroxide solution (SPM), sulfuric acid, ammonia water, ammonia-hydrogen peroxide solution (APM), OH radical cleaning water, or ozone water.

使本實施方式之反射型光罩基底之吸收體膜圖案化而獲得之反射型光罩,適宜用作EUV光曝光中所用之反射型光罩。本實施方式之反射型光罩可抑制來自吸收體膜圖案之開口部(不存在吸收體膜之區域)之抗蝕劑感光性紫外光之反射,且具有較高之EUV光之反射率,因此可於晶圓上形成抗蝕圖案而不會使設置於晶圓上之抗蝕圖案之形狀變差。The reflective mask obtained by patterning the absorber film of the reflective mask base of this embodiment is suitable as a reflective mask used for EUV light exposure. The reflective mask of this embodiment can suppress the reflection of resist photosensitive ultraviolet light from the opening of the absorber film pattern (the region where the absorber film does not exist), and has a high reflectivity of EUV light, so The resist pattern can be formed on the wafer without deteriorating the shape of the resist pattern provided on the wafer.

如以上說明所述,於本說明書中揭示以下構成。 <1>一種反射型光罩基底,其於基板上依序具有反射EUV光之多層反射膜、中間膜、保護膜、及吸收體膜,且 上述中間膜為選自由氮化矽膜、氧化矽膜、及氮氧化矽膜所組成之群中之一種, 上述中間膜之膜厚為7~11 nm。 <2>如<1>所記載之反射型光罩基底,其於上述吸收體膜之與上述保護膜側相反側具有硬罩膜,且 構成上述硬罩膜之材料為鉻及矽中之任一種材料,或者為包含鉻、與選自由氧、氮、碳及氫所組成之群中之一種以上之元素的材料,或者為包含矽、與選自由氧、氮、碳及氫所組成之群中之一種以上之元素的材料。 <3>如<1>或<2>所記載之反射型光罩基底,其中上述吸收體膜為釕金屬單質,或者 包含選自由釕、鉭、及錫所組成之群中之一種以上之元素、與選自由鉻、金、鉑、錸、鉿、鈦、矽、鈮、氧、氮、硼及氫所組成之群中之一種以上之元素。 <4>如<1>至<3>中任一項所記載之反射型光罩基底,其中上述保護膜包含選自由釕及銠所組成之群中之至少一種元素。 <5>一種反射型光罩,其具有使如<1>至<4>中任一項所記載之反射型光罩基底之上述吸收體膜圖案化而形成之吸收體膜圖案。 <6>一種反射型光罩之製造方法,其包括使如<1>至<4>中任一項所記載之反射型光罩基底之上述吸收體膜圖案化之步驟。 [實施例] As described above, the following configurations are disclosed in this specification. <1> A reflective photomask substrate, which sequentially has a multilayer reflective film that reflects EUV light, an intermediate film, a protective film, and an absorber film on the substrate, and The intermediate film is one selected from the group consisting of a silicon nitride film, a silicon oxide film, and a silicon oxynitride film, The film thickness of the above-mentioned intermediate film is 7-11 nm. <2> The reflective photomask substrate as described in <1>, which has a hard mask film on the side opposite to the protective film side of the absorber film, and The material constituting the above-mentioned hard mask film is any one of chromium and silicon, or a material containing chromium and one or more elements selected from the group consisting of oxygen, nitrogen, carbon, and hydrogen, or a material containing silicon, Materials with one or more elements selected from the group consisting of oxygen, nitrogen, carbon and hydrogen. <3> The reflective photomask substrate as described in <1> or <2>, wherein the absorber film is a simple substance of ruthenium metal, or Contains one or more elements selected from the group consisting of ruthenium, tantalum, and tin, and selected from the group consisting of chromium, gold, platinum, rhenium, hafnium, titanium, silicon, niobium, oxygen, nitrogen, boron, and hydrogen One or more of the elements. <4> The reflective photomask substrate according to any one of <1> to <3>, wherein the protective film contains at least one element selected from the group consisting of ruthenium and rhodium. <5> A reflective photomask having an absorber film pattern formed by patterning the absorber film of the reflective photomask base described in any one of <1> to <4>. <6> A method of manufacturing a reflective photomask, including the step of patterning the absorber film of the reflective photomask base described in any one of <1> to <4>. [Example]

以下,基於實施例來進一步詳細地說明本發明。 關於以下之實施例中所示之材料、使用量、及比率等,可於不脫離本發明之主旨之範圍之情況下進行適當變更。因此,本發明之範圍不應由以下所示之實施例限定性地進行解釋。 Hereinafter, the present invention will be described in more detail based on examples. About the material, usage-amount, ratio, etc. shown in the following Example, it can change suitably without departing from the range of the summary of this invention. Therefore, the scope of the present invention should not be limitedly interpreted by the Examples shown below.

<模擬之實施> 按照下述所示之條件實施模擬。 再者,EUV光區域之複折射率引用自CXRO(The Center for X-Ray Optics,X射線光學中心)提供之資料庫(https://henke.lbl.gov/optical_constants)。紫外光區域之複折射率引用自Angstrom Sun Technologies公司提供之資料庫(http://www.angstec.com/database)、及Refractive Index. INFO之資料庫(https://refractiveindex.info/)。 <Implementation of Simulation> The simulation was carried out under the conditions shown below. Furthermore, the complex refractive index in the EUV light region is quoted from the database (https://henke.lbl.gov/optical_constants) provided by CXRO (The Center for X-Ray Optics, X-ray Optics Center). The complex refractive index in the ultraviolet region is quoted from the database provided by Angstrom Sun Technologies (http://www.angstec.com/database) and the database of Refractive Index. INFO (https://refractiveindex.info/).

(Ru保護膜) 作為多層反射膜,設定為將3.09 nm之Mo層與3.95 nm之Si層交替地積層各40層而成之多層反射膜。設定出於多層反射膜上設置中間膜,且於中間膜上進而設置保護膜之系統。具有多層反射膜、中間膜、及保護膜之系統相當於反射型光罩中之吸收體膜圖案之開口部(不存在吸收體膜之區域)。再者,將多層反射膜之終端、即多層反射膜之與中間膜相接之層設為Mo層。 於將保護膜之材料設為Ru金屬單質並將保護膜之膜厚設為2.4 nm,將中間膜設為Si膜、Si 3N 4膜、及Si-Si 3N 4膜(Si與Si 3N 4之混合物膜,Si 3N 4相對於Si之莫耳比為1.00)之情形時,將此時之波長180 nm之紫外光相對於中間膜之膜厚之反射率依存性示於圖5中。中間膜為Si膜之情形為例1,中間膜為Si 3N 4膜之情形為例2,中間膜為Si-Si 3N 4膜之情形為例3,例1為比較例,例2及例3為實施例。 又,將波長13.5 nm之EUV光相對於中間膜之膜厚之反射率依存性示於圖6中。再者,波長180 nm之紫外光包含於抗蝕劑感光性紫外光中。 (Ru Protective Film) As the multilayer reflective film, a multilayer reflective film in which 3.09 nm Mo layers and 3.95 nm Si layers were alternately laminated for 40 layers was set. The setting is a system in which an intermediate film is provided on a multilayer reflective film, and a protective film is further provided on the intermediate film. A system having a multilayer reflective film, an intermediate film, and a protective film corresponds to an opening portion (a region where no absorber film exists) of an absorber film pattern in a reflective photomask. In addition, the end of the multilayer reflective film, that is, the layer in contact with the intermediate film of the multilayer reflective film was set as the Mo layer. When the material of the protective film is set as Ru metal and the film thickness of the protective film is set to 2.4 nm, the intermediate film is set as Si film, Si 3 N 4 film, and Si-Si 3 N 4 film (Si and Si 3 N 4 In the case of a mixture film of N 4 , where the molar ratio of Si 3 N 4 to Si is 1.00), the dependence of the reflectance of ultraviolet light with a wavelength of 180 nm on the film thickness of the intermediate film at this time is shown in Fig. 5 middle. Example 1 is the case where the intermediate film is a Si film, Example 2 is the case where the intermediate film is a Si 3 N 4 film, Example 3 is the case where the intermediate film is a Si-Si 3 N 4 film, Example 1 is a comparative example, Example 2 and Example 3 is an embodiment. Also, the reflectance dependence of EUV light with a wavelength of 13.5 nm on the film thickness of the interlayer is shown in FIG. 6 . In addition, ultraviolet light with a wavelength of 180 nm is included in resist photosensitive ultraviolet light.

根據圖5可知,於將中間膜之膜厚設為7~11 nm,且中間膜為Si 3N 4膜、及Si-Si 3N 4膜之情形時,波長180 nm之紫外光之反射得到抑制。又,可知於中間膜為Si 3N 4膜之情形時,波長180 nm之紫外光之反射得到進一步抑制。另一方面,於中間膜為Si膜之情形時,無法抑制波長180 nm之紫外光之反射。 根據圖6可知,不論中間膜之種類如何,EUV光之反射率均於中間膜之膜厚為7~11 nm之區域(較佳為8~10 nm之區域)內取得極大值。可知於中間膜為Si-Si 3N 4膜之情形時,EUV光之反射率更高。 因此,根據圖5及6,可以說本實施方式之反射型光罩基底於製作反射型光罩時,抑制EUV光之反射之降低,且達成對抗蝕劑感光性紫外光之反射之抑制。 According to Fig. 5, when the film thickness of the intermediate film is set to 7-11 nm, and the intermediate film is Si 3 N 4 film and Si-Si 3 N 4 film, the reflection of ultraviolet light with a wavelength of 180 nm is obtained inhibition. Also, it can be seen that when the intermediate film is a Si 3 N 4 film, the reflection of ultraviolet light with a wavelength of 180 nm is further suppressed. On the other hand, when the interlayer film is a Si film, reflection of ultraviolet light with a wavelength of 180 nm cannot be suppressed. It can be seen from FIG. 6 that regardless of the type of the interlayer film, the reflectance of EUV light attains the maximum value in the region (preferably 8-10 nm region) where the film thickness of the interlayer film is 7-11 nm. It can be seen that when the intermediate film is Si-Si 3 N 4 film, the reflectance of EUV light is higher. Therefore, according to FIGS. 5 and 6 , it can be said that the reflective mask substrate of this embodiment suppresses the decrease in the reflection of EUV light and achieves the suppression of the reflection of resist photosensitive ultraviolet light when manufacturing a reflective mask.

(Rh保護膜) 於上述Ru保護膜之情形時之系統中,將保護膜之材料設為Rh金屬單質並將保護膜之膜厚設為2.5 nm,而進行與上述同樣之模擬。 於將中間膜設為Si膜、Si 3N 4膜、及Si-Si 3N 4膜之情形時,將此時之波長180 nm之紫外光相對於中間膜之膜厚之反射率依存性示於圖7中。中間膜為Si膜之情形為例4,中間膜為Si 3N 4膜之情形為例5,中間膜為Si-Si 3N 4膜之情形為例6,例4為比較例,例5及例6為實施例。 又,將波長13.5 nm之EUV光相對於中間膜之膜厚之反射率依存性示於圖8中。 (Rh Protective Film) In the above system in the case of the Ru protective film, the material of the protective film was Rh metal simple substance and the film thickness of the protective film was 2.5 nm, and the same simulation as above was performed. When the interlayer film is made of Si film, Si 3 N 4 film, and Si-Si 3 N 4 film, the dependence of the reflectance of ultraviolet light with a wavelength of 180 nm on the film thickness of the interlayer film at this time is shown in Figure 7. The case where the interlayer is a Si film is example 4, the case where the interlayer is a Si 3 N 4 film is example 5, the case where the interlayer is a Si-Si 3 N 4 film is example 6, example 4 is a comparative example, example 5 and Example 6 is an embodiment. Also, the reflectance dependence of EUV light with a wavelength of 13.5 nm on the film thickness of the interlayer is shown in FIG. 8 .

根據圖7可知,於將中間膜之膜厚設為7~11 nm,且中間膜為Si 3N 4膜、及Si-Si 3N 4膜之情形時,波長180 nm之紫外光之反射得到抑制。又,可知於中間膜為Si 3N 4膜之情形時,波長180 nm之紫外光之反射得到進一步抑制。另一方面,於中間膜為Si膜之情形時,無法抑制波長180 nm之紫外光之反射。 根據圖8可知,不論中間膜之種類如何,EUV光之反射率均於中間膜之膜厚為7~11 nm之區域(較佳為8~10 nm之區域)中取得極大值。又,可知於中間膜為Si-Si 3N 4膜之情形時,EUV光之反射率更高。 因此,根據圖7及8,可以說本實施方式之反射型光罩基底於製作反射型光罩時,抑制EUV光之反射之降低,且達成對抗蝕劑感光性紫外光之反射之抑制。 According to Fig. 7, when the film thickness of the intermediate film is set to 7-11 nm, and the intermediate film is Si 3 N 4 film and Si-Si 3 N 4 film, the reflection of ultraviolet light with a wavelength of 180 nm is obtained inhibition. Also, it can be seen that when the intermediate film is a Si 3 N 4 film, the reflection of ultraviolet light with a wavelength of 180 nm is further suppressed. On the other hand, when the interlayer film is a Si film, reflection of ultraviolet light with a wavelength of 180 nm cannot be suppressed. It can be seen from FIG. 8 that regardless of the type of the interlayer film, the reflectance of EUV light has a maximum value in the region (preferably 8-10 nm region) where the film thickness of the interlayer film is 7-11 nm. Also, it can be seen that the reflectance of EUV light is higher when the intermediate film is a Si—Si 3 N 4 film. Therefore, according to FIGS. 7 and 8 , it can be said that the reflective photomask substrate of this embodiment suppresses the decrease in the reflection of EUV light and achieves the suppression of the reflection of resist photosensitive ultraviolet light when manufacturing a reflective photomask.

以上,參照圖式對各種實施方式進行了說明,但業者明瞭可在不脫離本發明之精神及範圍之情況下施加變更或修正。再者,本申請案係基於2022年1月13日提出申請之日本專利申請案(特願2022-003847),並將其內容作為參照引用至本申請案中。As above, various embodiments have been described with reference to the drawings, but it is clear for those skilled in the art that changes and corrections can be added without departing from the spirit and scope of the present invention. In addition, this application is based on the Japanese patent application (Japanese Patent Application No. 2022-003847) for which it applied on January 13, 2022, The content is taken in this application as a reference.

10:反射型光罩基底 10a:反射型光罩基底 11:基板 12:多層反射膜 13:中間膜 14:保護膜 15:吸收體膜 15a:吸收體膜圖案 16:背面導電膜 17:硬罩膜 18:抗蝕圖案 19:抗蝕圖案 10: Reflective mask substrate 10a: Reflective mask substrate 11: Substrate 12:Multilayer reflective film 13: Intermediate film 14: Protective film 15: Absorber film 15a: Absorber film pattern 16: Conductive film on the back 17: hard mask 18: Resist pattern 19: Resist pattern

圖1係表示本實施方式之反射型光罩基底之態樣之一例的模式圖。 圖2係自非專利文獻1中引用之多層反射膜於波長10~400 nm下之反射率之曲線圖。 圖3係表示本實施方式之反射型光罩基底之態樣之一例的模式圖。 圖4(a)~圖4(d)係表示使用本實施方式之反射型光罩基底之反射型光罩之製造步驟之一例的模式圖。 圖5係模擬具有Ru保護膜、中間膜及多層反射膜之積層體對180 nm紫外線之反射率所得之曲線圖。 圖6係模擬具有Ru保護膜、中間膜及多層反射膜之積層體對EUV光之反射率所得之曲線圖。 圖7係模擬具有Rh保護膜、中間膜及多層反射膜之積層體對180 nm紫外線之反射率所得之曲線圖。 圖8係模擬具有Rh保護膜、中間膜及多層反射膜之積層體對EUV光之反射率所得之曲線圖。 FIG. 1 is a schematic diagram showing an example of an aspect of a reflection type photomask base according to this embodiment. FIG. 2 is a graph of the reflectivity of the multilayer reflective film cited in Non-Patent Document 1 at a wavelength of 10-400 nm. FIG. 3 is a schematic diagram showing an example of an aspect of a reflective photomask base according to this embodiment. 4(a) to 4(d) are schematic diagrams showing an example of the manufacturing steps of a reflective mask using the reflective mask base of this embodiment. Fig. 5 is a graph obtained by simulating the reflectance of a laminate having a Ru protective film, an intermediate film and a multilayer reflective film to 180 nm ultraviolet rays. 6 is a graph obtained by simulating the reflectivity of a laminate having a Ru protective film, an intermediate film and a multilayer reflective film to EUV light. Fig. 7 is a graph obtained by simulating the reflectance of a laminate having a Rh protective film, an intermediate film and a multilayer reflective film to 180 nm ultraviolet rays. Fig. 8 is a graph obtained by simulating the reflectance of a laminate having a Rh protective film, an intermediate film and a multilayer reflective film to EUV light.

10:反射型光罩基底 10: Reflective mask substrate

11:基板 11: Substrate

12:多層反射膜 12:Multilayer reflective film

13:中間膜 13: Intermediate film

14:保護膜 14: Protective film

15:吸收體膜 15: Absorber film

16:背面導電膜 16: Conductive film on the back

Claims (6)

一種反射型光罩基底,其於基板上依序具有反射EUV光之多層反射膜、中間膜、保護膜、及吸收體膜,且 上述中間膜為選自由氮化矽膜、氧化矽膜、及氮氧化矽膜所組成之群中之一種, 上述中間膜之膜厚為7~11 nm。 A reflective photomask substrate, which sequentially has a multilayer reflective film for reflecting EUV light, an intermediate film, a protective film, and an absorber film on the substrate, and The intermediate film is one selected from the group consisting of a silicon nitride film, a silicon oxide film, and a silicon oxynitride film, The film thickness of the above-mentioned intermediate film is 7-11 nm. 如請求項1之反射型光罩基底,其於上述吸收體膜之與上述保護膜側相反側具有硬罩膜,且 構成上述硬罩膜之材料為鉻及矽中之任一種材料,或者為包含鉻、與選自由氧、氮、碳及氫所組成之群中之一種以上之元素的材料,或者為包含矽、與選自由氧、氮、碳及氫所組成之群中之一種以上之元素的材料。 The reflective photomask substrate according to claim 1, which has a hard mask film on the side opposite to the protective film side of the above-mentioned absorber film, and The material constituting the above-mentioned hard mask film is any one of chromium and silicon, or a material containing chromium and one or more elements selected from the group consisting of oxygen, nitrogen, carbon, and hydrogen, or a material containing silicon, Materials with one or more elements selected from the group consisting of oxygen, nitrogen, carbon and hydrogen. 如請求項1之反射型光罩基底,其中上述吸收體膜為釕金屬單質,或者 包含選自由釕、鉭、及錫所組成之群中之一種以上之元素、與選自由鉻、金、鉑、錸、鉿、鈦、矽、鈮、氧、氮、硼及氫所組成之群中之一種以上之元素。 The reflective photomask substrate as claimed in item 1, wherein the above-mentioned absorber film is a simple substance of ruthenium metal, or Contains one or more elements selected from the group consisting of ruthenium, tantalum, and tin, and selected from the group consisting of chromium, gold, platinum, rhenium, hafnium, titanium, silicon, niobium, oxygen, nitrogen, boron, and hydrogen One or more of the elements. 如請求項1之反射型光罩基底,其中上述保護膜包含選自由釕及銠所組成之群中之至少一種元素。The reflective photomask substrate according to claim 1, wherein the protective film contains at least one element selected from the group consisting of ruthenium and rhodium. 一種反射型光罩,其具有使如請求項1至4中任一項之反射型光罩基底之上述吸收體膜圖案化而形成之吸收體膜圖案。A reflective photomask having an absorber film pattern formed by patterning the absorber film of the reflective photomask substrate according to any one of claims 1 to 4. 一種反射型光罩之製造方法,其包括使如請求項1至4中任一項之反射型光罩基底之上述吸收體膜圖案化之步驟。A method for manufacturing a reflective photomask, comprising the step of patterning the absorber film of the reflective photomask substrate according to any one of claims 1 to 4.
TW112101202A 2022-01-13 2023-01-11 Reflection-type mask blank, reflection-type mask, and method for producing reflection-type mask TW202331406A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022-003847 2022-01-13
JP2022003847 2022-01-13

Publications (1)

Publication Number Publication Date
TW202331406A true TW202331406A (en) 2023-08-01

Family

ID=87279029

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112101202A TW202331406A (en) 2022-01-13 2023-01-11 Reflection-type mask blank, reflection-type mask, and method for producing reflection-type mask

Country Status (3)

Country Link
JP (1) JPWO2023136183A1 (en)
TW (1) TW202331406A (en)
WO (1) WO2023136183A1 (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291661A (en) * 2000-04-07 2001-10-19 Fujitsu Ltd Method of manufacturing reflection type mask
JP4163038B2 (en) * 2002-04-15 2008-10-08 Hoya株式会社 Reflective mask blank, reflective mask, and semiconductor manufacturing method
US20040159538A1 (en) * 2003-02-13 2004-08-19 Hans Becker Photo mask blank, photo mask, method and apparatus for manufacturing of a photo mask blank
US11500282B2 (en) * 2020-06-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof

Also Published As

Publication number Publication date
JPWO2023136183A1 (en) 2023-07-20
WO2023136183A1 (en) 2023-07-20

Similar Documents

Publication Publication Date Title
JP7047046B2 (en) A method for manufacturing a mask blank substrate, a substrate with a multilayer reflective film, a reflective mask blank and a reflective mask, and a semiconductor device.
WO2018159785A1 (en) Reflective mask blank, reflective mask and production method therefor, and semiconductor device production method
US8828627B2 (en) Reflective mask blank for EUV lithography and reflective mask for EUV lithography
US8986910B2 (en) Optical member for EUV lithography
JP7193344B2 (en) Reflective mask blank, method for manufacturing reflective mask, and method for manufacturing semiconductor device
WO2018135468A1 (en) Substrate with conductive film, substrate with multilayer reflective film, reflective mask blank, reflective mask and method for manufacturing semiconductor device
KR20160034315A (en) Substrate with multilayered reflective film, reflective mask blank for euv lithography, reflective mask for euv lithography, process for producing same, and process for producing semiconductor device
WO2021182042A1 (en) Reflective mask blank, reflective mask, and method for producing semiconductor device
JP7401356B2 (en) Substrate with multilayer reflective film, reflective mask blank, reflective mask, and semiconductor device manufacturing method
JP5372455B2 (en) REFLECTIVE MASK BLANK, REFLECTIVE MASK, AND MANUFACTURING METHOD THEREOF
JP2019070854A (en) Reflective mask blank, reflective mask and method for producing the same, and semiconductor device production method
JP2023175863A (en) Reflection type mask blank and reflection type mask
WO2020175354A1 (en) Reflective mask blank, reflective mask, method for producing same, and method for producing semiconductor device
US7700245B2 (en) Reflective mask blank, reflective mask, and method of manufacturing semiconductor device
JP2010109336A (en) Method of manufacturing reflective mask
JP2022159362A (en) Substrate with multilayer reflective film, reflective type mask blank and reflective type mask, and method for manufacturing semiconductor device
JP5333016B2 (en) Reflective mask blank for EUV lithography
TW202227898A (en) Reflective mask blank for euvl, reflective mask for euvl, and method of manufacturing reflective mask for euvl
JP4320050B2 (en) REFLECTIVE MASK BLANKS AND ITS MANUFACTURING METHOD, REFLECTIVE MASK
TW202331406A (en) Reflection-type mask blank, reflection-type mask, and method for producing reflection-type mask
TW202122907A (en) Substrate with electroconductive film, reflective mask blank, reflective mask, and method for producing semiconductor device
JP2005286203A (en) Reflection mask blanks, reflection mask, and manufacturing method of semiconductor device
JP7271760B2 (en) Substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device
TWI830961B (en) Reflective photomask base and reflective photomask
WO2024029409A1 (en) Reflective mask blank and reflective mask