KR20190053766A - Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same - Google Patents

Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same Download PDF

Info

Publication number
KR20190053766A
KR20190053766A KR1020180099104A KR20180099104A KR20190053766A KR 20190053766 A KR20190053766 A KR 20190053766A KR 1020180099104 A KR1020180099104 A KR 1020180099104A KR 20180099104 A KR20180099104 A KR 20180099104A KR 20190053766 A KR20190053766 A KR 20190053766A
Authority
KR
South Korea
Prior art keywords
layer
pellicle
rti
etching
etch stop
Prior art date
Application number
KR1020180099104A
Other languages
Korean (ko)
Inventor
남기수
이창훈
홍주희
박철균
Original Assignee
주식회사 에스앤에스텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에스앤에스텍 filed Critical 주식회사 에스앤에스텍
Priority to KR1020180099104A priority Critical patent/KR20190053766A/en
Publication of KR20190053766A publication Critical patent/KR20190053766A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

Disclosed is a pellicle for extreme ultraviolet lithography. The pellicle comprises: a support layer pattern formed by etching a support layer; a pellicle layer formed on an upper portion of the support layer pattern; and an etching stop layer pattern formed between the support layer pattern and the pellicle layer, and formed by etching the etch stop layer to prevent etching of the support layer during etching and, more specifically, to a pellicle for an extreme ultraviolet photomask which maintains high transmittance by minimizing the thickness of exposure light for extreme ultraviolet, and has excellent mechanical strength and thermal characteristics.

Description

극자외선 리소그래피용 펠리클 및 그의 제조방법 {Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same}TECHNICAL FIELD The present invention relates to a pellicle for extreme ultraviolet lithography and a method of manufacturing the same.

본 발명은 극자외선 리소그래피용 펠리클 및 그의 제조 방법에 관한 것으로서, 보다 상세하게는, 극자외선용 노광광에 대한 높은 투과율을 갖고 기계적 강도를 개선할 수 있는 극자외선 리소그래피용 펠리클 및 그의 제조방법에 관한 것이다.The present invention relates to a pellicle for extreme ultraviolet lithography and a method for producing the same, and more particularly to a pellicle for extreme ultraviolet lithography which has high transmittance to exposure light for extreme ultraviolet rays and can improve mechanical strength will be.

포토리소그래피(Photo-lithography)라고 불리는 노광(Exposure) 기술의 발달은 반도체 집적 회로의 고집적화(High Integration)를 가능하게 하였다. 웨이퍼 위에 보다 미세한 회로 패턴을 형성하려면 분해능이라고도 불리는 노광 장비의 해상력(resolution)이 높아져야 한다. 해상력의 한계를 넘어서는 미세 패턴을 전사 한다면, 빛의 회절(diffraction)과 산란(scattering)으로 인한 빛 간섭이 발생하여 원래의 마스크 패턴과는 다른, 왜곡된 상이 전사되는 문제가 발생한다.The development of an exposure technique called photo-lithography has enabled high integration of a semiconductor integrated circuit. In order to form a finer circuit pattern on the wafer, the resolution of the exposure equipment, also called resolution, must be increased. When a fine pattern exceeding the resolution limit is transferred, light interference due to diffraction and scattering of light occurs, and a distorted image different from the original mask pattern is transferred.

현재 상용화된 노광 공정은 193㎚의 ArF 파장대를 이용하는 노광 장비로 전사 공정을 진행하여 웨이퍼 상에 미세 패턴을 형성하고 있으나, 32㎚ 이하의 미세 패턴 형성에 한계를 보이고 있어 공기보다 굴절률이 큰 액상 매체(굴절률 1.44)를 이용한 액침노광(Immersion Lithography), 노광 공정을 두 번 시행하는 이중노광 기술(Double lithography), 빛의 위상을 180° 반전시켜 인접하는 투광광과 소멸간섭을 발생시키도록 하는 위상전이 기술(Phase Shift Technology), 빛의 간섭 및 회절 효과에 의해 설계된 패턴 크기보다 작아지거나 끝 부분이 라운드되는 현상을 보정하는 광학위상보정(Optical Phase Correction) 등 다양한 방법들이 개발되고 있다.In the currently commercialized exposure process, a transfer process is carried out with an exposure apparatus using an ArF wavelength band of 193 nm to form a fine pattern on a wafer. However, since a limitation is imposed on formation of a fine pattern of 32 nm or less, Immersion lithography using a refractive index of 1.44, double lithography using two exposures, reversal of the phase of light by 180 °, Various methods such as phase shift technology, optical phase correction for correcting a phenomenon that a pattern size is designed by interference of light and diffraction effect, or rounding of the end portion are being developed.

그러나, ArF 파장을 이용하는 노광 기술로는 더욱 미세화된 32㎚ 이하의 회로 선폭을 구현하기 어려울 뿐만 아니라, 생산 비용이 증가하고 공정 복잡성이 증가할 수 밖에 없다. 이로 인하여 193㎚의 파장에 비하여 매우 단파장인 13.5㎚ 파장을 주 노광 파장으로 사용하는 극자외선(Extreme Ultra Violet, 이하 EUV 라고 함)광을 사용하는 EUV 포토리소그래피 기술이 차세대 공정으로 주목을 받고 있다.However, with the exposure technique using the ArF wavelength, it is difficult to realize a finer circuit line width of 32 nm or less, and in addition, the production cost increases and the process complexity increases. As a result, EUV photolithography using Extreme Ultra Violet (EUV) light using a wavelength of 13.5 nm, which is a shorter wavelength than the wavelength of 193 nm as the main exposure wavelength, is attracting attention as a next generation process.

한편, 리소그래피 공정은 패터닝을 위한 원판으로서 포토마스크(Photomask)를 사용하고, 포토마스크 상의 패턴이 웨이퍼(Wafer)에 전사된다. 이때 포토마스크에 파티클(Particle), 이물질 등의 불순물이 부착되어 있으면 불순물로 인해 노광광이 흡수되거나 반사되어 전사된 패턴이 손상됨에 따라 반도체 장치의 성능이나 수율의 저하를 초래한다.On the other hand, in the lithography process, a photomask is used as a disk for patterning, and the pattern on the photomask is transferred to a wafer. At this time, if impurities such as particles or foreign substances are adhered to the photomask, the exposure light is absorbed or reflected due to impurities, thereby damaging the transferred pattern, resulting in deterioration of the performance and yield of the semiconductor device.

이에 따라, 포토마스크의 표면에 불순물이 부착하는 것을 방지하기 위하여 포토마스크에 펠리클(Pellicle)을 부착하는 방법이 행해지고 있다. 펠리클은 포토마스크의 표면 상부에 배치되며, 펠리클 상에 불순물이 부착되더라도 포토리소그래피 공정 시, 초점은 포토마스크의 패턴 상에 일치되어 있으므로 펠리클 상의 먼지 또는 이물질은 초점이 맞지 않아 패턴에 전사가 되지 않게 된다. 최근에는, 회로 선폭의 미세화에 따라 패턴 손상에 영향을 미칠 수 있는 불순물의 크기 또한 줄어들어 포토마스크 보호를 위한 펠리클의 역할이 더욱 중요해지고 있다.Thus, a method of attaching a pellicle to a photomask is performed to prevent impurities from adhering to the surface of the photomask. The pellicle is disposed on the surface of the photomask. Even if impurities are attached on the pellicle, the focus is on the pattern of the photomask during the photolithography process, so that dust or foreign matter on the pellicle is not focused, do. In recent years, as the circuit line width becomes finer, the size of impurities which may affect the pattern damage is also reduced, and the role of the pellicle for protecting the photomask becomes more important.

펠리클을 단일막으로 구성할 경우, 13.5nm 의 극자외선 광에 대하여 낮은 소광계수(extinction coefficient)를 갖는 물질을 적용하면 투과도 확보에는 용이할 수 있으나, 우수한 기계적, 열적 특성을 확보하기가 극히 어렵다. 이에 따라 펠리클 성능을 보완하기 위한 다층막 형태의 펠리클이 연구되고 있다.When the pellicle is composed of a single film, it is easy to secure permeability by applying a material having a low extinction coefficient to extreme ultraviolet light of 13.5 nm, but it is extremely difficult to secure excellent mechanical and thermal characteristics. Accordingly, a multi-layered pellicle is being studied to supplement the pellicle performance.

펠리클은 극자외선용 노광광의 원활하고 우수한 투과를 위해 기본적으로 100㎚ 이하 두께의 극박막 형태를 갖는 펠리클층을 포함하여 구성된다. 펠리클층은 진공환경과 스테이지의 이동 가속도에 대한 기계적 신뢰성 및 장기간의 노광 공정에도 견딜 수 있는 열적 신뢰성을 만족해야 하고, 이러한 요소들을 고려하여 구성 물질 및 구조가 결정된다.The pellicle is basically composed of a pellicle layer having an ultrathin film thickness of 100 nm or less for smooth and excellent transmission of exposure light for extreme ultraviolet rays. The pellicle layer must satisfy the vacuum environment and the mechanical reliability of the movement acceleration of the stage and the thermal reliability to withstand the long exposure process, and the constituent materials and structure are determined in consideration of these factors.

한국특허출원 제2008-0102204호Korean Patent Application No. 2008-0102204 한국특허출원 제200900026939호Korean Patent Application No. 200900026939 한국특허출원 제2009-0026940호Korean Patent Application No. 2009-0026940 한국특허출원 제2011-0135209호Korean Patent Application No. 2011-0135209 한국특허출원 제2011-7019106호Korean Patent Application No. 2011-7019106

본 발명은 극자외선용 노광광에 대한 투과율과 기계적 강도가 우수한 극자외선 포토마스크용 펠리클을 제공하는 것을 목적으로 한다An object of the present invention is to provide an extreme ultraviolet photomask pellicle excellent in transmittance and mechanical strength to exposure light for extreme ultraviolet rays

상기 목적을 달성하기 위한 본 발명에 따른 극자외선 리소그래피용 펠리클은, 지지층을 식각하여 형성되는 지지층 패턴; 상기 지지층 패턴의 상부에 형성되는 펠리클층; 및 상기 지지층 패턴과 상기 펠리클층 사이에 형성되어 상기 지지층의 식각 시 식각을 저지하는 식각 저지층을 식각함으로써 형성되는 식각 저지층 패턴;을 포함하는 것을 특징으로 한다.According to an aspect of the present invention, there is provided a pellicle for extreme ultraviolet lithography comprising: a support layer pattern formed by etching a support layer; A pellicle layer formed on the support layer pattern; And an etch stop layer pattern formed between the support layer pattern and the pellicle layer, the etch stop layer pattern being formed by etching the etch stop layer to prevent etching of the support layer during etching.

상기 펠리클층은, 중심층, 및 상기 중심층의 양면 중 적어도 어느 하나에 형성되며 상기 중심층과 상이한 물질로 구성된 하나 이상의 보강층을 포함한다.The pellicle layer includes at least one reinforcing layer formed of at least one of a center layer and both surfaces of the center layer and made of a material different from the center layer.

상기 중심층은, 단결정, 무결정, 및 다결정 중 어느 하나의 실리콘층에 붕소(B), 인(P), 비소(As), 이트륨(Y), 지르코늄(Zr), 나이오븀(Nb) 및 몰리브덴(Mo) 중 1 종 이상의 물질을 더 포함하여 구성되거나, 몰리브덴실리사이드(MOSi), 텅스텐실리실리사이드, 지르코늄실리사이드(ZrSi), 탄탈실리사이드 중 1 종 이상의 금속실리사이드계 물질로 구성된다.(B), phosphorus (P), arsenic (As), yttrium (Y), zirconium (Zr), niobium (Nb) and the like are added to any one of a single crystal, Molybdenum (Mo), or at least one metal silicide-based material selected from the group consisting of molybdenum silicide (MOSi), tungsten silicide, zirconium silicide (ZrSi), and tantalum silicide.

상기 중심층은 100nm 이하의 두께를 갖는 것이 바람직하다.The center layer preferably has a thickness of 100 nm or less.

상기 보강층은, 실리콘(Si)에 탄소(C), 질소(N), 산소(O)중 하나 이상을 포함하는 실리콘(Si) 화합물, 또는 탄화 실리콘(SiC), 탄화붕소(B4C), 루테늄(Ru), 몰리브덴(Mo), 그래핀(Graphene), CNT(Carbon nano tube) 중 1종 이상의 물질을 포함한다.The reinforcing layer may be formed of a silicon compound containing at least one of carbon (C), nitrogen (N) and oxygen (O) in silicon (Si), or silicon compound (SiC), boron carbide (B 4 C) And includes at least one of ruthenium (Ru), molybdenum (Mo), graphene, and carbon nanotube (CNT).

상기 보강층은 상기 중심층 및 상기 식각 저지층 패턴과는 상이한 물질로 구성되며, 2∼10㎚의 두께를 갖고, 50∼150 MPa 의 인장 응력을 갖는다.The reinforcing layer is made of a material different from the center layer and the etch stop layer pattern, has a thickness of 2 to 10 nm, and has a tensile stress of 50 to 150 MPa.

상기 식각 저지층 패턴은 실리콘(Si)에 탄소(C), 질소(N), 산소(O) 중 하나 이상을 포함하는 실리콘(Si) 화합물로 구성된다. 상기 식각 저지층 패턴은 10 ∼ 500㎚의 두께를 갖는다. 상기 식각 저지층 패턴은 300MPa 이하의 압축 응력을 갖는 실리콘 산화물을 포함하여 구성된다.The etch stop layer pattern is composed of a silicon (Si) compound containing at least one of carbon (C), nitrogen (N), and oxygen (O) in silicon (Si). The etch stop layer pattern has a thickness of 10 to 500 nm. The etch stop layer pattern includes silicon oxide having compressive stress of 300 MPa or less.

상기 보강층 중 어느 하나 이상의 외면에는 보조 보강층이 추가적으로 형성될 수 있다.An auxiliary reinforcing layer may be additionally formed on the outer surface of one or more of the reinforcing layers.

상기 보조 보강층은, 실리콘(Si)에 탄소(C), 질소(N), 산소(O)중 하나 이상을 포함하는 실리콘(Si) 화합물, 또는 탄화 실리콘(SiC), 탄화붕소(B4C), 루테늄(Ru), 몰리브덴(Mo), 그래핀(Graphene), CNT(Carbon nano tube) 중 1종 이상의 물질을 포함한다.The auxiliary reinforcing layer may be formed of a silicon compound containing at least one of carbon (C), nitrogen (N) and oxygen (O) in silicon (Si), silicon compound (SiC), boron carbide (B 4 C) , Ruthenium (Ru), molybdenum (Mo), graphene, and carbon nanotube (CNT).

상기 보조 보강층은 상기 중심층 및 상기 보강층과는 상이한 물질로 구성되며, 2∼10㎚의 두께를 갖는다.The auxiliary reinforcing layer is made of a material different from the center layer and the reinforcing layer, and has a thickness of 2 to 10 nm.

상기 식각 저지층의 재질에 산소(O)가 포함되는 경우, 상기 지지층 패턴은 TMAH 를 사용하여 상기 지지층을 식각함으로써 형성되는 것이 바람직하다.When oxygen (O) is included in the material of the etch stop layer, the support layer pattern is preferably formed by etching the support layer using TMAH.

상기 보강층의 외면에는, 실리콘(Si) 물질에 산소(O)를 포함하는 실리콘 화합물을 포함하여 형성되는 식각 마스크층이 추가적으로 형성될 수 있다.An etch mask layer may be additionally formed on the outer surface of the reinforcing layer to include a silicon compound including oxygen (O) in a silicon (Si) material.

상기 식각 마스크층을 이루는 실리콘(Si) 화합물은 탄소(C), 질소(N) 중 하나 이상을 더 포함하거나, 크롬, 금, 알루미늄 등 금속 물질 중 1종 이상의 물질을 더 포함한다.The silicon (Si) compound forming the etching mask layer may further include at least one of carbon (C) and nitrogen (N), or may further include at least one of metal materials such as chromium, gold, and aluminum.

상기 보강층은 그 외표면이 나노 크기의 다공성 표면으로 구성된다.The reinforcing layer has a nano-sized porous surface on its outer surface.

상기 보강층의 다공성 표면은 상기 중심층을 다공성 표면으로 형성함으로써 형성될 수 있다.The porous surface of the reinforcing layer may be formed by forming the center layer as a porous surface.

상기 중심층의 다공성 표면은 건식 식각에 의해 형성될 수 있으며, 상기 건식 식각은 XeF2 와 N2 가스를 이용하여 수행될 수 있다.The porous surface of the center layer may be formed by dry etching, and the dry etching may be performed using XeF 2 and N 2 gas.

상기 다공성 표면의 거칠기는 1∼10nm 인 것이 바람직하다.The roughness of the porous surface is preferably 1 to 10 nm.

본 발명은 극자외선용 노광광에 대해 두께를 최소화하여 높은 투과율을 유지하면서 기계적 강도와 열적 특성이 우수한 극자외선 포토마스크용 펠리클을 제공할 수 있다.The present invention can provide an extreme ultraviolet photomask pellicle having excellent mechanical strength and thermal characteristics while minimizing the thickness of exposure light for extreme ultraviolet rays while maintaining a high transmittance.

도 1 은 본 발명의 제 1 실시예에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도.
도 2 는 본 발명의 제 2 실시예에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도.
도 3 의 (a) 내지 (i) 는 도 1 에 도시된 제 1 실시예에 따른 극자외선 리소그래피용 펠리클의 제조방법을 순차적으로 도시한 도면.
도 4 는 도 1 의 제 1 실시예에서 중심층에 나노코어가 형성된 상태를 도식적으로 도시한 도면.
도 5 는 도 4 의 중심층의 일부를 나노코어가 표현되도록 도시한 도면.
1 is a cross-sectional view of a pellicle for extreme ultraviolet lithography according to a first embodiment of the present invention.
2 is a cross-sectional view of a pellicle for extreme ultraviolet lithography according to a second embodiment of the present invention.
3 (a) to 3 (i) sequentially illustrate a method of manufacturing a pellicle for extreme ultraviolet lithography according to the first embodiment shown in Fig. 1; Fig.
4 is a diagram schematically showing a state in which a nanocore is formed in a center layer in the first embodiment of FIG.
Fig. 5 is a view showing a part of the center layer of Fig. 4 so that a nanocore is represented; Fig.

도 1 은 본 발명의 제 1 실시예에 따른 극자외선 포토마스크용 펠리클을 도시한 단면도이다.1 is a cross-sectional view showing a pellicle for an EUV photomask according to a first embodiment of the present invention.

도 1 을 참조하면, 극자외선 포토마스크용 펠리클(100)은 프레임층(110)과 그 상부의 펠리클층(120)을 포함한다. 프레임층(110)은 하부의 지지층 패턴(102a) 및 상부의 식각 저지층 패턴(103a)을 포함한다. 펠리클층(120)은 하부 보강층(104), 중심층(105) 및 상부 보강층(106)을 포함한다.Referring to FIG. 1, a pellicle 100 for extreme ultraviolet photomask includes a frame layer 110 and a pellicle layer 120 thereon. The frame layer 110 includes a lower support layer pattern 102a and an upper etch stop layer pattern 103a. The pellicle layer 120 includes a lower stiffening layer 104, a center layer 105, and an upper stiffening layer 106.

지지층 패턴(102a)은 펠리클층(110)을 지지하는 역할을 하며, 펠리클 제작 완료 시 핸들링 및 이송을 용이하게 하는 역할을 수행한다. 지지층 패턴(102a)은 건식/습식 식각 공정이 가능한 물질로 형성되며 예를 들어, 석영, SOI 또는 실리콘(Si) 웨이퍼를 미세 가공 기술을 이용하여 형성할 수 있다.The supporting layer pattern 102a serves to support the pellicle layer 110 and facilitates handling and transportation when the pellicle is manufactured. The support layer pattern 102a may be formed of a material capable of a dry / wet etch process, for example, a quartz, SOI, or silicon (Si) wafer may be formed using micromachining techniques.

지지층 패턴(102a)은 도 3 의 지지층(102)의 습식 식각에 의한 패터닝 시 원하는 형상이 용이하게 형성되도록 [100]의 결정 방향성을 가지며, 도핑 밀도가 1020 ions/cm2 이하이며, 6인치, 8인치 등의 다양한 크기와 400㎛ ∼ 800㎛의 두께를 갖는 실리콘(Si) 웨이퍼를 이용하는 것이 바람직하다.The supporting layer pattern 102a has a crystal orientation of [100] and has a doping density of 10 20 ions / cm 2 or less so as to easily form a desired shape when patterning the supporting layer 102 of FIG. 3 by wet etching, , 8 inches, etc., and a silicon (Si) wafer having a thickness of 400 mu m to 800 mu m is preferably used.

식각 저지층 패턴(103a)은 지지층 패턴(102a)과 펠리클층(120) 사이에 매립되며, 도 3 의 식각 저지층(103)의 건식/습식 식각 시 지지층(102)과의 식각 선택비(Etching selectivity)가 우수한 실리콘(Si)에 탄소(C), 질소(N), 산소(O) 중 하나 이상을 포함하는 실리콘(Si) 화합물을 포함한다. 식각 저지층 패턴(102a)은 하부 보강층(104) 및 중심층(105)과는 상이한 물질로 형성되며, 10 ∼ 500㎚의 두께를 갖는다.The etch stop layer pattern 103a is buried between the support layer pattern 102a and the pellicle layer 120 and the etch stop layer pattern 103a is etched at a wet etching rate (Si) compound containing at least one of carbon (C), nitrogen (N), and oxygen (O) in silicon (Si) excellent in selectivity. The etch stop layer pattern 102a is formed of a material different from that of the lower reinforcement layer 104 and the center layer 105 and has a thickness of 10 to 500 nm.

상하부 보강층(104, 106)은 펠리클 중심층(105)의 기계적 강도 및 열적 특성을 보강하기 위한 층으로서 중심층(105)의 상하면에 각각 형성되거나 이들 중 어느 하나만 중심층(105)의 일 면에 형성되며, 높은 투과율을 가지고, EUV 공정 중에 발생하는 고온으로부터 펠리클 박막(120)을 보호하는 역할을 한다. 상하부 보강층(104, 106)은 실리콘(Si)에 탄소(C), 질소(N), 산소(O)중 하나 이상을 포함하는 실리콘(Si) 화합물, 또는 탄화 실리콘(SiC), 탄화붕소(B4C), 루테늄(Ru), 몰리브덴(Mo), 그래핀(Graphene), CNT(Carbon nano tube) 중 1종 이상의 물질을 포함하되, 식각 저지층(102) 및 중심층(105)과는 상이한 물질로 형성되며, 2∼10㎚의 두께를 갖는다.The upper and lower reinforcing layers 104 and 106 are formed on the upper and lower surfaces of the center layer 105 as reinforcing layers for reinforcing the mechanical strength and thermal properties of the pellicle centering layer 105, Has a high transmittance and serves to protect the pellicle film 120 from the high temperatures generated during the EUV process. The upper and lower reinforcing layers 104 and 106 may be formed of a silicon compound containing at least one of carbon (C), nitrogen (N) and oxygen (O) in silicon (Si) 4 C), are different from the ruthenium (Ru), molybdenum (Mo), graphene (graphene), CNT (Carbon nano tube) comprising the one or more materials of, an etch stop layer 102 and the pinned layer 105 And has a thickness of 2 to 10 nm.

여기에서 상하부 보강층(104, 106)은 2㎚ 이하의 두께를 갖는 경우 강도가 저하될 수 있으며, 10㎚ 이상의 두께를 갖는 경우 극자외선 노광광에 대한 투과율을 현저하게 떨어뜨리는 요인이 되어 적용이 불가능하기 때문에, 전체 펠리클의 투과율과 기계적 강도를 고려하여 최적의 두께를 선택하여 형성한다.If the thickness of the upper and lower reinforcing layers 104 and 106 is less than 2 nm, the strength of the upper and lower reinforcing layers 104 and 106 may be lowered. If the thickness of the upper and lower reinforcing layers 104 and 106 is greater than 10 nm, Therefore, the optimum thickness is selected in consideration of the transmittance and the mechanical strength of the entire pellicle.

중심층(105)은 투과율이 높은 단결정(Single crystal), 무결정(Amorphous) 또는 다결정(Poly crystal) 상태의 성질을 포함하는 실리콘층으로 형성되며, 붕소(B), 인(P), 비소(As), 이트륨(Y), 지르코늄(Zr), 나이오븀(Nb) 및 몰리브덴(Mo) 중 1 종 이상의 물질을 더 포함할 수 있으며, 또는 몰리브덴실리사이드(MOSi), 텅스텐실리실리사이드, 지르코늄실리사이드(ZrSi), 탄탈실리사이드 등 열 특성이 우수한 금속실리사이드계 중 1종 이상의 물질로 구성되며, 식각 저지층 패턴(103a) 및 상하부 보강층(104, 106)과는 상이한 물질로 형성될 수 있다. 중심층(105)은 100nm 이하의 두께를 가지며, 13.5㎚의 EUV 노광광에 대하여 80% 이상의 투과율을 갖는 것이 바람직하다.The center layer 105 is formed of a silicon layer having properties of a single crystal, an amorphous or a polycrystalline with high transmittance and is formed of a silicon layer containing boron (B), phosphorus (P), arsenic (Mo), tungsten silicide (ZrSi), tungsten silicide (ZrSi), tungsten silicide (ZrSi), tungsten silicide ), Tantalum silicide, or the like, and may be formed of a material different from the etching stopper layer pattern 103a and the upper and lower reinforcement layers 104 and 106. The center layer 105 has a thickness of 100 nm or less and preferably has a transmittance of 80% or more with respect to EUV exposure light of 13.5 nm.

EUV 는 어떤 물질에도 잘 흡수되는 성질을 가지고 있고, 파장이 짧기 때문에 열에너지도 강하다. 따라서 펠리클 박막의 방열은 매우 중요한 문제이다. 그런데, 종래의 방열 문제 해결의 방법은 열 방출 계수가 좋은 물질을 찾는 것에 중심을 두어 왔다. 그러나, 본원 발명에서는 열 방출 계수가 우수한 물질을 선택하는 것을 고려하되 그 외에 표면적을 키우는 방법을 추가로 고려하였다. 이러한 방법으로서 중심층(105)의 표면에 나노 포어를 형성하여 표면적을 극대화함으로써 방열 효과가 증대되도록 하였다.EUV is absorbed well by any substance and its heat energy is strong because of its short wavelength. Therefore, heat dissipation of the pellicle film is a very important problem. However, conventional methods of solving the heat dissipation problem have focused on finding a substance having a good heat dissipation coefficient. However, in the present invention, consideration is given to the selection of a substance having a good heat release coefficient, and a method of increasing the surface area is further considered. In this method, nanopores are formed on the surface of the center layer 105 to maximize the surface area, thereby increasing the heat radiation effect.

즉, 본 발명에서 중심층(105)의 열적 특성을 보강하기 위해 중심층(105)의 표면에 표면처리를 진행한다. 즉, 중심층(105)의 표면은 나노 크기의 미세 다공성을 가진 표면으로 형성되며, 이에 의하여 그 위에 적층되는 상부 보강층(106)의 외표면 또한 다공성 표면이 된다. 이에 따라 상부 보강층(106)의 외표면 면적이 증가하여 방열 효과가 증가하게 된다. 상부 보강층(106)을 다공성 표면으로 제작하기 위하여 상부 보강층(106) 자체를 식각하는 것도 가능하다. 그러나 재질의 특성상 식각에 의해 다공성 표면을 제작하는 데에 있어서는 상부 보강층(106)을 식각하는 것보다 중심층(105)을 식각하는 것이 효과적이다.That is, in the present invention, surface treatment is performed on the surface of the center layer 105 to reinforce the thermal properties of the center layer 105. That is, the surface of the center layer 105 is formed as a nano-sized microporous surface, whereby the outer surface of the upper stiffening layer 106 stacked thereon also becomes a porous surface. As a result, the outer surface area of the upper reinforcing layer 106 is increased to increase the heat radiating effect. It is also possible to etch the upper stiffening layer 106 itself to fabricate the upper stiffening layer 106 as a porous surface. However, it is effective to etch the center layer 105 more than to etch the upper reinforcing layer 106 in manufacturing the porous surface by etching due to the characteristics of the material.

이때 표면의 거칠기는 1∼10nm 가 바람직하다. (도 4 및 5 참조) 중심층(105)의 표면 거칠기를 위 수치로 하면 보강층(106)의 표면 거칠기도 위 수치가 된다. 더욱 바람직한 표면 거칠기는 3∼5nm 이다. 이와 같은 표면 거칠기가 이와 같이 수 나노미터 정도인 경우 박막 표면이 EUV 의 투과 균일도 미치는 영향이 매우 미미하며, 이보다 큰 경우 투과 균일도가 저하되는 문제점이 발생한다. 즉, 나노 구조에 따른 빛의 산란(light scattering)이 발생하지 않도록 하면서도 방열 효과의 증대를 위하여 표면 거칠기(surface roughness)는 1∼10nm 바람직하다.At this time, the surface roughness is preferably 1 to 10 nm. (See FIGS. 4 and 5). When the surface roughness of the center layer 105 is a numerical value, the surface roughness of the reinforcing layer 106 is also the above value. More preferably, the surface roughness is 3 to 5 nm. When the surface roughness is about several nanometers, the influence of the transmittance uniformity of the EUV on the surface of the thin film is very small, and when the surface roughness is larger than this, the transmittance uniformity is lowered. That is, the surface roughness is preferably 1 to 10 nm in order to increase the heat dissipation effect while preventing light scattering due to the nanostructure.

본 실시예에서 프레임층(110)의 하부에는 여러 층의 패턴(103a, 104a, 105a, 106a)으로 구성된 하부 박막층 패턴(130a)이 구비되어 있으며, 이 하부 박막층 패턴(130a)은 도 1 의 펠리클(100)을 제작하는 과정에서 형성되는 것으로서, 이에 대해서는 도 3 에 대한 설명에서 후술한다.In the present embodiment, a lower thin film layer pattern 130a composed of a plurality of patterns 103a, 104a, 105a, and 106a is provided under the frame layer 110. The lower thin film layer pattern 130a is formed by a pellicle (100), which will be described later with reference to FIG. 3.

도 2 는 본 발명의 제 2 실시예에 따른 극자외선 리소그래피용 펠리클을 도시한 단면도이다. 본 실시예에 대한 설명에서 도 1 에 도시된 실시예와 실질적으로 동일한 부분에 대해서는 자세한 설명은 생략되며 동일한 참조부호를 부여한다.2 is a cross-sectional view showing a pellicle for extreme ultraviolet lithography according to a second embodiment of the present invention. In the description of this embodiment, substantially the same parts as those in the embodiment shown in FIG. 1 are omitted from the detailed description and given the same reference numerals.

도 2 를 참조하면, 본 발명의 제 2 실시 형태에 따른 극자외선 리소그래피용 펠리클은, 지지층 패턴(102a), 식각 저지층 패턴(103a)으로 이루어진 프레임층(110)과 하부 보강층(104), 중심층(105) 및 상부 보강층(106)으로 이루어진 펠리클층(120)을 구비하는 점에서 전술한 제 1 실시예와 동일하다. 본 실시예의 펠리클은 펠리클층(120)의 상면과 하면 중 어느 하나 또는 이들 모두에 구비된 보조 보강층(201, 202)을 추가로 포함한다.2, the pellicle for extreme ultraviolet lithography according to the second embodiment of the present invention includes a support layer pattern 102a, a frame layer 110 composed of an etching stopper layer pattern 103a, a lower reinforcement layer 104, And a pellicle layer 120 composed of a layer 105 and an upper stiffening layer 106. The pellicle layer 120 is formed of a layer 105 and an upper stiffening layer 106. [ The pellicle of the present embodiment further includes auxiliary reinforcing layers 201 and 202 provided on either or both of the upper surface and the lower surface of the pellicle layer 120.

본 실시예에서도 프레임층(110)의 하부에는 여러 층의 패턴(201a, 103a, 104a, 105a, 106a, 202a)으로 구성된 하부 박막층 패턴(130a)이 구비되어 있다. 이 하부 박막층 패턴(130a)은 도 2 의 펠리클을 제작하는 과정에서 형성되는 것으로서, 후술되는 도 3 의 제작 과정과 유사한 과정에 의해 형성되며 이에 대해서는 자세한 설명은 생략된다.Also in this embodiment, a lower thin film layer pattern 130a composed of a plurality of patterns 201a, 103a, 104a, 105a, 106a, and 202a is provided under the frame layer 110. [ The lower thin film layer pattern 130a is formed in the process of manufacturing the pellicle of FIG. 2, and is formed by a process similar to that of FIG. 3 described later, and a detailed description thereof will be omitted.

보조 보강층(201, 202)은 실리콘(Si)에 탄소(C), 질소(N), 산소(O)중 하나 이상을 포함하는 실리콘(Si) 화합물, 또는 탄화 실리콘(SiC), 탄화붕소(B4C), 루테늄(Ru), 몰리브덴(Mo), 그래핀(Graphene), CNT(Carbon nano tube) 중 1종 이상의 물질을 포함하며, 식각 저지층 패턴(103a), 중심층(105) 및 보강층(104, 106)과는 상이한 물질로 형성되고, 2∼10㎚의 두께를 갖는다. The auxiliary reinforcing layers 201 and 202 may be formed of a silicon compound containing at least one of carbon (C), nitrogen (N) and oxygen (O) in silicon (Si) 4 C), ruthenium (Ru), molybdenum (Mo), graphene (graphene), CNT (Carbon nano tube) 1 includes at least one material selected from the group consisting of, an etch stop layer pattern (103a), the pinned layer 105 and the reinforcement layer Is formed of a material different from that of the first and second electrodes 104 and 106, and has a thickness of 2 to 10 nm.

여기서, 제 1 실시예와 동일하게 중심층(105)의 표면은 열적 특성을 향상하기 위해서는 표면처리를 진행하여, 나노 크기의 미세 다공성을 가지는 표면을 형성한다. 이때 표면의 거칠기는 마찬가지로 1∼10nm가 바람직하다.Here, as in the first embodiment, the surface of the center layer 105 is subjected to surface treatment in order to improve the thermal characteristics to form a surface having nano-sized microporosity. At this time, the roughness of the surface is preferably 1 to 10 nm.

본 실시예에서와 같이 보조 보강층(201, 202)을 추가로 구비할 경우에는, 보조 보강층을 금속(metal) 계열의 재질로 제작함으로써 방열 특성을 개선하는 것이 가능하게 된다는 장점이 있다.When the auxiliary reinforcing layers 201 and 202 are additionally provided as in the present embodiment, it is possible to improve the heat radiation characteristics by manufacturing the auxiliary reinforcing layer from a metal-based material.

도 3 의 (a) 내지 (i) 는 도 1 에 도시된 제 1 실시예에 따른 극자외선 리소그래피용 펠리클(100)의 제조방법을 순차적으로 도시한 도면이다. 3 (a) to 3 (i) sequentially illustrate the method of manufacturing the extreme ultraviolet lithography pellicle 100 according to the first embodiment shown in FIG.

먼저 도 3a 와 같이 본 발명에 다른 극자외선 포토마스크용 펠리클 제조를 위한 기초로 사용되는 지지층(102)을 준비한다. First, as shown in FIG. 3A, a support layer 102 used as a base for manufacturing pellicles for another EUV photomask according to the present invention is prepared.

도 3b 를 참조하면, 화학기상층착(Chemical Vapor Deposition: CVD), 열적 산화막 공정(Thermal oxidation process), 스퍼터링(Sputtering), 원자층증착(Atomic layer deposition) 등의 방법을 통하여 실리콘(Si) 물질에 산소(O), 질소(N), 탄소(C) 중 하나 이상을 포함하는 실리콘(Si) 화합물로 이루어지는 식각 저지층(103)을 지지층(102)의 상면에 형성한다. 이때 지지층(102)의 하면에도 식각 저지층(103)과 동일한 층이 형성된다.Referring to FIG. 3B, a silicon (Si) material is grown by a chemical vapor deposition (CVD) method, a thermal oxidation process, a sputtering, or an atomic layer deposition An etching stopper layer 103 made of a silicon compound containing at least one of oxygen (O), nitrogen (N), and carbon (C) is formed on the upper surface of the support layer 102. At this time, the same layer as the etch stop layer 103 is formed on the lower surface of the support layer 102.

식각 저지층(103)은 식각 공정 시 지지층(102)과의 식각 선택비가 높은 물질로 형성하는 것이 유리하다. 따라서, 식각 저지층(103)이 지지층(102) 대비 충분한 습식 식각 선택비를 가지도록 식각 선택비는 104 이상이 되는 것이 바람직하다. 또한 식각 저지층(103)은 펠리클 제작 공정 중 펠리클층(120)의 파괴를 방지하기 위해서 잔류 응력이 최소화되도록 하는 것이 바람직하다. 이에 따라, 식각 저지층(103)은 300 Mpa 이하의 압축 응력을 갖는 실리콘 산화막을 포함하여 형성하는 것이 바람직하다.The etch stop layer 103 is advantageously formed of a material having a high etch selectivity with respect to the support layer 102 during the etching process. Therefore, the etch selectivity is preferably 10 4 or more so that the etch stop layer 103 has a sufficient wet etch selectivity with respect to the support layer 102. It is also desirable that the etch stop layer 103 minimize residual stresses to prevent breakage of the pellicle layer 120 during the pellicle making process. Accordingly, it is preferable that the etch stop layer 103 is formed to include a silicon oxide film having a compressive stress of 300 MPa or less.

도 3c 를 참조하면, 화학기상층착(Chemical Vapor Deposition: CVD), 스퍼터링(Sputtering), 원자층증착(Atomic Layer Deposition; ALD)등의 방법을 통하여 하부 보강층(104)을 상부의 식각 저지층(103) 상면에 형성한다. 이때 하부의 식각 저지층(103)의 하면에도 하부 보강층(104)과 동일한 층이 형성된다.Referring to FIG. 3C, the lower reinforcement layer 104 is formed on the upper etch stop layer 103 (see FIG. 3) through chemical vapor deposition (CVD), sputtering, atomic layer deposition (ALD) . At this time, the same layer as the lower reinforcing layer 104 is also formed on the lower surface of the etch stop layer 103.

하부 보강층(104)은 펠리클층(120)의 표면 주름 방지를 고려하여, 50∼150 Mpa의 인장 응력을 갖도록 형성하는 것이 바람직하다. 따라서, 본 발명에서 하부 보강층(104)은 기계적 강도와 화학적 내구성이 우수할 뿐만 아니라 스텝 커버리지(Step coverage)가 우수한 실리콘 질화물을 포함하여 형성하는 것이 바람직하다.The lower reinforcing layer 104 is preferably formed to have a tensile stress of 50 to 150 Mpa in consideration of prevention of surface wrinkles of the pellicle layer 120. [ Accordingly, it is preferable that the lower reinforcement layer 104 of the present invention includes silicon nitride having excellent mechanical strength and chemical durability as well as excellent step coverage.

도 3d 를 참조하면, 에피텍셜 성장(Epitaxial growth), 화학기상증착 (Chemical Vapor Deposition; CVD), 스퍼터링(Sputtering) 등의 방법을 통해 중심층(105)을 상부의 하부 보강층(104)의 상면에 형성한다. 이때 하부의 하부 보강층(104)의 하면에도 중심층(105)과 동일한 층이 형성된다.Referring to FIG. 3D, the center layer 105 is formed on the upper surface of the lower reinforcing layer 104 by a method such as epitaxial growth, chemical vapor deposition (CVD), sputtering, . At this time, the same layer as the central layer 105 is also formed on the lower surface of the lower reinforcing layer 104.

본 발명에서 중심층(105)은 미세 가공 공정에 유리하고, 광학적, 열적, 기계적 특성이 우수한 다결정 실리콘(Poly silicon)을 이용하여 형성하는 것이 바람직하다. 또한, 전술한 바와 같이 본 발명에서는 중심층(105)의 열적 특성을 향상하기 위해서 중심층(105)의 표면을 나노 크기의 다공성(Porosity)으로 제작한다. 표면에 미세 다공성을 형성하기 위해서는 건식 및 습식 방법을 이용할 수 있으나, 본 발명에서는 건식 식각 방법으로 하는 것이 바람직하다. 습식 식각의 경우 표면 거칠기(roughness)를 10nm 이하로 만들기 어려우며, 거칠기가 큰 경우 EUV 에 대한 투과 균일도가 떨어지기 때문이다. 바람직한 예로서, 나노포어 구조는 25sccm XeF2 와 100sccm N2 가스를 이용하여 제작되며, 다결정 실리콘(Poly-Si)은 식각 속도가 빠르기 때문에 수 초 정도의 짧은 시간 동안 공정을 진행한다.In the present invention, the center layer 105 is preferably formed by using poly silicon which is advantageous for micro-machining and has excellent optical, thermal and mechanical properties. Also, as described above, in order to improve the thermal characteristics of the center layer 105, the surface of the center layer 105 is made to have a nano-sized porosity. In order to form microporosity on the surface, a dry method and a wet method can be used, but in the present invention, a dry etching method is preferable. In wet etching, it is difficult to make the surface roughness less than 10 nm, and when the roughness is large, the transmission uniformity to EUV is lowered. As a preferred example, the nanopore structure is fabricated using 25 sccm of XeF 2 and 100 sccm of N 2 gas, and the process is performed for a short time of several seconds because of the high etching rate of the polycrystalline silicon (Poly-Si).

도 3e 를 참조하면, 상부 보강층(106)을 상부의 중심층(105) 상면에 증착하며 이때 하부의 중심층(105)의 하면에도 상부 보강층(106)과 동일한 층이 형성된다. 이때 상부 보강층(106)은 전술한 하부 보강층(104)과 동일한 방법으로 형성한다.Referring to FIG. 3E, the upper reinforcing layer 106 is deposited on the upper surface of the upper center layer 105, and the same layer as the upper reinforcing layer 106 is also formed on the lower surface of the lower central layer 105. At this time, the upper reinforcing layer 106 is formed in the same manner as the lower reinforcing layer 104 described above.

도 3의 (f)를 참조하면, 열적 산화막 공정(Thermal oxidation process), 화학기상층착(Chemical Vapor Deposition: CVD), 스퍼터링(Sputtering), 원자층증착(Atomic Layer Deposition; ALD)등의 방법을 통하여 상부 및 하부의 상부 보강층(106)들의 외면에 식각 마스크층(107)을 형성한다.Referring to FIG. 3 (f), a thermal oxidation process, a chemical vapor deposition (CVD), a sputtering, and an atomic layer deposition (ALD) An etch mask layer 107 is formed on the outer surfaces of the upper and lower upper stiffening layers 106.

식각 마스크층(107)은 지지층(102)에 대한 식각 선택비(Etching selectivity)가 높고 지지층(102) 식각 후 제거가 용이한 물질로, 실리콘(Si) 물질에 탄소(C), 질소(N), 산소(O) 중 하나 이상을 포함하는 실리콘(Si) 화합물 또는 크롬, 금, 알루미늄 등 금속 물질 중 1종 이상의 물질을 포함하여 형성한다. 본 발명에서는 스텝 커버리지(Step coverage)가 우수하고 박막의 밀도가 우수하여 상대적으로 표면 결점이 없는 실리콘 산화막을 이용하는 것이 바람직하다. 식각 마스크층(107)은 지지층(102) 전체 두께를 식각하는 공정을 고려하여 최소한 100㎚ 이상의 두께를 가지도록 형성한다. 식각 도중에 보강층(106) 또는 보조 보강층의 일부가 식각되기 때문에 정확한 두께를 유지하기 어려울 수 있다. 이를 방지하기 위해 보강층(106) 또는 보조 보강층 상면에 식각 마스크층(107)을 두면 보강층 및 보조 보강층의 표면을 보호하고 정확한 두께를 제어할 수 있다.The etching mask layer 107 has a high etching selectivity with respect to the supporting layer 102 and is easy to remove after etching the supporting layer 102. The etching mask layer 107 is formed of a mixture of carbon (C), nitrogen (N) , Oxygen (O), or a metal material such as chromium, gold, or aluminum. In the present invention, it is preferable to use a silicon oxide film having excellent step coverage and excellent density of the thin film and having relatively no surface defects. The etching mask layer 107 is formed to have a thickness of at least 100 nm or more in consideration of the step of etching the entire thickness of the supporting layer 102. It may be difficult to maintain an accurate thickness since the reinforcing layer 106 or a part of the auxiliary reinforcing layer is etched during etching. In order to prevent this, it is possible to protect the surfaces of the reinforcing layer and the auxiliary reinforcing layer and to control the exact thickness by placing the etching mask layer 107 on the upper surface of the reinforcing layer 106 or the auxiliary reinforcing layer.

도 3g 를 참조하면, 포토레지스트막 패턴(108a)을 기판의 하면에 형성한 후, 포토 레즈스트막 패턴(108a)을 식각 마스크로 사용하여 지지층(102) 하부의 식각 마스크층(107), 상부 보강층(106), 중심층(105), 하부 보강층(104), 식각 저지층(103)을 건식 또는 습식 식각 공정을 통해 순차적으로 식각함으로써, 지지층(102)을 노출 시키는 하부 박막층 패턴(130a)을 형성한다. 본 발명에서는 식각 프로파일(profile)이 우수한 건식 식각을 이용하는 것이 바람직하다.3G, the photoresist film pattern 108a is formed on the lower surface of the substrate, and then the photoresist film pattern 108a is used as an etching mask to form an etching mask layer 107 under the supporting layer 102, The bottom layer layer pattern 130a exposing the supporting layer 102 is formed by sequentially etching the reinforcing layer 106, the center layer 105, the bottom reinforcing layer 104 and the etching stop layer 103 through a dry or wet etching process . In the present invention, it is preferable to use dry etching with an excellent etching profile.

도 3h 를 참조하면, 포토레지스막 패턴(108a)을 제거한 후 딥 에처(Deep etcher), 제논 에처(XeF2 etcher) 등의 건식 식각 또는 수산화칼륨(Potassium hydroxide, 이하 KOH로 함), 또는 테트라메틸암모늄하이드로사이드 (Tetramethylammonium hydroxide, 이하 TMAH로 함) 등을 사용한 습식 식각 공정을 통하여 지지층(102)을 식각함으로써, 식각 저지층(103)을 노출시키는 지지층 패턴(102a)을 형성한다.Referring to Figure 3h, after removing the photoresist film pattern (108a) deep etcher (Deep etcher), xenon etcher (XeF 2 Etching of the support layer 102 through a wet etching process using dry etching such as dry etching or potassium hydroxide or potassium hydroxide (hereinafter referred to as KOH) or tetramethylammonium hydroxide (hereinafter referred to as TMAH) Thereby forming a support layer pattern 102a for exposing the etch stop layer 103. [

본 발명에서는 하부 박막층 패턴(130a)과의 식각 선택비가 104 이상으로 매우 높은 TMAH 를 이용하여 지지층(102)을 식각하는 것이 바람직하다. 나아가, 식각 저지막(103)의 재질에 산소(O)가 포함되는 경우에는, 지지층(102)의 식각에 TMAH 를 사용하는 것이 특히 바람직하다. 한편, 전술한 바와 같이 식각 마스크층(107)은 실리콘에 산소, 탄소, 질소 중 하나 이상을 포함하는 실리콘 화합물로 제조될 수 있는데, 특히 식각 마스크층(107)도 산소(O)를 포함하는 재질인 경우에는 TMAH 가 지지층(102) 식각에 더욱 적합한 에천트가 된다.In the present invention, it is preferable to etch the support layer 102 using TMAH having an etch selectivity of not less than 10 4 with the lower thin film layer pattern 130a. Furthermore, when oxygen (O) is included in the material of the etching stopper film 103, it is particularly preferable to use TMAH for etching the supporting layer 102. [ As described above, the etching mask layer 107 may be formed of a silicon compound containing at least one of oxygen, carbon, and nitrogen in the silicon. In particular, the etching mask layer 107 may be formed of a material containing oxygen The TMAH becomes an etchant more suitable for etching the support layer 102. [

한편, 지지층 패턴(102a)을 형성하기 위한 지지층(102) 식각 시 식각 표면의 거칠기나 힐락 등의 식각 표면 결점을 감소하기 위해서 이소프로필 알코올(Isopropyl alcohol, 이하 IPA로 함), 트리톤 X-100 등의 계면 활성제를 첨가하여 진행 할 수 있다.Isopropyl alcohol (hereinafter referred to as IPA), Triton X-100 (hereinafter referred to as " Triton X-100 ") or the like is used to reduce the surface roughness of the support layer 102 for forming the support layer pattern 102a, Of a surfactant may be added.

도 3i 를 참조하면, 건식 또는 습식 식각 공정을 통해 하부 보강층(104)을 노출시키는 식각 저지층 패턴(103a)을 형성하고, 식각 마스크층(107)을 제거하여 본 발명의 실시 형태에 따른 극자외선 리소그래피용 펠리클의 제조를 완료한다. 식각 저지층(103)의 식각과 식각 마스크층(107)의 제거는 동시에 이루어질 수 있다. 이를 위하여 식각 저지층(103)과 식각 마스크층(107)은 동일한 식각 물질에 의해 식각되는 물질로 구성되는 것이 바람직하다.Referring to FIG. 3I, an etch stop layer pattern 103a for exposing the lower reinforcement layer 104 is formed through a dry or wet etching process, and the etch mask layer 107 is removed. Thus, Thereby completing the production of the lithography pellicle. Etching of the etch stop layer 103 and removal of the etch mask layer 107 can be done simultaneously. For this, the etch stop layer 103 and the etch mask layer 107 are preferably made of a material that is etched by the same etch material.

식각 마스크층(107) 제거 시, 펠리클층(130) 표면에 잔류해 있는 알칼리 계열 식각 용액을 탈염수를 이용하여 완전히 제거한 후 식각 저지층(103) 및 식각 마스크층(107)을 식각 및 제거한다. 본 발명에서는 식각 저지층(103) 및 식각 마스크층(107)을 동시에 식각 및 제거 할 수 있는 불산(Hydrogen fluoride, 이하 HF로함)을 이용하는 것이 바람직하다.When the etching mask layer 107 is removed, the alkali-based etching solution remaining on the surface of the pellicle layer 130 is completely removed using the demineralized water, and the etching stopper layer 103 and the etching mask layer 107 are etched and removed. In the present invention, it is preferable to use a hydrofluoric acid (hereinafter referred to as HF) capable of etching and removing the etching stopper layer 103 and the etching mask layer 107 at the same time.

이와 같은 본 발명에 따르면, 지지층(102)과 펠리클층(120) 사이에 식각 저지층(103)이 존재하므로, 지지층(102)을 식각하여 지지층 패턴(102a) 형성 시 지지층(102) 식각 물질에 의한 펠리클층(120)의 의도치 않는 손상이 방지된다. 특히, 펠리클에서는 매우 두꺼운 지지층(102)의 두께에 비하여 펠리클층(120)의 두께 또는 하부 보강층(104)이나 하부 보조 보강층(201)의 두께가 매우 얇으므로, 지지층(102)에 대한 식각 선택비가 매우 크더라도 주로 SiN 으로 구성되는 이들 층에 원치 않는 식각에 의한 손상이 발생할 수 있다. 따라서 본 발명과 같이 식각 저지층(103)이 존재하는 경우에는 이러한 손상을 더욱 방지할 수 있다.According to the present invention, since the etch stop layer 103 exists between the support layer 102 and the pellicle layer 120, the support layer 102 is etched to form the support layer pattern 102a, Unexpected damage to the pellicle layer 120 by the pellicle layer 120 is prevented. Particularly, since the thickness of the pellicle layer 120 or the thickness of the lower reinforcing layer 104 or the lower auxiliary reinforcing layer 201 is very thin compared to the thickness of the very thick supporting layer 102, the etching selectivity ratio of the supporting layer 102 Even though very large, undesirable etching damage can occur to these layers, which are mainly composed of SiN. Therefore, when the etch stop layer 103 is present as in the present invention, such damage can be further prevented.

이상, 도면을 참조하여 본 발명의 실시예를 통하여 본 발명을 구체적으로 설명하지만, 실시예는 단지 본 발명의 예시 및 설명을 하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로, 본 발명의 기술 분야에서 통상의 지식을 가진 자라면 실시예로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 수 있을 것이다. 따라서, 본 발명의 진정한 기술력 보호범위는 특허청구범위의 기술적 사항에 의해 정해져야 할 것이다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. It is not used to limit the scope. Therefore, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention. Accordingly, the true scope of protection of the present invention should be determined by the technical matters of the claims.

100 : 펠리클
102 : 지지층 102a : 지지층 패턴
103 : 식각 저지층 103a : 식각 저지층 패턴
104 : 하부 보강층 105 : 중심층
106 : 상부 보강층 107 : 식각 마스크층
110 : 프레임층 120 : 펠리클층
100: Pellicle
102: Support layer 102a: Support layer pattern
103: Etch stop layer 103a: Etch stop layer pattern
104: lower reinforcing layer 105: center layer
106: upper reinforcing layer 107: etching mask layer
110: frame layer 120: pellicle layer

Claims (20)

지지층을 식각하여 형성되는 지지층 패턴;
상기 지지층 패턴의 상부에 형성되는 펠리클층; 및
상기 지지층 패턴과 상기 펠리클층 사이에 형성되어 상기 지지층의 식각 시 식각을 저지하는 식각 저지층을 식각함으로써 형성되는 식각 저지층 패턴;
을 포함하며,
상기 식각 저지층은 재질에 산소(O)가 포함되며, 상기 지지층 패턴은 TMAH 를 사용하여 상기 지지층을 식각함으로써 형성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
A support layer pattern formed by etching a support layer;
A pellicle layer formed on the support layer pattern; And
An etch stop layer pattern formed between the support layer pattern and the pellicle layer, the etch stop layer pattern being formed by etching an etch stop layer that prevents etching of the support layer during etching;
/ RTI >
Wherein the etch stop layer comprises oxygen (O) as a material and the support layer pattern is formed by etching the support layer using TMAH. ≪ RTI ID = 0.0 > 8. < / RTI >
제 1 항에 있어서,
상기 펠리클층은, 중심층, 및 상기 중심층의 양면 중 적어도 어느 하나에 형성되며 상기 중심층과 상이한 물질로 구성된 하나 이상의 보강층을 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
The method according to claim 1,
Wherein the pellicle layer comprises at least one reinforcing layer formed on at least one of a center layer and both sides of the center layer and made of a material different from the center layer.
제 2 항에 있어서,
상기 중심층은,
단결정, 무결정, 및 다결정 중 어느 하나의 실리콘층에 붕소(B), 인(P), 비소(As), 이트륨(Y), 지르코늄(Zr), 나이오븀(Nb) 및 몰리브덴(Mo) 중 1 종 이상의 물질을 더 포함하여 구성되거나,
몰리브덴실리사이드(MOSi), 텅스텐실리실리사이드, 지르코늄실리사이드(ZrSi), 탄탈실리사이드 중 1 종 이상의 금속실리사이드계 물질로 구성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
Wherein the center layer comprises:
(B), phosphorus (P), arsenic (As), yttrium (Y), zirconium (Zr), niobium (Nb) and molybdenum (Mo) in any one of a single crystal, Further comprising one or more substances,
Wherein the pellicle is composed of at least one metal silicide-based material selected from the group consisting of molybdenum silicide (MOSi), tungsten silicide, zirconium silicide (ZrSi), and tantalum silicide.
제 2 항에 있어서,
상기 중심층은 100nm 이하의 두께를 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
Wherein the center layer has a thickness of 100 nm or less. ≪ RTI ID = 0.0 > 11. < / RTI >
제 2 항에 있어서,
상기 보강층은, 실리콘(Si)에 탄소(C), 질소(N), 산소(O)중 하나 이상을 포함하는 실리콘(Si) 화합물, 또는 탄화 실리콘(SiC), 탄화붕소(B4C), 루테늄(Ru), 몰리브덴(Mo), 그래핀(Graphene), CNT(Carbon nano tube) 중 1종 이상의 물질을 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
The reinforcing layer may be formed of a silicon compound containing at least one of carbon (C), nitrogen (N) and oxygen (O) in silicon (Si), or silicon compound (SiC), boron carbide (B 4 C) Wherein at least one of ruthenium (Ru), molybdenum (Mo), graphene, and carbon nanotube (CNT) is included in the pellicle.
제 2 항에 있어서,
상기 보강층은 상기 중심층 및 상기 식각 저지층 패턴과는 상이한 물질로 구성되며, 2∼10㎚의 두께를 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
Wherein the reinforcing layer is made of a material different from the center layer and the etch stop layer pattern and has a thickness of 2 to 10 nm.
제 2 항에 있어서,
상기 보강층은 50∼150 MPa 의 인장 응력을 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
Wherein the reinforcing layer has a tensile stress of 50 to 150 MPa. ≪ RTI ID = 0.0 > 8. < / RTI >
제 2 항에 있어서,
상기 식각 저지층 패턴은 실리콘(Si)에 탄소(C), 질소(N), 산소(O) 중 하나 이상을 포함하는 실리콘(Si) 화합물로 구성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
Wherein the etch stop layer pattern is comprised of a silicon (Si) compound containing at least one of carbon (C), nitrogen (N), and oxygen (O) in silicon (Si).
제 8 항에 있어서,
상기 식각 저지층 패턴은 10 ∼ 500㎚의 두께를 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
9. The method of claim 8,
Wherein the etch stop layer pattern has a thickness of 10 to 500 nm. ≪ RTI ID = 0.0 > 8. < / RTI >
제 2 항에 있어서,
상기 식각 저지층 패턴은 300MPa 이하의 압축 응력을 갖는 실리콘 산화물을 포함하여 구성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
Wherein the etch stop layer pattern comprises silicon oxide having a compressive stress of 300 MPa or less. ≪ RTI ID = 0.0 > 8. < / RTI >
제 2 항에 있어서,
상기 보강층 중 어느 하나 이상의 외면에 추가적으로 형성된 보조 보강층을 더 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
Further comprising an auxiliary reinforcing layer formed on at least one of the outer surfaces of the reinforcing layers. ≪ RTI ID = 0.0 > 11. < / RTI >
제 11 항에 있어서,
상기 보조 보강층은, 실리콘(Si)에 탄소(C), 질소(N), 산소(O)중 하나 이상을 포함하는 실리콘(Si) 화합물, 또는 탄화 실리콘(SiC), 탄화붕소(B4C), 루테늄(Ru), 몰리브덴(Mo), 그래핀(Graphene), CNT(Carbon nano tube) 중 1종 이상의 물질을 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
12. The method of claim 11,
The auxiliary reinforcing layer may be formed of a silicon compound containing at least one of carbon (C), nitrogen (N) and oxygen (O) in silicon (Si), silicon compound (SiC), boron carbide (B 4 C) , Ruthenium (Ru), molybdenum (Mo), graphene, carbon nanotube (CNT), and the like.
제 11 항에 있어서,
상기 보조 보강층은 상기 중심층 및 상기 보강층과는 상이한 물질로 구성되며, 2∼10㎚의 두께를 갖는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
12. The method of claim 11,
Wherein the auxiliary reinforcing layer is made of a material different from the center layer and the reinforcing layer and has a thickness of 2 to 10 nm.
제 2 항에 있어서,
상기 보강층의 외면에 형성되며, 실리콘(Si) 물질에 산소(O)를 포함하는 실리콘 화합물을 포함하여 형성되는 식각 마스크층;을 더 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
3. The method of claim 2,
And an etch mask layer formed on an outer surface of the reinforcing layer and including a silicon compound containing oxygen (O) in a silicon (Si) material.
제 14 항에 있어서,
상기 식각 마스크층을 이루는 실리콘(Si) 화합물은 탄소(C), 질소(N) 중 하나 이상을 더 포함하거나, 크롬, 금, 알루미늄 등 금속 물질 중 1종 이상의 물질을 더 포함하는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
15. The method of claim 14,
The silicon (Si) compound forming the etching mask layer may further include at least one of carbon (C) and nitrogen (N), or may further include at least one of metal materials such as chromium, gold, and aluminum Pellicle for extreme ultraviolet lithography.
지지층을 식각하여 형성되는 지지층 패턴;
상기 지지층 패턴의 상부에 형성되는 펠리클층; 및
상기 지지층 패턴과 상기 펠리클층 사이에 형성되어 상기 지지층의 식각 시 식각을 저지하는 식각 저지층을 식각함으로써 형성되는 식각 저지층 패턴;
을 포함하며,
상기 펠리클층은, 중심층, 및 상기 중심층의 양면 중 적어도 어느 하나에 형성되며 상기 중심층과 상이한 물질로 구성된 하나 이상의 보강층을 포함하여 구성되고,
상기 보강층은 그 외표면이 나노 크기의 다공성 표면으로 구성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
A support layer pattern formed by etching a support layer;
A pellicle layer formed on the support layer pattern; And
An etch stop layer pattern formed between the support layer pattern and the pellicle layer, the etch stop layer pattern being formed by etching an etch stop layer that prevents etching of the support layer during etching;
/ RTI >
Wherein the pellicle layer comprises a central layer and at least one reinforcing layer formed on at least one of both sides of the center layer and made of a material different from the center layer,
Wherein the reinforcing layer is comprised of a nano-sized porous surface on the outer surface. ≪ RTI ID = 0.0 > 8. < / RTI >
제 16 항에 있어서,
상기 보강층의 다공성 표면은 상기 중심층을 다공성 표면으로 형성함으로써 형성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
17. The method of claim 16,
Wherein the porous surface of the stiffening layer is formed by forming the center layer as a porous surface.
제 17 항에 있어서,
상기 중심층의 다공성 표면은 건식 식각에 의해 형성되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
18. The method of claim 17,
Wherein the porous surface of the core layer is formed by dry etching. ≪ RTI ID = 0.0 > 8. < / RTI >
제 18 항에 있어서,
상기 건식 식각은 XeF2 와 N2 가스를 이용하여 수행되는 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
19. The method of claim 18,
The dry etching is a pellicle for EUV lithography, characterized in that is carried out using an N 2 gas and XeF 2.
제 16 항 내지 제 19 항 중 어느 한 항에 있어서,
상기 다공성 표면의 거칠기는 1∼10nm 인 것을 특징으로 하는 극자외선 리소그래피용 펠리클.
20. The method according to any one of claims 16 to 19,
Wherein the porous surface has a roughness of 1 to 10 nm.
KR1020180099104A 2018-08-24 2018-08-24 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same KR20190053766A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020180099104A KR20190053766A (en) 2018-08-24 2018-08-24 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180099104A KR20190053766A (en) 2018-08-24 2018-08-24 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170149847A Division KR101900720B1 (en) 2017-11-10 2017-11-10 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Publications (1)

Publication Number Publication Date
KR20190053766A true KR20190053766A (en) 2019-05-20

Family

ID=66678448

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180099104A KR20190053766A (en) 2018-08-24 2018-08-24 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Country Status (1)

Country Link
KR (1) KR20190053766A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021080294A1 (en) * 2019-10-22 2021-04-29 주식회사 에스앤에스텍 Pellicle for extreme ultraviolet lithography using boron nitride nanotube and method for producing same
KR20210054385A (en) * 2019-11-05 2021-05-13 주식회사 에스앤에스텍 Pellicle with a Pattern to reduce external stimulus, and Method for manufacturing the same
KR102282273B1 (en) * 2020-01-17 2021-07-27 주식회사 에프에스티 Method for fabricating a pellicle for EUV(extreme ultraviolet) lithography
KR20220017132A (en) * 2020-08-04 2022-02-11 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure
KR102375433B1 (en) * 2020-12-02 2022-03-18 한국전자기술연구원 Pellicle for extreme ultraviolet exposure including a three-component core layer
WO2023146179A1 (en) * 2022-01-25 2023-08-03 한국과학기술원 Method for forming capping layer through selective growth of ruthenium and preparing euv pellicle with multilayer structure through same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080102204A (en) 2006-02-16 2008-11-24 작스트레벤 케미 게젤샤후트밋트베슈렝크테르하후트웅 Biocidal composition
KR20090026939A (en) 2007-09-11 2009-03-16 삼성전자주식회사 Apparatus and method for controlling data strobe signal
KR20090026940A (en) 2007-09-11 2009-03-16 삼성전자주식회사 Method and apparatus for playing contents in iptv terminal
KR20110135209A (en) 2010-06-10 2011-12-16 주식회사 신창전기 Multi-function switch assembly for vehicles

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080102204A (en) 2006-02-16 2008-11-24 작스트레벤 케미 게젤샤후트밋트베슈렝크테르하후트웅 Biocidal composition
KR20090026939A (en) 2007-09-11 2009-03-16 삼성전자주식회사 Apparatus and method for controlling data strobe signal
KR20090026940A (en) 2007-09-11 2009-03-16 삼성전자주식회사 Method and apparatus for playing contents in iptv terminal
KR20110135209A (en) 2010-06-10 2011-12-16 주식회사 신창전기 Multi-function switch assembly for vehicles

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021080294A1 (en) * 2019-10-22 2021-04-29 주식회사 에스앤에스텍 Pellicle for extreme ultraviolet lithography using boron nitride nanotube and method for producing same
KR20210047811A (en) * 2019-10-22 2021-04-30 주식회사 에스앤에스텍 Pellicle Using Boron Nitride Nanotube for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same
KR20210054385A (en) * 2019-11-05 2021-05-13 주식회사 에스앤에스텍 Pellicle with a Pattern to reduce external stimulus, and Method for manufacturing the same
KR102282273B1 (en) * 2020-01-17 2021-07-27 주식회사 에프에스티 Method for fabricating a pellicle for EUV(extreme ultraviolet) lithography
KR20220017132A (en) * 2020-08-04 2022-02-11 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography with Central Layer of 2-layer Structure
KR102375433B1 (en) * 2020-12-02 2022-03-18 한국전자기술연구원 Pellicle for extreme ultraviolet exposure including a three-component core layer
WO2023146179A1 (en) * 2022-01-25 2023-08-03 한국과학기술원 Method for forming capping layer through selective growth of ruthenium and preparing euv pellicle with multilayer structure through same

Similar Documents

Publication Publication Date Title
KR101900720B1 (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR101981950B1 (en) Pellicle for Extreme Ultraviolet Lithography
KR102018530B1 (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20190053766A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20190107603A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
US8518612B2 (en) Pellicle for lithography and manufacturing method thereof
EP2477072A1 (en) A pellicle film and a pellicle for EUV application, and a method for manufacturing the film
JP5590113B2 (en) Reflective mask blank for EUV lithography and manufacturing method thereof
KR102015437B1 (en) Pellicle for an Extreme Ultraviolet(EUV) Lithography and method for fabricating the same
KR20200126216A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and method for fabricating of the same
KR20190115681A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20170126265A (en) Pellicle for an Extreme Ultraviolet(EUV) Lithography and method for fabricating the same
US20050064299A1 (en) Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
US10012899B2 (en) Graphene pellicle for extreme ultraviolet lithography
KR20190141986A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
KR20180029384A (en) Pellicle for an Extreme Ultraviolet(EUV) Lithography and method for fabricating the same
TWI801663B (en) Mask blank, transfer mask, and method of manufacturing semiconductor device
KR20200084206A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
US20220043336A1 (en) Pellicle for euv lithography, and method for manufacturing the same
KR20190107604A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
CN113053734A (en) Method for forming photomask

Legal Events

Date Code Title Description
A107 Divisional application of patent