KR20220002239A - 집적 레이저를 구비한 포토닉스 구조 - Google Patents

집적 레이저를 구비한 포토닉스 구조 Download PDF

Info

Publication number
KR20220002239A
KR20220002239A KR1020217015260A KR20217015260A KR20220002239A KR 20220002239 A KR20220002239 A KR 20220002239A KR 1020217015260 A KR1020217015260 A KR 1020217015260A KR 20217015260 A KR20217015260 A KR 20217015260A KR 20220002239 A KR20220002239 A KR 20220002239A
Authority
KR
South Korea
Prior art keywords
layer
stack
waveguide
laser
active region
Prior art date
Application number
KR1020217015260A
Other languages
English (en)
Inventor
윌리엄 찰스
존 바워스
더글라스 쿨바
대환 정
조나단 클램킨
더글라스 라 툴리페
제럴드 주니어. 리크
송타오 리우
저스틴 노먼
Original Assignee
더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕
더 리전츠 오브 더 유니버시티 오브 캘리포니아
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕, 더 리전츠 오브 더 유니버시티 오브 캘리포니아 filed Critical 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕
Priority claimed from PCT/US2019/052232 external-priority patent/WO2020123008A1/en
Publication of KR20220002239A publication Critical patent/KR20220002239A/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12007Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind forming wavelength selective elements, e.g. multiplexer, demultiplexer
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12002Three-dimensional structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/131Integrated optical circuits characterised by the manufacturing method by using epitaxial growth
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/132Integrated optical circuits characterised by the manufacturing method by deposition of thin films
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/021Silicon based substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/026Monolithically integrated components, e.g. waveguides, monitoring photo-detectors, drivers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/40Arrangement of two or more semiconductor lasers, not provided for in groups H01S5/02 - H01S5/30
    • H01S5/4025Array arrangements, e.g. constituted by discrete laser diodes or laser bar
    • H01S5/4031Edge-emitting structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/121Channel; buried or the like
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12121Laser
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12178Epitaxial growth
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/28Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals
    • G02B6/2804Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals forming multipart couplers without wavelength selective elements, e.g. "T" couplers, star couplers
    • G02B6/2821Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals forming multipart couplers without wavelength selective elements, e.g. "T" couplers, star couplers using lateral coupling between contiguous fibres to split or combine optical signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/04Processes or apparatus for excitation, e.g. pumping, e.g. by electron beams
    • H01S5/042Electrical excitation ; Circuits therefor
    • H01S5/0421Electrical excitation ; Circuits therefor characterised by the semiconducting contacting layers
    • H01S5/0422Electrical excitation ; Circuits therefor characterised by the semiconducting contacting layers with n- and p-contacts on the same side of the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/04Processes or apparatus for excitation, e.g. pumping, e.g. by electron beams
    • H01S5/042Electrical excitation ; Circuits therefor
    • H01S5/0425Electrodes, e.g. characterised by the structure
    • H01S5/04254Electrodes, e.g. characterised by the structure characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/10Construction or shape of the optical resonator, e.g. extended or external cavity, coupled cavities, bent-guide, varying width, thickness or composition of the active region
    • H01S5/1028Coupling to elements in the cavity, e.g. coupling to waveguides adjacent the active region, e.g. forward coupled [DFC] structures
    • H01S5/1032Coupling to elements comprising an optical axis that is not aligned with the optical axis of the active region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/34Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers
    • H01S5/341Structures having reduced dimensionality, e.g. quantum wires
    • H01S5/3412Structures having reduced dimensionality, e.g. quantum wires quantum box or quantum dash

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Semiconductor Lasers (AREA)
  • Optical Integrated Circuits (AREA)

Abstract

기판; 기판 상에 배치된 유전체 스택; 이 유전체 스택 내에 집적된 하나 이상의 포토닉스 디바이스; 및 스택 내에 배치된 복수 구조를 포함하는 레이저 스택을 갖는 레이저 광원을 포함하며, 복수 구조 중의 구조는 유전체 스택 내에 집적되어 있고, 레이저 스택은 이 레이저 스택에 전기 에너지가 인가됨에 따라서 광(light)을 방출하도록 구성된 활성 영역을 포함하는 방법이 개시된다.

Description

집적 레이저를 구비한 포토닉스 구조
[관련 출원에 대한 교차 참조]
본 출원은 발명의 명칭이 "Photonics Structure with Integrated Laser"인 미국 가출원 제62/770,623호(2018년 11월 21일 출원)의 우선권의 이득을 주장하며, 그 전체 내용은 참조에 의해서 본 발명에 합체된다. 본 출원은 발명의 명칭이 "Photonics Structure with Integrated Laser"인 미국 정규 출원 제16/575,820호(2019년 09월 19일 출원)의 우선권의 이득을 주장하며, 그 전체 내용은 참조에 의해서 본 발명에 합체된다. 본 출원은 발명의 명칭이 "Photonics Structure with Integrated Laser"인 타이완(Taiwan) 출원 제108133747호(2019년 09월 19일 출원)의 우선권의 이득을 주장하며, 그 전체 내용은 참조에 의해서 본 발명에 합체된다. 참조에 의해서 그 전체 내용이 본 발명에 합체되는 발명의 명칭이 "Photonics Structure with Integrated Laser"인 상기한 미국 정규 출원 제16/575,820호(2019년 09월 19일 출원)는 참조에 의해서 그 전체 내용이 본 발명에 합체되는 발명의 명칭이 "Photonics Structure with Integrated Laser"인 미국 가출원 제62/770,623호(2018년 11월 21일 출원)의 우선권의 이득을 주장한다. 참조에 의해서 그 전체 내용이 본 발명에 합체되는 발명의 명칭이 "Photonics Structure with Integrated Laser"인 상기한 타이완(Taiwan) 출원 제108133747호(2019년 09월 19일 출원)는 참조에 의해서 그 전체 내용이 본 발명에 합체되는 발명의 명칭이 "Photonics Structure with Integrated Laser"인 미국 가출원 제62/770,623호(2018년 11월 21일 출원)의 우선권의 이득을 주장한다.
[미국 정부 권리 관련 진술]
본 발명은 계약 승인 번호 FA8650-15-2-5220 ARPA-E, DE-AR0000672, 및 DARPA DODOS HR0011-15-C-0055 하의 미국 정부 지원을 받아서 이루어졌다. 미국 정부는 본 발명에 있어서 소정의 권리를 가질 수 있다.
본 발명은 대략적으로 포토닉스(photonics)에 관한 것이며, 더욱 구체적으로는 포토닉스 구조(photonics structure)의 제작 방법에 관한 것이다.
시판 중인 포토닉스 집적 회로는 벌크 실리콘이나 절연체-위-실리콘(silicon-on-insulator) 웨이퍼와 같은 웨이퍼 상에 제작된다.
일 양태에 있어서, 포토닉스 집적 회로는 포토닉스 집적 회로 칩의 서로 다른 영역 사이에서의 광 신호의 전달 뿐만 아니라 해당 칩을 온/오프하기 위한 도파관(waveguide)을 포함할 수 있다. 시판 중인 도파관은 직사각형 또는 리지(ridge)형의 기하학적인 형상을 가지고 있으며 또한 실리콘(단결정 또는 다결정) 또는 실리콘 질화물(silicon nitride)로 제작된다.
시판 중인 포토닉스 집적 회로는 광 검출기 및 기타 광학 부품을 포함할 수 있다. 포토닉스 집적 회로는 (대략 1.3 ㎛ 내지 대략 1.55 ㎛의) 통신 밴드에서의 광의 방출, 변조, 및 검출을 이용한다. 게르마늄에 있어서 밴드갭 흡수단(bandgap absorption edge)은 1.58 ㎛ 부근이다. 게르마늄은 1.3 ㎛ 및 1.55 ㎛의 반송파 파장을 사용하는 광 전자 애플리케이션용으로 충분한 광 응답성을 제공한다고 알려져 있다.
시판 중인 포토닉스 집적 회로 칩은 인쇄 회로 기판 상에 배치된 포토닉스 집적 회로 칩을 갖는 시스템에서 사용할 수 있다.
일 양태에 있어서, 포토닉스 구조를 제공함으로써, 종래 기술의 여러 단점이 극복되며, 또한 추가적인 장점이 제공된다.
기판; 기판 상에 배치된 유전체 스택; 이 유전체 스택 내에 집적된 하나 이상의 포토닉스 디바이스; 및 스택 내에 배치된 복수 구조를 포함하는 레이저 스택을 갖는 레이저 광원을 포함하며, 복수 구조 중의 구조는 유전체 스택 내에 집적되어 있고, 레이저 스택은 이 레이저 스택에 전기 에너지가 인가됨에 따라서 광(light)을 방출하도록 구성된 활성 영역을 포함하는 구조가 개시된다.
절연체-위-실리콘(SOI) 웨이퍼의 절연체에 의해서 형성되는 유전체 스택을 갖는 포토닉스 구조 중의 절연체-위-실리콘(SOI) 웨이퍼의 실리콘층 내에 도파관을 패터닝하는 단계; 포토닉스 구조 내에 유전체 스택의 유전체층(dielectric layer)을 관통하여 연장되는 트렌치를 형성하는 단계; 트렌치 내에 레이저 스택을 에피택셜 성장시키는 단계로서, 이 레이저 스택은 스택 내에 배치된 복수 구조를 포함하는 단계;를 포함하며, 복수 구조 중의 구조는 유전체 스택 내에 배치되며 또한 레이저 스택에 전기 에너지가 인가됨에 따라서 광(light)을 방출하도록 구성된 활성 영역을 포함하는 방법이 개시된다.
추가적인 특징 및 장점은 본 개시 중의 각 기법을 통해서 실현된다.
본 개시의 하나 이상의 양태는 본 명세서 결론부의 청구 범위에서 예시로서 특정하여 명시되며 또한 명확하게 청구된다. 상술한 본 발명의 목적 및 기타 목적, 특징, 및 장점은 첨부 도면과 연계하여 동하는 이하의 "발명을 실시하기 위한 구체적인 내용" 항목으로부터 명백해진다.
도 1은 광 전자 시스템(optoelectronics system)의 절단 측면도이다.
도 2a 내지 도 2i는 도 1에 도시한 바와 같이 일 실시예에 따른 광 전자 시스템을 제작하기 위한 방법을 설명하기 위한 제작 공정도이다.
도 3은 일 실시예 따른 광 전자 시스템의 절단 측면도이다.
도 4a 내지 도 4b는 도 3에 따른 광 전자 시스템을 제작하기 위한 방법을 도시한 제작 공정도이다.
도 5a 내지 도 5d는 Z-Y 평면에 있어서 레이저 스택의 활성 영역으로부터의 하나 이상의 도파관에 광(light)을 결합시키기 위해 제작되는 포토닉스 구조를 도시한 제작 공정도이다.
도 5e는 Y-X 평면에 있어서 제 1 및 제 2 소멸 결합된 도파관의 평면도이다.
본 발명의 각 양태 및 소정의 특징, 장점, 및 상세 내용은 첨부 도면에 도시한 비제한적인 실시예를 참조하여 이하에서 더욱 완전하게 설명하기로 한다. 공지의 물질, 제작 공구, 공정 기법 등에 대한 설명을 생략함으로써 본 발명을 상세하게 설명함에 있어서 불필요하게 불분명하게 하지 않도록 한다. 그러나, 발명의 상세한 설명 및 구체적인 각 실시예는, 본 개시의 각 양태를 나타내고 있지만, 예시적인 목적으로만 제공되며, 제한하는 방식으로는 제공되지 않았음을 알아야 한다. 다양한 치환, 변형, 추가, 및/또는 배열은, 기본적인 발명의 개념의 정신 및/또는 범위 내에서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게는 본 발명의 개시로부터 명백해질 것이다.
도 1은 포토닉스 유전체 스택(200)을 갖는 포토닉스 구조(10)를 도시한 도면으로, 포토닉스 유전체 스택(200) 내에 일체로 형성되고 또한 제작되는 하나 이상의 포토닉스 디바이스, 및 유전체 스택(200) 내에 일체로 형성되고 또한 제작되는 레이저 스택을 갖는 하나 이상의 레이저 광원과 같은 하나 이상의 포토닉스 디바이스가 일체로 형성되고 또한 제작되어 있을 수 있다.
유전체 스택(200) 내에 일체로 형성되고 또한 제작되는 하나 이상의 포토닉스 디바이스는, 예컨대, 실리콘(Si) 리지 도파관(ridge waveguide)이 제공하는 도파관(402)을 포함할 수 있으며 또한 실리콘 직사각형 도파관이 제공하는 도파관(404)을 포함할 수 있다. 도파관(411)은 직사각형 실리콘 질화물 도파관이 제공하고, 도파관(412)은 직사각형 실리콘 질화물 도파관이 제공하고, 도파관(421)은 직사각형 실리콘 도파관이 제공하며, 또한 도파관(422)은 직사각형 실리콘 질화물 도파관이 제공한다.
포토닉스 구조(10)는 유전체 스택(200) 내에 일체로 형성되고 또한 제작될 수 있는 다른 유형의 집적된 도파관을 내부에 가질 수 있다. 포토닉스 구조(10)는 유전체 스택(200) 내에, 예컨대, 도파 소재 형성부(401), 광 감지 소재 형성부(407), 상부 접점(C1), 및 하부 접점(C2)을 갖는 광 검출기(406)를 포함할 수 있다.
포토닉스 구조(10)는 유전체 스택(200) 내에, 일체로 형성되고 또한 제작되는 도파 소재 형성부(403)를 갖는 모듈레이터(408), 접점(C3), 및 접점(C4)을 포함할 수 있다. 포토닉스 구조(10)는 유전체 스택(200) 내에,, 일체로 형성되고 또한 제작되는 다른 유형의 포토닉스 디바이스, 예컨대, 하나 이상의 회절 격자, 하나 이상의 편광기, 및/또는 하나 이상의 공진기를 포함할 수 있다. 도 1을 참조하여 설명한 상술한 실시예에 있어서, 유전체 스택(200) 내에 일체로 형성되고 또한 제작된 도파관은, 예컨대, 단결정 실리콘 도파관 또는 질화물, 예컨대, SiN으로 형성된 도파관, 다결정 실리콘 도파관, 비정질 실리콘 도파관, 및/또는 실리콘 질화물 또는 실리콘 산화물 도파관일 수 있다.
일 실시예에 따르면, 포토닉스 구조(10)는 절연체-위-실리콘(SOI) 웨이퍼를 사용하여 제작될 수 있다. 도 1을 참조하면, 기판(100)은 SOI 웨이퍼로 형성된 기판일 수 있고, 층(202)은 SOI 웨이퍼로 형성된 절연층일 수 있으며, 층(302)은 SOI 웨이퍼로 형성된 실리콘층일 수 있다. 층(302)은 그 내부에 패터닝된 도파 형성부(401), 리지 도파관(402), (모듈레이터(408)를 형성하는) 도파 소재 형성부(403), 및 도파관(404)을 가질 수 있다. 기판(100)은 높이(2000)에서의 바닥 높이를 가질 수 있다. 일 실시예에 따르면, 기판(100)은 약 10 um 내지 약 1000 um 범위 내의 두께를 가질 수 있다. 일 실시예에 따르면, 기판(100)은 약 100 um 내지 약 1000 um 범위 내의 두께를 가질 수 있다. 일 실시예에 따르면, 층(202)은 약 100 nm 내지 약 10 um 범위 내의 두께를 가질 수 있다. 일 실시예에 따르면, 층(202)은 약 1 um 내지 약 10 um 범위 내의 두께를 가질 수 있다. 일 실시예에 따르면, 층(302)은 약 10 nm 내지 약 1000 nm 범위 내의 두께를 가질 수 있다. 일 실시예에 따르면, 층(302)은 단결정 실리콘으로 형성될 수 있다.
포토닉스 구조(10)는 일체로 형성되고 또한 제작된 집적 레이저 광원(500)을 내부에 가질 수 있다. 각각의 집적 레이저 광원은 버퍼 소재 형성부(502)에 의해서 형성되는 레이저 스택(510), 접점층(505), 알루미늄 튜닝층(511A), 클래딩층(512A), 알루미늄 튜닝층(513A), 스페이서층(514), 활성 영역(515), 패턴 형성층(516), 알루미늄 튜닝층(513B), 클래딩층(512B), 알루미늄 튜닝층(511B), 및 접점층(506)을 포함할 수 있다. 일 실시예에 따르면, 레이저 스택(510)의 각각의 후속 층은 선행 층 상에 증착될 수 있다. 선행 층 상에 각각의 층을 증착하는 단계는 선행 층 상에 상기 층을 에피택셜 성장시켜서 수행될 수 있다.
각각의 집적 레이저 광원(500)은 또한 하나의 층에 의해서 형성된 유전체 라이너(503), 위치(A)에서의 집적 레이저 광원용의 하나 이상의 하부 접점(C5 및 C6), 위치(B)에서의 집적 레이저 광원(500)용의 C8 및 C9, 그리고 위치(C)에서의 집적 레이저 광원용(500)의 C11 및 C12를 포함할 수 있다. 각각의 집적 레이저 광원(500)은 (위치(A)에서의 집적 레이저 광원(500)용의 C7, 위치(B)에서의 집적 레이저 광원(500)용의 C10, 그리고 위치(C)에서의 집적 레이저 광원(500)용의 C13인) 상부 접점을 포함할 수 있다.
포토닉스 구조(10)는 내부에 형성되고 제작된 하나 이상의 금속 배선층 및 하나 이상의 비아층(vias layer)을 더 가질 수 있다. 도 1에 나타낸 바와 같이, 집적 포토닉스 구조(10)는 패터닝되어 금속 배선 형성부(M1)를 형성할 수 있는 금속 배선층(602), 패터닝되어 비아(V1)를 형성할 수 있는 비아층(702), 및 패터닝되어 금속 배선층 형성부(M2)를 형성할 수 있는 금속 배선층(612)을 포함할 수 있다. 금속 배선층(602 및 612)은 수평 연장 배선(wire)을 형성할 수 있다. 금속 배선층(602 및 612)에 의해서 형성되는 배선은 포토닉스 유전체 스택(200) 영역을 관통하여 수평으로 연장될 수 있다.
금속 배선층(602)에 의해서 형성되는 수평 연장 배선은 비아층(702)에 의해서 형성되는 하나 이상의 수직 연장 도전성 접점 소재 형성부(C1-C12) 및 비아(V1)에 전기적으로 접속되어 서로 다른 포토닉스 유전체 스택(200)에 대해서 수직으로 및 수평으로 하나 이상의 제어 논리(control logic) 및/또는 전력 신호(power signal)를 분배할 수 있다. 금속 배선층(612)에 의해서 형성되는 수평 연장 배선은 비아층(702)에 의해서 형성되는 수직 연장 비아(V1)에 전기적으로 접속되어 서로 다른 포토닉스 유전체 스택(200) 영역 사이에서 수직으로 및 수평으로 하나 이상의 제어 논리 및/또는 전력 신호를 분배할 수 있다.
포토닉스 구조(10)는 하나 이상의 포토닉스 디바이스, 예컨대, 본 명세서의 도 5a 내지 도 5e와 연계하여 후술하는 바와 같이, 위치(A, B, 및 C)에서 집적 레이저 광원(500)의 각각의 활성 영역(515)과 정렬될 수 있는 레이저 광원(500)의 (도 1에 나타낸 도면에서 지면에서 벗어나도록 및/또는 지면으로 들어가도록 연장되는) 전방 및/또는 후방에서의 하나 이상의 도파관을 포함할 수 있다. 포토닉스 구조(10)는, 예컨대, 수십, 수백, 또는 수천개의 포토닉스 디바이스 및/또는 집적 레이저 광원(500)을 포함할 수 있으며, 그 중에서 대표적인 포토닉스 디바이스와 집적 레이저 광원(500)에 대해서 도 1을 참조하여 설명하기로 한다.
도 1에 나타낸 바와 같이, 일 실시예에 따른 포토닉스 구조(10)는 집적 회로 칩을 형성하도록 절단(dicing)하기 전의 웨이퍼 기반 포토닉스 구조를 가리킬 수 있다. 일 실시예에 따른 포토닉스 구조(10)는 전체 웨이퍼 기반 구조를 가리킨다.
도 1에 나타낸 바와 같이, 일 실시예에 따른 포토닉스 구조(10)는 포토닉스 웨이퍼 기반 구조를 절단하는 단계를 포함하는 제작 공정에 의해서 형성되는 포토닉스 집적 회로 칩을 가리킬 수 있다. 일 실시예에 따른 포토닉스 구조(10)는 전체 웨이퍼 기반 구조를 절단하여 형성되는 포토닉스 구조 집적 회로 칩을 가리킬 수 있다.
활성 영역(515)이 그 내부로 광을 방출하는 도파관과 함께 유전체 스택(200) 내에 집적 레이저 광원(500)의 활성 영역(515)이 일체로 형성되고 또한 제작되도록 하는 포토닉스 구조(10)를 제공하면 집적 레이저 광원(500)의 활성 영역과 도파관의 정밀한 정렬을 가능하게 할 수 있다. 활성 영역(515)은 집적 레이저 광원(500)의 전면부 및/또는 후면부의 이와 같이 정렬된 도파관 내로 광(light)을 방출할 수 있다. 공통 포토닉스 구조 상에 포토닉스 디바이스와 레이저 광원을 일체로 형성함으로써, 공통 유전체 스택 내에 공통적으로 제작되고 또한 배치된 집적 레이저 광원의 활성 영역 내의 포토닉스 디바이스는 이와 같은 포토닉스 디바이스 및 집적 레이저 광원(500) 사이의 정밀한 정렬이 쉽도록 해주고 또한 정렬을 쉽게 하는데 필요한 패키징 기술에 대한 수요도 감소하게 된다.
포토닉스 구조(10)는 금속 배선층(612) 상에 형성된 하나 이상의 단말(6002)을 포함할 수 있다. 단말(6002)은, 예컨대, (a) 유전체 스택(200) 내에 형성되고 금속 배선층(612)을 향해서 개구된 개구; (b) 금속 배선층(612) 상에 형성된 패드(pad)와 이 패드를 향한 개구; (c) 금속 배선층(612) 상에 형성된 언더 범프 금속 배선(UBM, under bump metallization) 층과 유전체 스택(200) 내에 형성되고 이 UBM을 향한 개구; (d) 금속 배선층(612) 상에 형성된 UBM 및 유전체 스택(200)으로부터 외부로 돌출한 UBM 상에 형성된 솔더 범프(solder bump); 중의 하나 이상을 포함할 수 있다.
포토닉스 구조(10)의 제작 방법에 대해서는 도 2a 내지 도 2j의 제작 공정도를 참조하여 설명하기로 한다. 도 2a에는 포토닉스 구조(10)의 중간 단계의 제작 공정도가 도시되어 있다. 일 실시예에 따른 포토닉스 구조(10)는 실리콘(Si)으로 형성된 기판(100)을 갖는 SOI 웨이퍼, 절연층(202), 및 실리콘층(302)을 사용하여 제작될 수 있다. 층(302)의 내부에는 광 검출기(406)를 형성하는 패턴 형성 도파 소재 형성부(401), 리지 도파관이 제공하는 도파관(402), 모듈레이터를 형성하는 도파 소재 형성부(403), 및 직사각형 도파관이 제공하는 도파관(404)이 위치할 수 있다. 형성부(401-404)를 패터닝함에 있어서, 유전체 소재층, 예컨대 SiO2를 형성부(401-404) 상에 패터닝하고 화학적-기계적 평탄화(CMP, chemical mechanical planarization) 처리하여 높이(2020)에 수평면을 형성할 수 있다. 본 명세서의 각각의 경우에 있어서, CMP가 설명되는데, 이 CMP는 화학적 기계적 연마에 수반될 수 있으며, CMP를 수행한 결과 원자 수준의 평탄면을 얻을 수 있다.
도 2b에 있어서, 도파관(411 및 412)을 형성하기 위한 추가 공정을 수행한 이후의 중간 제작 단계에서의 도 2a에 나타낸 바와 같은 포토닉스 구조(10)가 도시되어 있다. 도파관(411 및 412)은 실리콘 질화물로 형성될 수 있다. 도파관(411 및 412)을 형성하기 위해서는, 높이(2020)에 실리콘 질화물로 형성된 층(312)을 증착하고 패터닝하여 도파관(411 및 412)을 형성할 수 있다. 층(312)을 패터닝하여 도파관(411 및 412)을 형성한 이후에, 도파관(411 및 412) 위에 유전체층을 증착하고 이후에 CMP 처리하여 포토닉스 유전체 스택(200)에 형성된 높이를 높이(2022)로 감소시켜 유전체 소재, 예컨대, SiO2, 및 도파관(411 및 412)에 의해서 부분적으로 형성된 것으로 도시된 중간 제작 단계에서 높이(2022)에서의 포토닉스 구조(10)의 수평 연장 상부면을 형성할 수 있다.
도 2c에 있어서, 도파관(421 및 422)을 형성하기 위한 추가 패터닝 공정을 수행한 이후의 중간 제작 단계에서의 도 2b에 나타낸 바와 같은 포토닉스 구조(10)가 도시되어 있다. 질화물(nitride)로 형성된 도파관(421 및 422)을 제작하기 위해서, 높이(2022)에서 연장되는 수평 평면 상에 유전체층을 증착하고 이어서 추가적인 CMP 공정을 수행하여 높이(2023)에서 연장되는 평탄 수평면을 형성할 수 있다. 높이(2023)에서, 층(322)을 증착하고 이어서 패터닝하여 도파관(421 및 422)을 형성할 수 있다. 도파관(421 및 422)의 측벽을 형성하기 전에 층(322)을 CMP 처리할 수 있다. 도파관(421 및 422)의 패터닝에 있어서, 도파관 위에 유전체 소재층을 증착하고 이후에 CMP 처리하여 높이(2024)에서 수평 연장 평면을 형성할 수 있다. 높이(2024)에서의 수평 연장 평면 상에 추가적인 유전체 소재층을 증착하고 CMP 처리하여 높이(2025)에서의 수평 연장 평면을 형성할 수 있다.
도 2d는, 도 2c에 나타낸 바와 같은 포토닉스 구조(10)에 있어서, 추가 패터닝하여 광 감지 소재 형성부(407)를 형성하고, 광 검출기(406)를 형성하는 중간 제작 단계를 도시한 도면이다. 광 감지 소재 형성부(407)를 제공하기 위해서, 반응성 이온 식각법(RIE, reactive ion etching)에 의해서 형성된 수직 연장 평면(7001)과 수직 연장 평면(7003) 사이에 형성될 수 있는 트렌치 내에서 복수개의 게르마늄층을 에피택셜 성장시키고 또한 어닐링 처리될 수 있다. 형성된 트렌치는 수직 연장 중심축(7002)을 포함할 수 있다. 도시된 높이 범위 내에서 형성된 트렌치는 수직 연장 평면(7001) 및 수직 연장 평면(7003)과 교차하는 경계선을 포함할 수 있다. 일 실시예에 있어서, 감압 화학 기상 증착법(RPCVD, reduced pressure chemical vapor deposition)을 사용하여 게르마늄을 선택적으로 성장시킬 수 있다. 광 감지 소재 형성부(407)를 형성하기 위해서 복수의 에피택셜 성장 및 어닐링 단계를 사용할 수 있다. 복수의 에피택셜 성장 및 어닐링 단계를 사용하고 있기 때문에, 예컨대, 게르마늄으로 형성된 광 감지 소재 형성부(407)는 초기에는 기형성된 트렌치를 월류(overflow)하게 되고 이후에 CMP 처리하여 높이(2025)에서 평탄 수평면이 형성될 수 있다.
도 2e는 포토닉스 유전체 스택(200)의 높이를 증가시키기 위해서 추가적인 공정을 수행한 다음의 중간 제작 단계에 있어서 도 2d에 나타낸 바와 같은 포토닉스 구조(10)를 도시한 도면이다. 도 2e에 도시한 바와 같이, 광 감지 소재 형성부(407)를 형성한 다음에, 예컨대, SiO2와 같은 추가적인 유전체 소재층을 증착하고 이후에 CMP 처리하여 높이(2030)에서 포토닉스 유전체 스택(200)의 평탄 수평면을 형성할 수 있다.
도 2f에는, 레이저 스택(510)을 형성하는 버퍼 소재 형성부(502) 및 집적 레이저 광원(500)을 형성하기 위한 초기 제작 공정 이후의 중간 제작 단계에서의 도 2e에 나타낸 바와 같은 포토닉스 구조(10)가 도시되어 있다. 대략적으로 각각의 위치(A, B, 및 C)에 버퍼 소재 형성부(502)를 제공하기 위해서, 제 1, 제 2, 및 제 3 트렌치를 위치(A, B, 및 C)에 생성시킬 수 있다.
제 1 트렌치는 수직 연장 중심축(7012)을 가지고 또한 포토닉스 유전체 스택(200) 내에서 수직 연장 평면(7011) 및 수직 연장 평면(7013)과 교차하는 측벽을 가질 수 있다. 제 2 트렌치는 수직 연장 중심축(7022)을 가지고 또한 수직 연장 평면(7021) 및 수직 연장 평면(7023)과 교차하는 측벽을 가질 수 있다. 제 3 트렌치는 수직 연장 중심축(7032)을 가지고 또한 수직 연장 평면(7031) 및 수직 연장 평면(7033)과 교차하는 측벽을 가질 수 있다. 형성된 제 1, 제 2, 및 제 3 트렌치는 바닥 높이(2002)에서 상부 높이(2030)까지 연장될 수 있다.
대략적으로 위치(A, B, 및 C)에서 각각의 제 1, 제 2, 및 제 3 트렌치를 형성하는 것은 2 단계의 RIE 공정을 포함할 수 있다. 높이(2010)까지 소재를 에칭할 수 있는 제 1 RIE 공정 단계에 있어서, 산화물에 선택적인 에칭을 수행하여 상술한 실시예에서의 기판(100)을 형성하는 실리콘을 제거하지 않고도 포토닉스 유전체 스택(200)을 형성하는 산화물 소재를 제거할 수 있다. 제 2 RIE 공정 단계의 에칭은 실리콘에 선택적으로 수행되어 포토닉스 유전체 스택(200)을 형성하는 산화물을 제거하지 않고도 기판(100)의 소재를 제거할 수 있다.
형성된 트렌치가 각각 수직 연장 중심축(7012 및 7022, 및 7032)을 가지고 있기 때문에, 층(503)이 유전체 소재로 형성되고 또한 유전체 라이너가 증착될 수 있다. 초기에 층(503)은 중심축(7012, 7022, 및 7032)을 가지고 형성된 트렌치 각각의 바닥이 연장된 희생부(sacrificial portion)를 가질 수 있다. 즉, 각각의 집적 레이저 광원(500)의 층(503)은 초기에 높이(2010)에서 실리콘으로 형성된 기판(100) 소재에 인접하고 그 위에 형성되는 바닥 높이를 가질 수 있다.
기판(100)을 형성하는 실리콘 상에 버퍼 소재 형성부(502)가 에피택셜 성장될 수 있다. 버퍼 소재 형성부(502)를 형성하는 초기 소재층을 에피택셜 성장시키기 위해서, 축(7012, 7022, 및 7032)과 관련된 각각의 트렌치 바닥으로부터 층(503)의 소재를 제거하여 높이(2002)에서 기판(100)의 실리콘 표면을 노출하도록 할 수 있다. 각 트렌치 바닥(bottom)의 층(503) 소재를 제거하기 위해서는, 유전체 소재, 예컨대, SiO2 형성층(503)에 선택적인 관통 RIE 공정(punch through RIE process)을 사용하여 기판(100)을 형성하는 실리콘은 제거하지 않고 층(503)의 유전체 소재를 제거할 수 있다.
버퍼 소재 형성부(502)는 다단계 성장 및 어닐링 공정을 사용하여 성장시킬 수 있으며, 여기에서 버퍼 소재 형성부(502)를 형성하는 각 층은 에피택셜 성장되고 이후에 어닐링된다. 에피택셜 성장되어 버퍼 소재 형성부(502)를 형성할 수 있는 소재는 III-V족 소재, 예컨대, 갈륨 비소(gallium arsenide) 또는 인화 갈륨(gallium phosphide)을 포함한다. III-V족 소재로 형성한 초기층을 성장시키기 전에, 중심축(7012 및 7022, 및 7032)과 관련된 트렌치의 바닥면에 대해서, 예컨대, RIE 산물을 세척하기 위한 처리 및/또는 실리콘, 예컨대, 단결정 실리콘(단결정은 중심축(7012 및 7022, 및 7032)과 관련된 트렌치의 바닥을 형성함)으로 형성한 박막을 에피택셜 성장시키기 위한 처리와 같은 추가 처리를 수행할 수 있다. 버퍼 소재 형성부(502)를 제공하기 위해서 복수의 에피택셜 성장 및 어닐링 단계를 사용할 수 있다. 본 명세서에서의 실시예에서는 III-V족 소재가 트렌치의 바닥을 형성하는 실리콘 표면 상에 에피택셜 성장할 때, 결함을 초래할 수 있는 격자 부정합(lattice mismatch)이 발생할 수 있음을 알아야 한다. 어닐링 단계는 결함을 소멸시키는데 사용될 수 있다.
버퍼 소재 형성부(502)를 제공하기 위해 제한된 열 예산(thermal budget)을 사용하여 III-V 족 소재를 성장시키고 어닐링을 수행할 수 있다. 버퍼 소재 형성부(502)를 제작하기 위해서 제한된 열 예산을 채택하면, 예를 들어서, 포토닉스 디바이스 및 컴포넌트(401-406, 411-412, 및 421-422)와 같은 포토닉스 디바이스의 열적 열화(thermal degradation)를 완화시킬 수 있다. 일 실시예에 따르면, 버퍼 소재 형성부(502)를 형성하는 층을 에피택셜 성장시키기 위한 에피택셜 성장 단계는 약 400 °C 및 약 600 °C 사이에서의 온도에서 수행될 수 있고, 반면에 형성된 버퍼 소재 형성부(502)의 하위층을 어닐링하기 위한 어닐링 단계는 약 500 °C 및 약 700 °C 사이에서의 온도에서 수행될 수 있다.
버퍼 소재 형성부(502)는 복수의 에피택셜 성장 및 어닐링 단계를 사용하여 증착된, 예컨대, 갈륨 비소(GaAs)로 형성될 수 있으며, 어닐링 단계는 결함을 제거하기 위해서 수행되어 버퍼 소재 형성부(502)의 결함 밀도를 낮추기 위해서 제공된다. 버퍼 소재 형성부(502)는, 일 실시예에 따르면, 예컨대, 약 1000 nm 내지 약 4000 nm 범위 내의 두께를 포함할 수 있다. 일 실시예에 따른 버퍼 소재 형성부(502)는 우선적으로 갈륨 비소(GaAs)로 형성될 수 있다. 일 실시예에 따르면, 버퍼 소재 형성부(502)는 갈륨 비소(gallium arsenide, GaAs)/인듐 갈륨 비소(indium gallium arsenide, InGaAs) 응력 초격자(strained superlattice, SSL)를 포함할 수 있다. SSL은 활성 영역(515)으로 전파되는 진행형 전위 결함(treading location defect, TDD)을 완화시키도록 버퍼 소재 형성부(502) 내에 포함될 수 있다. SSL은 표면 거칠기를 감소시킬 수 있다. 일 실시예에 따른 버퍼 소재 형성부(502)는, 예컨대, 기판(100)의 실리콘 표면 상에 직접, 예컨대, 증착된 특수 바닥층을 포함할 수 있다. 이 특수 바닥층은, 예컨대, GaP/Si 또는 GoVS (001)를 포함할 수 있으며, 또한 역위상 영역 결함(anti-phase domain defect, APD)을 완화시킬 수 있다.
도 2f의 제작 공정도를 계속 참조하면, 기판(100)의 상부 높이로서 나타낸 높이(2010)를 초과하는 높이까지 성장하게 되는 각각의 버퍼 소재 형성부(502)를 처리하여 대략 높이(2010)에서 평탄 수평면을 형성하도록 할 수 있다. 위치(A 및 B)에서, 버퍼 소재 형성부(502)의 상부면 상에 접점층(505)이 증착될 수 있다. 접점층(505)은, 예컨대, 실리콘(Si)과 같은 N 형 도펀트로 도핑된, 예컨대, 갈륨 비소(GaAS)를 포함할 수 있다. 접점층(505)은 약 100 nm 내지 약 500 nm 범위 내의 두께를 포함할 수 있다.
위치(C)에서, 버퍼 소재 형성부(502)는 위치(A 및 B)에서와 같은 표면 상에 증착된 연관 증착 접점층이 없을 수 있다. 위치(A 및 B)에서의 이온 주입 공정에 의한 접점층(505)의 형성에 뒤이어, 산화물(oxide)을 이용하여 수직 연장 중심축(7012 및 7022, 및 7032)과 연관된 트렌치가 충전될 수 있다. 산화물은 중심축(7012 및 7022, 및 7032)과 연관된 다양한 트렌치 내에 증착될 수 있고 또한 이들 트렌치를 과잉 충전할 수 있다. 이후에 포토닉스 구조(10)를 CMP 처리하여 포토닉스 유전체 스택(200)의 상부 높이를 감소시킬 수 있으며, 이에 따라 높이(2030)에 평평한 수평 연장 평면이 형성될 수 있다.
버퍼 소재 형성부(502)는 나머지 레이저 스택(510)의 구조를 성장시키기 위한 결함 감소 계면(interface)을 제공할 수 있다. 레이저 스택(510)은, 버퍼 소재 형성부(502)에 추가하여, 접점층(505), 알루미늄 튜닝층(511A), 클래딩층(512A), 알루미늄 튜닝층(513A), 스페이서층(514), 활성 영역(515), 패턴 형성층(516), 알루미늄 튜닝층(513B), 클래딩층(512B), 알루미늄 튜닝층(511B), 및 접점층(506)을 포함할 수 있다. 활성 영역(515)은 퀀텀 닷(quantum dot)을 포함할 수 있다. 일부 실시예에 있어서, 버퍼 소재 형성부(502)는 희생 형성, 즉, 예컨대, 포토닉스 집적 회로 칩과 같은 그 최종 형태로 포토닉스 구조(10)를 제작하기 전에 제작되고 이후에 제거되도록 형성될 수 있다.
도 2g는, 도 2f에 나타낸 바와 같은 포토닉스 구조(10)에 있어서, 대략적으로 위치(A, B, 및 C)에서 각각의 레이저 스택(510)의 추가적인 층을 성장시키는 추가 공정을 수행한 이후의 중간 제작 단계를 도시한 도면이다.
레이저 스택(510)의 추가적인 층을 성장시키기 위해서, 포토닉스 유전체 스택(200) 내에 스택 트렌치가 형성될 수 있다. 도 2g를 참조하면, 위치(A)에서의 제 1 트렌치는 대략적으로 수직 연장 중심축(7042)과 수직 연장 평면(7041 및 7043)과 교차하는 측벽을 갖도록 형성될 수 있다. 대략적으로 위치(A)에서의 제 1 트렌치는 수직 연장 중심축(7042)과 수직 연장 평면(7041 및 7043)과 교차하는 측벽을 갖도록 형성될 수 있다. 대략적으로 위치(C)에서의 제 3 트렌치는 수직 연장 중심축(7062)과 수직 연장 평면(7061 및 7063)과 교차하는 측벽을 갖도록 형성될 수 있다. 제 1 및 제 2 레이저 스택 트렌치는 하향으로 연장되어 도전성 소재로 형성된 접점층(505)에 의해서 형성되는 바닥면을 가질 수 있다. 위치(C)에서의 레이저 스택 트렌치는 위치(C)에서의 버퍼 소재 형성부(502)의 상부면에 의해서 형성되는 바닥면을 가질 수 있다.
도 2g를 참조하면, 위치(A, B, 및 C)에서의 각각의 트렌치 내에 알루미늄 튜닝층(511A)이 증착될 수 있으며, 이후에 알루미늄 튜닝층(511A) 상에 증착될 수 있는 클래딩층(512A)이 증착될 수 있다. 위치(A 및 B)에 있어서, 알루미늄 튜닝층(511A)은 접점층(505) 상에 에피택셜 성장될 수 있다. 위치(C)에서, 알루미늄 튜닝층(511A)은 버퍼 소재 형성부(502) 상에서 에피택셜 성장될 수 있다. 클래딩층(512A)이 형성됨에 따라서, 클래딩층(512A) 상에서의 알루미늄 튜닝층(511A)의 에피택셜 성장에 의해서 각각의 레이저 스택(510)의 알루미늄 튜닝층(511A)이 증착될 수 있다.
알루미늄 튜닝층(511A)은 복수의 하위층으로 형성될 수 있으며, 이들 하위층은 각각 서로 다른 굴절률을 가질 수 있다. 알루미늄 튜닝층(511A)의 서로 다른 하위층은 서로 다른 알루미늄 농도를 가질 수 있다. 알루미늄의 농도 차이는 서로 다른 굴절률을 나타낼 수 있다. 알루미늄의 농도는 활성 영역(515)으로부터 가장 멀리 떨어진 거리에서의 약 40 % 알루미늄으로부터 활성 영역(515)에 가장 근접하여 위치한 알루미늄 튜닝층의 약 0 % 알루미늄 농도까지 변동될 수 있다. 알루미늄(Al)의 농도가 낮아질 수 있는 활성 영역(515)에 더 근접한 거리에서 알루미늄 튜닝층(511A)의 굴절률이 증가할 수 있다.
클래딩층(512A)은 광을 포획하며 또한 활성 영역(515) 및 접점층(505)을 분리한다. 클래딩층(512A)은 알루미늄 농도가 고정된, 예컨대, 40 % 알루미늄 농도를 갖는, 예컨대 알루미늄 갈륨 비소(AlGaAs)로 형성될 수 있다.. 일 실시예에 따르면, 클래딩층(512A)은 약 500 um 내지 약 2000 um 범위 내의 두께를 포함할 수 있다.
클래딩층(512A)이 형성된 상태에서, 클래딩층(512A) 상에 알루미늄 튜닝층(513B)을 에피택셜 성장시킬 수 있다. 튜닝층(513A)의 성장 중에, 층(512A)의 계면에서 약 40 % 알루미늄으로부터 513A와 스페이서층(514) 사이의 접합부에서 0 %까지 변동될 수 있도록 해당 영역의 알루미늄 농도를 조정할 수 있다. 513A의 두께는 50 nm 내지 100 nm의 범위 내에 있다.
클래딩층(512A) 및 알루미늄 튜닝층(511A 및 513A)은 광(light)을 포획하도록 기능할 수 있고 또한 손실 접점층(505)과 광의 상호 작용을 완화시킬 수 있다.
위치(A, B, 및 C)의 레이저 스택 트렌치에서, 스페이서층(514)은 알루미늄 튜닝층(513A)의 상부면 상에 에피택셜 성장될 수 있으며, 이후에 스페이서층(514) 상에 에피택셜 성장될 수 있는 활성 영역(515) 및 활성 영역(515) 상에 에피택셜 성장될 수 있는 패턴 형성층(516)이 에피택셜 성장될 수 있다. 스페이서층(514)은, 예컨대, 갈륨 비소(GaAs)로 형성될 수 있으며, 또한 약, 예컨대, 200 nm 내지 약 700 nm의 범위 내에 있는 두께를 가질 수 있다. 패턴 형성층(516)은 약, 예컨대, 200 nm 내지 약 1000 nm 범위 내의 두께를 포함할 수 있다.
활성 영역(515)은, 예컨대, 인듐 갈륨 비소(InGaAs)로 형성되고 권텀 닷을 형성하는 약 3 내지 약 9의 하위층을 포함하는 복수의 하위층과 약 3 내지 9의 갈륨 비소(GaAs) 하위층을 포함하는 층에 의해서 형성될 수 있다. 각각의 갈륨 비소(GaAs) 박막층(예컨대, 약 40 nm)은 퀀텀 닷을 형성하는 InGaAs 층을 분리할 수 있다. 활성 영역(515)은 N 회 반복되는 다음과 같은 층을 포함할 수 있다: {퀀텀 닷이 내장된 인듐 갈륨 비소(InGaAs) / 인듐 갈륨 비소(InGaAs) / 갈륨 비소(GaAs)}xN, 여기에서 N은 약 3 내지 약 9의 범위 내에 있을 수 있다.
일 실시예에 따르면, 활성 영역(515)은, 복수의, 예컨대, 내장된 퀀텀 닷과 갈륨 비소를 갖는 약 3 내지 약 9 개의 에피택셜 성장된 인듐 갈륨 비소(InGaAs) 층 하위층을 포함할 수 있다. 각각의 하위층은, 예컨대, 약 3 nm 내지 약 50 nm의 두께를 포함할 수 있다. 일 실시예에 따르면, 각각의 퀀텀 닷 층은 약 40 nm 두께를 갖는 갈륨 비소(GaAs) 층에 의해서 분리되어 활성 영역(515)이 약 150 nm 내지 500 nm의 범위 내의 두께를 가질 수 있다.
패턴 형성층(516)은, 예컨대, 갈륨 비소(GaAs)로 형성될 수 있고, 또한 활성 영역(515)이 동작하는 파장을 선택하도록 패터닝될 수 있다. 패턴 형성층(516)은, 예컨대, 도파관(waveguide) 및/또는 회절 격자(grating)로서 패터닝될 수 있음 일 실시예에 따르면 패턴 형성층(516)은, 예컨대, 약 50 nm 내지 약 100 nm의 두께를 가질 수 있다.
패턴 형성층(516)은, 예컨대, 갈륨 비소(GaAs)로 형성될 수 있으며, 또한 패터닝되어 회절 격자(grating), 예컨대 이중측 밴드(DSB, double side band) 회절 격자를 형성하거나 다르게는 패터닝되어 반사기, 예컨대 분산 브래그 반사기(DBR, distributed Bragg reflector)를 형성할 수 있다. 패턴 형성층(516)은 레이저 스택(510)의 동작 대역(operational band)을 선택하는데 사용하기 위한, 예컨대, 회절 격자(grating)나 반사기(reflector)를 형성하도록 패터닝될 수 있다. 패턴 형성층(516)은 동작 파장을 선택하도록 패터닝될 수 있다.
위치(A, B, 및 C)에서의 각각의 레이저 스택(510)에 대해서, 알루미늄 튜닝층(513B)은 패턴 형성층(516) 상에 에피택셜 성장될 수 있고, 클래딩층(512B)은 알루미늄 튜닝층(513B) 상에 에피택셜 성장될 수 있으며, 또한 알루미늄 튜닝층(511B)은 클래딩층(512B) 상에 에피택셜 성장될 수 있다.
알루미늄 튜닝층(513B)은 복수의 하위층으로 형성될 수 있으며, 이들 하위층은 각각 서로 다른 굴절률을 가질 수 있다. 알루미늄 튜닝층(513B)의 서로 다른 하위층은 서로 다른 알루미늄 농도를 가질 수 있다. 알루미늄의 농도 차이는 서로 다른 굴절률을 나타낼 수 있다. 알루미늄의 농도는 활성 영역(515)으로부터 가장 멀리 떨어진 거리에서의 약 40 % 알루미늄으로부터 활성 영역(515)에 가장 근접하여 위치한 알루미늄 튜닝층의 약 0 % 알루미늄 농도까지 변동될 수 있다. 알루미늄(Al)의 농도가 낮아진 결과 활성 영역(515)에 근접한 거리에서 알루미늄 튜닝층(513B)의 굴절률이 증가할 수 있다.
클래딩층(512B)은 광 포획을 제공할 수 있고 또한 알루미늄 튜닝층(513B)과 알루미늄 튜닝층(513A) 사이에 공간을 제공할 수 있다. 클래딩층(512B)은 알루미늄 농도가 고정된, 예컨대, 40 % 알루미늄 농도를 갖는, 예컨대 알루미늄 갈륨 비소(AlGaAs)로 형성될 수 있다.. 일 실시예에 따르면, 클래딩층(512B)은 약 500 nm 내지 약 2000 nm 범위 내의 두께를 포함할 수 있다.
클래딩층(512B)이 형성된 상태에서, 클래딩층(512B) 상에 알루미늄 튜닝층(511B)을 에피택셜 성장시킬 수 있다. 알루미늄 튜닝층(511B)은 복수의 하위층으로 형성될 수 있으며, 이들 하위층은 각각 서로 다른 굴절률을 가질 수 있다. 알루미늄 튜닝층(511B)의 서로 다른 하위층은 서로 다른 알루미늄 농도를 가질 수 있다. 알루미늄의 농도 차이는 서로 다른 굴절률을 나타낼 수 있다. 알루미늄의 농도는 활성 영역(515)으로부터 가장 멀리 떨어진 거리에서의 약 40 % 알루미늄으로부터 활성 영역(515)에 가장 근접하여 위치한 알루미늄 튜닝층(511B)의 약 0 % 알루미늄 농도까지 변동될 수 있다. 알루미늄(Al)의 농도가 낮아질 수 있는 활성 영역(515)에 근접한 거리에서 알루미늄 튜닝층(511B)의 굴절률이 증가할 수 있다.
클래딩층(512B) 및 알루미늄 튜닝층(513B 및 511B)은 광(light)을 포획하도록 기능할 수 있고 또한 손실 접점층(lossy contact layer)일 수 있는 접점층(506)과 광의 상호 작용을 완화시킬 수 있다.
알루미늄 튜닝층(511B)이 형성됨에 따라, 알루미늄 튜닝층(511B) 상에 접점층(506)을 에피택셜 성장시킬 수 있다. 접점층(506)을 형성하는 도전성 소재는, 예컨대, 베릴륨(Be), 아연(Zn), 또는 탄소(C)로 도핑된, 예컨대, 갈륨 비소(GaAs)(p 형 접점)를 포함할 수 있다. 접점층(506)의 두께는, 예컨대, 약 100 nm 내지 약 500 nm 범위 내에 있을 수 있다. 접점층(506)은 p 형 도펀트(dopant), 예컨대, 베릴륨(Be), 아연(Zn) 또는 탄소(C)로 도핑된, 예컨대, 갈륨 비소(GaAs)로 형성될 수 있다. 접점층(506)은 약 100 nm 내지 약 500 nm 범위 내의 두께를 포함할 수 있다.
일 실시예에 따른 레이저 스택(510)의 더욱 상세한 내용에 대해서는 표 1을 참조하여 설명하기로 한다.
Figure pct00001
일 실시예에 따른 레이저 스택(510)은 실리콘으로 형성된 기판(100)에 형성된 표면 상에 에피택셜 성장된 버퍼 소재 형성부(502), 버퍼 소재 형성부(502) 상에 에피택셜 성장된 접점층(505), 버퍼 소재 형성부(502) 상에 에피택셜 성장된 알루미늄 튜닝층(511A), 알루미늄 튜닝층(511A) 상에 에피택셜 성장된 클래딩층(512A), 클래딩층(512A) 상에 에피택셜 성장된 알루미늄 튜닝층(513A), 알루미늄 튜닝층(513B) 상에 에피택셜 성장된 스페이서층(514), 알루미늄 튜닝층(513B) 상에 에피택셜 성장된 활성 영역(515), 활성 영역(515) 상에 에피택셜 성장된 패턴 형성층(516), 활성 영역(515) 상에 에피택셜 성장된 알루미늄 튜닝층(513B), 알루미늄 튜닝층(513B) 상에 에피택셜 성장된 클래딩층(512B), 클래딩층(512B) 상에 에피택셜 성장된 알루미늄 튜닝층(513B), 및 알루미늄 튜닝층(511B) 상에 에피택셜 성장된 접점층(506)을 포함할 수 있다.
일 실시예에 따르면, 레이저 스택(510)은 도시된 하부 높이에서 약 2 um 내지 약 10 um 범위 내의 직경을 가질 수 있고, 도시된 상부 높이에서 약 1 um 내지 약 5 um 범위 내의 직경을 가질 수 있다. 레이저 스택(510)은 일 실시예에 따르면 약 2 um 내지 약 20 um 범위 내 및 일 실시예에 따르면 약 4 um 내지 약 12 um 범위 내의 전체 높이(구조(502)의 바닥에서 구조(506)의 꼭대기까지)를 가질 수 있다. 레이저 스택(510)의 버퍼 소재 형성부(502)는 일 실시예에 따르면 약 1 um 내지 약 5 um 범위 내 및 일 실시예에 따르면 약 2 um 내지 약 4 um 범위 내의 높이를 가질 수 있다. 505, 511A, 512A, 513A, 514, 515, 516, 513B, 512B, 511B, 및 506의 구조를 조합하면 일 실시예에 따르면 약 1 um 내지 약 10 um 범위 내, 및 일 실시예에 따르면 약 2 um 내지 약 6 um 범위 내의 높이를 가질 수 있다.
본 명세서에서 설명하는 바와 같이, 각 레이저 스택(510)의 접점층(505) 및 접점층(506)을 통해서 관련 접점으로 전압이 인가될 수 있다. 이와 같은 인가된 전압은 레이저 스택(510)의 구조(511A, 512A, 513A, 514, 515, 516, 513B, 512B, 및 511B)를 통해서 전자 흐름을 유도할 수 있다. 각각의 활성 영역(515)은 전도대(conduction band) 및 가전자대(valance band)를 포함할 수 있다. 접점층(505) 및 접점층(506)에 전압을 인가하게 되면, 다량의 전자가 활성 영역(515)의 전도대에 존재하도록 할 수 있고 또한 활성 영역(515)의 가전자대에 다량의 홀(hole)이 존재하게 되며, 이에 따라서 활성 영역(515)에 의한 광(light)의 방출에 적절한 상태를 제공할 수 있게 된다. 활성 영역(515)은 연관 수평 연장 수직축을 포함할 수 있다. 활성 영역(515)은 이 수평 연장 수직축에 대해서 평행한 방향으로 광(light)을 방출할 수 있다.
레이저 스택(510)의 클래딩층(512A 및 512B)과 알루미늄 튜닝층(511A, 513A, 513B, 511B)은 활성 영역(515) 내에 광(light)을 포획하도록 하고 또한 각각 접점층(505) 및 접점층(506)과 광이 상호 작용하는 것을 억제하도록 하는데 도움을 주도록 구성될 수 있다. 활성 영역(515) 내에 광을 포획하기 위해서, 각각의 레이저 스택(510)은 활성 영역(515) 내에서 가장 높은 굴절률을 포함할 수 있으며 또한 레이저 스택(510) 내에서 활성 영역(515)으로부터 증가하는 이격 거리의 감소된 굴절률을 포함할 수 있다. 알루미늄 튜닝층(511A, 513A, 513B, 511B)은 활성 영역(515)으로부터 거리가 증가함에 따라서 알루미늄(Al)의 농도가 연속적으로 증가할 수 있으며 또한 활성 영역(515)으로부터의 이격 거리가 증가함에 따라서 점진적으로 감소하는 굴절률을 포함할 수 있다.
레이저 스택(510)의 선행층 상에 에피택셜 성장된 알루미늄 튜닝층(511A, 513A)을 형성하기 위해서, 증착 파라미터(deposition parameter)를 조정하여 알루미늄 튜닝층(511A, 513A)이 에피택셜 성장됨에 따라서 반복적으로 알루미늄(Al) 원재료가 감소되도록 할 수 있다. 스페이서층(514) 상에 에피택셜 성장된 알루미늄 튜닝층(513B, 511B)을 증착하기 위해서, 증착 파라미터(deposition parameter)를 조정하여 제 2 경사층(gradient layer)을 형성하는 알루미늄 튜닝층(513B, 511B)이 에피택셜 성장됨에 따라서 반복적으로 알루미늄(Al) 원재료가 증가되도록 할 수 있다.
구조(502, 505, 513A, 512A, 511A, 514, 515, 516, 513B, 512B, 511B, 및 506)의 에피택셜 성장을 위해서 다양한 증착 기법을 이용할 수 있다. 일 실시예에 따르면, 구조(502, 505, 513A, 512A, 511A, 514, 515, 516, 513B, 512B, 511B, 및 506)는 분자선 에피택셜 성장법(MBE, molecular beam epitaxy)을 사용하여 에피택셜 성장될 수 있다. 일 실시예에 따르면, 약 500 °C 내지 약 700 °C의 온도 범위 내의 하나 이상의 온도에서 다양한 구조(502, 505, 513A, 512A, 511A, 514, 515, 516, 513B, 512B, 511B, 및 506)가 에피택셜 성장될 수 있다. 일 실시예에 따르면, 증착 온도는 충분히 낮은 온도로 유지하여, 예를 들어, 이전에 제작된 구조(401-404, 406-408, 411-412, 421-422)와 같은 포토닉스 디바이스 및 컴포넌트가 열화되지 않도록 할 수 있다. 일 실시예에 따르면, 에피택셜 성장된 구조(502, 505, 513A, 512A, 511A, 514, 515, 516, 513B, 512B, 511B, 및 506)는 유기 금속 화학 기상 증착(MOCVD)법을 사용하여 에피택셜 성장될 수 있다. 일 실시예에 따르면, 약 550 °C 내지 약 750 °C의 온도 범위 내의 하나 이상의 온도에서 MOCVD법을 사용하여 다양한 구조(502, 505, 513A, 512A, 511A, 514, 515, 516, 513B, 512B, 511B, 및 506)가 에피택셜 성장될 수 있다.
일 실시예에 따르면,, 레이저 스택(510) 구조를 제작하기 위한 제작 온도는 활성 영역(515) 및 후속 구조를 제작하기 위해서 감소될 수 있다. 본 명세서에서의 실시예에서는 더 고온에서 수행되는 후속 공정에 의한 성능 저하가 발생할 수 있음을 알아야 한다. 따라서, 활성 영역(515) 및 후속 구조의 제작에 필요한 온도를 감소시키도록 레이저 스택(510)의 제작 조건을 제어할 수 있다. 예를 들어서, 일 실시예에 따르면, 레이저 스택(510) 구조의 에피택셜 성장(및 필요하다면 어닐링)에 필요한 온도를 활성 영역(515) 및 후속 구조의 형성을 위해서 낮춰서 활성 영역(515)의 형성 이후에 에피택셜 성장된 구조(516, 513B, 512B, 511B, 및 506)가 활성 영역(515)에 선행하는 구조를 제작하는데 사용된 최고 온도보다 적어도 약 25 °C 낮은 온도에서 제작될 수 있다. 활성 영역(515)은 일 실시예에 따르면 약 500 °C에서 에피택셜 성장될 수 있으며 또한 약 550 °C 내지 약 580°C의 온도 범위 내의 어닐링 온도에서 MOCVD 또는 MBE를 사용하여 에피택셜 성장될 수 있다. 일 실시예에 따르면, 구조(502, 505, 511A, 512A, 513A)를 형성하는데 MOCVD를 사용할 수 있고, 또한 구조(514, 515, 516, 513B, 512B, 511B 및 506)의 에피택셜 성장을 위해서 MBE를 사용할 수 있다.
레이저 스택(810)을 성장시키기 위해서, 온도 예산(temperature budget)을 적용할 수 있다. 하부 활성 영역(515)의 구조, 즉, 구조(502, 505, 511A, 512A, 513A, 및 514)를 제작하는데 하부 스택의 온도 예산을 적용할 수 있다. 하부 스택의 온도 예산을 적용하여 이전에 제작된 포토닉스(photonics)를 보호하여 구조(401-404, 406-408, 411-412, 421-422)와 같은 이전에 제작된 포토닉스 디바이스 및 컴포넌트가 열화되지 않도록 할 수 있다. 일 실시예에 따르면, 하부 스택의 온도 예산 한계를 약 650 °C로 설정하여 활성 영역(515) 하부의 구조, 즉, 구조(502, 505, 511A, 512A, 513A, 및 514)를 제작하는데 필요한 증착 및 어닐링 온도가 650 °C를 초과하지 않도록 할 수 있다. 일 실시예에 따르면, 하부 스택의 온도 예산 한계를 약 625 °C로 설정하여 활성 영역(515) 하부의 구조, 즉, 구조(502, 505, 511A, 512A, 513A, 및 514)를 제작하는데 필요한 증착 및 어닐링 온도가 625 °C를 초과하지 않도록 할 수 있다. 일 실시예에 따르면, 하부 스택의 온도 예산 한계를 약 600 °C로 설정하여 활성 영역(515) 하부의 구조, 즉, 구조(502, 505, 511A, 512A, 513A, 및 514)를 제작하는데 필요한 증착 및 어닐링 온도가 600 °C를 초과하지 않도록 할 수 있다. 일 실시예에 따르면, 하부 스택의 온도 예산 한계를 약 580 °C로 설정하여 활성 영역(515) 하부의 구조, 즉, 구조(502, 505, 511A, 512A, 513A, 및 514)를 제작하는데 필요한 증착 및 어닐링 온도가 580 °C를 초과하지 않도록 할 수 있다.
활성 영역(515)과 그 위를 포함하는 구조, 즉 구조(515, 516, 513B, 512B, 511B, 및 506)를 제작하기 위해서 상부 스택의 온도 예산을 적용할 수 있다. 활성 영역(515)을 보호하기 위해서 상부 스택의 온도 예산을 적용할 수 있다. 일 실시예에 따르면, 상부 스택의 온도 예산 한계를 약 650 °C로 설정하여 활성 영역(515)을 포함하는 그 상부의 구조, 즉, 구조(515, 516, 513B, 512B, 511B, 및 506)를 제작하는데 필요한 증착 및 어닐링 온도가 650 °C를 초과하지 않도록 할 수 있다. 일 실시예에 따르면, 상부 스택의 온도 예산 한계를 약 625 °C로 설정하여 활성 영역(515)을 포함하는 그 상부의 구조, 즉, 구조(515, 516, 513B, 512B, 511B, 및 506)를 제작하는데 필요한 증착 및 어닐링 온도가 625 °C를 초과하지 않도록 할 수 있다. 일 실시예에 따르면, 상부 스택의 온도 예산 한계를 약 600 °C로 설정하여 활성 영역(515)을 포함하는 그 상부의 구조, 즉, 구조(515, 516, 513B, 512B, 511B, 및 506)를 제작하는데 필요한 증착 및 어닐링 온도가 600 °C를 초과하지 않도록 할 수 있다. 일 실시예에 따르면, 상부 스택의 온도 예산 한계를 약 580 °C로 설정하여 활성 영역(515)을 포함하는 그 상부의 구조, 즉, 구조(515, 516, 513B, 512B, 511B, 및 506)를 제작하는데 필요한 증착 및 어닐링 온도가 580 °C를 초과하지 않도록 할 수 있다. 일 실시예에 따르면, 상부 스택의 온도 예산은 하부 스택의 온도 예산 한계 보다 낮게 설정될 수 있다. 일 실시예에 따르면, 각각의 상부 스택의 온도 예산 한계와 상부 스택의 온도 예산 한계는, 예를 들어서, 구조(401-404, 406-408, 411-412, 421-422)와 같은 포토닉스 디바이스 및 컴포넌트를 제작하기 위한 온도 예산 한계 보다 낮게 되도록 설정될 수 있다.
전기 에너지가 입력되면, 레이저 스택(510) 내로 전자들이 주입될 수 있다. 각각의 레이저 광원(500)의 레이저 스택(510)은 활성 영역(515) 내에 형성된 고밀도의 전자를 사용하여 레이저 스택(510)을 관통하여 전자가 유동하기 용이하도록 구성될 수 있다. 본 명세서의 설명에 따라서 제작된 접점을 통해서 바닥 접점층(505) 및/또는 상부 접점층(506)에 적절한 전기적 에너지가 입력되면 전자 유동이 용이해질 수 있다. 전자가 레이저 스택(510)의 활성 영역(515)을 점유하게 됨에 따라서, 디바이스가 광을 방출할 수 있다.
도 2h는 접점(C1-C13)을 제작하기 위한 공정을 수행한 이후의 중간 제작 단계에 있어서 도 2g에 나타낸 포토닉스 구조(10)를 도시한 도면이다. 접점(C1-C3)을 형성하기 위해서, 포토닉스 유전체 스택(200) 내에서 각각의 수직 연장 중심축을 갖는 접점 트렌치가 에칭될 수 있다. 접점 트렌치를 형성한 다음, 도전성 접점 소재, 예컨대, 도전성 금속을 사용하여 접점 트렌치를 충전할 수 있다. 도전성 소재 트렌치를 과잉 충전하도록 도전성 소재를 증착하고 이후에 CMP 처리하여 높이(2026)에서 수평 연장 평면을 형성할 수 있다.
도 2i는, 도 2h에 나타낸 바와 같은 포토닉스 구조(10)에 있어서, 추가 처리하여 금속 배선층(602), 비아층(702), 및 금속 배선층(612)을 형성한 이후의 중간 제작 단계를 도시한 도면이다. 금속 배선층(602)을 형성하기 위해서, 포토닉스 유전체 스택(200) 내에 접점(C1-C3)의 상부 높이인 높이(2026)에 형성된 바닥 높이까지 연장되는 트렌치를 형성할 수 있다. 금속 배선층(602)을 형성하기 위해서, 도 2i에 나타낸 금속 배선층 형성부(M1)의 중심에서의 중심축을 포함하도록 금속 배선층 형성 트렌치가 형성될 수 있다. 도전성 금속 소재로 금속 배선층 형성 트렌치를 과잉 충전하고 이후에 CMP 처리하여 금속 배선층 형성 트렌치의 상부 높이(2027)에 도시된 평탄 수평면을 형성할 수 있다. 이후에 유전체층을 증착하고 이어서 CMP 처리하여 포토닉스 유전체 스택(200)의 높이를 높이(2028)까지 증가시키고, 도 2i에 나타낸 바와 같이 각각의 비아(V1)의 수직 중심에서의 축선을 포함하도록 비아 트렌치를 형성할 수 있다.
비아 트렌치를 과잉 충전한 다음 CMP 처리하여 포토닉스 유전체 스택(200)의 상부 높이가 비아(V1)의 상부인 높이(2028)에 형성되도록 할 수 있다. 유전체 소재, 예컨대, 산화물(oxide)을 높이(2028)에 형성한 수평면 상에 증착하고 이후에 CMP 처리하여 높이(2029)에서 평탄 수평면을 형성할 수 있다. 금속 배선층 형성 트렌치는 도 2i에 나타낸 바와 같이 각각의 금속 배선층 형성부(M2)의 중심축에서의 금속 배선 트렌치 중심축을 갖는 포토닉스 유전체 스택(200) 내에 형성될 수 있다. 금속 배선 트렌치는 과잉 충전될 수 있고 또한 CMP 처리되어 높이(2029)에서 수평 연장 평면을 형성하고, 이후에 추가 유전체 소재층, 예컨대, 산화물을 높이(2024)에서 수평 연장 평면 상에 증착할 수 있으며, 이 추가층은 CMP 처리되어 높이(2030)에서 포토닉스 유전체 스택(200)의 중간 제작 공정도에서의 상부 높이를 형성할 수 있다.
도 2a 내지 도 2h의 포토닉스 유전체 스택(200) 내에 도시된 모든 컴포넌트는 포토 리소그래피 반도체 디바이스 제작 단계 및/또는 화학적 반도체 디바이스 제작 단계를 특징으로 하는 반도체 디바이스 공정을 사용하여 포토닉스 유전체 스택(200) 내에 일체로 형성되고 제작될 수 있다.
도 2h의 제작 단계에 있어서, 각각의 레이저 소스(laser source)용 바닥 접점, 예컨대, 접점(C5, C6, C8, C9, C11, 및 C12)은 상부측 금속 배선 공정(top side metallization)을 사용하여 제작하는 공정이 개시되어 있다. 예를 들면, 접점(C5)을 형성하기 위해서, 포토닉스 구조(10)의 상부면을 관통하고 또한 도전성 소재로 충전되는 트렌치가 형성될 수 있다.
위치("C")에서의 레이저 스택(510)의 접점층(505)은 위치("A" 또는 "B")의 레이저 스택 보다 더 높은 높이에 위치하고 있는 것으로 도시되어 있다. 접점층(505)을 더 높은 높이에 위치시키면 에너지를 감소시킬 수 있다. 알루미늄 튜닝층(513A)의 증착에 있어서, 연관 중심축(7042 및 7052)을 갖는 위치(A 및 B)에서의 레이저 스택 트렌치는 마스킹 소재로 피복될 수 있고, 또한 도전성 소재로 형성된 접점층(505)은 위치(C)에서 알루미늄 튜닝층(513A) 상에 증착될 수 있다. 위치(C)에서의 레이저 스택 트렌치는 이후에 유전체 소재로 충전될 수 있으며 또한 이 레이저 스택 트렌치는 재차 중심축(7062) 상의 가운데에 위치하는 중심과 더 작은 직경을 가지도록 재형성되어, 도 2g에 나타낸 바와 같이, 수직 연장 평면(7065) 및 수직 연장 평면(7067)과 교차하는 측벽을 형성할 수 있다. 대략 "A" 및 "B"에서의 각각의 레이저 스택 트렌치는 이후에 레이저 스택층 성장을 포함하는 추가 처리를 위해서 재개방될 수 있다. 위치(C)의 레이저 스택 트렌치(510)에서, 접점층(505)을 에칭하여 알루미늄 튜닝층(513A)의 상부면을 노출시킬 수 있다. 접점층(505) 및 접점층(506)은 층의 형성에 특유한 증착 단계 중에 시투(in situ) 도핑될 수 있다. 일 실시예에 따르면, 하나 이상의 위치(A, B, 및 C)에서의 접점층(505) 및/또는 접점층(506)은 이전에 증착된 층을 도핑하는 것에 의해서 형성될 수 있다. 위치(C)에서의 레이저 스택(510)에서, 예를 들면, 접점층(505)은 층(513A)의 이온 주입 공정에 의해서 형성될 수 있다.
도 3은 도 1에 나타낸 포토닉스 구조(10)에 반응하여 형성된 다른 바닥 접점을 갖는 포토닉스 구조(10)의 절단 측면도이다. 도 4a 및 도 4b를 사용하는 제작 단계에 대응하는 레이저 소스(500)용 바닥 접점을 형성하기 위한 다른 공정이 도시되어 있다.
도 4a를 참조하면, 포토닉스 유전체 스택(200) 내에 각종 구조를 제작하는 것은 레이저 소스 바닥 접점(C5, C6, C8, C9, C11, 및 C12)을 형성하는 단계가 제외되고 수행되지 않고 따라서 도 4a에 나타낸 중간 제작 단계의 도면에서 포토닉스 구조(10)가 바닥 접점(C5, C6, C8, C9, C11)을 가지고 있지 않다는 점을 제외하고는 도 2h의 방법대로 수행된다. 도 4a의 중간 제작 단계의 도면을 참조하면, 핸들 웨이퍼(1100)가 접착층(1102)을 사용하여 포토닉스 유전체 스택(200)의 상부측에 부착될 수 있다. 도 4a에 나타낸 바와 같이 핸들 웨이퍼(1100)는 웨이퍼 핸들러(wafer handler)에 의해서 유지되어 포토닉스 구조(10)의 후면측 공정을 용이하게 할 수 있다.
도 4a에 나타낸 바와 같이,포토닉스 구조(10)가 웨이퍼 핸들러 내에 위치하여 후면측 공정을 용이하게 함에 따라서, 예컨대, 실질적으로 도 2h에 나타낸 상태에서, 기판(100)을 제거할 수 있다. 기판(100)의 제거(도 2h)와 동시에, 레이저 스택 버퍼 구조(502) 또한 제거될 수 있다. 기판(100) 및 버퍼 구조(502)를 제거하기 위해서, 반응성 이온 식각법(RIE)d을 사용하여 제거되는 소재의 최종 임계값이, 예컨대, 10 % 미만인 것과 달리 대부분의 소재를 제거하기 위해서 그라인딩 공정을 수행할 수 있다.
도 4b는 관통 비아(VX2)를 제작하여 포토닉스 유전체 스택(200)을 연장하도록 하고 또한 연장된 포토닉스 유전체 스택(200) 내에 추가 구조를 제작하기 위한 추가 제작 이후의 도 4b에 나타낸 바와 같이 중간 단계의 제작 공정도에서의 포토닉스 구조(10)를 도시한 도면이다. 높이(2012)까지 기판(100)의 소재를 제거하여 레이저 스택(510)의 접점층(505)을 노출한 이후에, 유전체 소재층을 증착하고 이후에 CMP 처리하여 높이(2007)에서의 수평 연장 평면을 형성할 수 있다. 이후에 트렌치를 형성하여 각 레이저 스택(510)의 관통 비아(VX2) 및 바닥 접점(C21, C22, 및 C23)을 제작할 수 있다. 이후에 도전성 소재를 각각의 트렌치에 증착하여 각각의 레이저 스택(510) 중의 관통 비아(VX2), 바닥 접점(C21, C22, 및 C23)을 형성할 수 있다.
유전체 소재를 증착하고 이후에 CMP 처리하여 높이(2006)에서 수평 연장 평면이 형성될 수 있다. 이후에 금속 배선 트렌치가 형성될 수 있다. 금속 배선층(1602)은 금속 배선 트렌치 내에 증착되고 또한 CMP 처리되어 금속 배선 형성부(M11)를 형성하고 또한 높이(2006)에서 포토닉스 구조(10)의 상부면을 형성할 수 있다. 이후에 유전체 증착 및 CMP 처리를 수행하여 높이(2005)에서 수평 연장 평면을 형성할 수 있다. 포토닉스 구조(10)가 높이(2005)에서의 상부 높이를 갖는 단계에서 비아(V21)를 형성하는 비아 트렌치가 에칭될 수 있고 또한 비아층(1702)을 형성하는 도전성 소재가 이 비아 트렌치 내에 증착되어 비아(V21)를 형성할 수 있다.
높이(2005)에 유전체 소재를 증착하고 이후에 CMP 처리하여 높이(2004)에서 수평 연장 평면이 형성될 수 있다. 이후에 금속 배선층 형성 트렌치가 형성되며, 금속 배선 트렌치 내에 금속 배선층(1612)이 증착되어 금속 배선 형성부(M12)를 형성할 수 있다.
높이(2005)에 유전체 소재를 증착하고 이후에 CMP 처리하여 높이(2004)에서 수평 연장 평면이 형성될 수 있다. 도시된 바와 같이, 트렌치는 금속 배선 형성부를 노출시키도록 형성되어 있다. 이후에 단말(6002)이 제작될 수 있다. 단말(6002)은, 예컨대, (a) 유전체 스택(200) 내에 형성되고 금속 배선층(612)을 향해서 개구된 개구; (b) 금속 배선층(612) 상에 형성된 패드(pad)와 이 패드를 향한 개구; (c) 금속 배선층(612) 상에 형성된 언더 범프 금속 배선(UBM, under bump metallization) 층과 포토닉스 유전체 스택(200) 내에 형성되고 이 UBM을 향한 개구; (d) 금속 배선층(612) 상에 형성된 UBM 및 포토닉스 유전체 스택(200)으로부터 외부로 돌출한 UBM 상에 형성된 솔더 범프(solder bump); 중의 하나 이상을 포함할 수 있다.
후면측 단말에 의해서 제공되는 단말(6002)을 갖는 도 3의 포토닉스 구조(10)에서 종결되는 도 4a 및 도 4b에 나타낸 제작 공정도에 따른 제작 단계는 접점층(505)에 접속하는 접점의 높이 요구 조건을 줄일 수 있다. 따라서 이와 같은 접점의 제작과 관련된 공차 및 비용을 줄일 수 있고, 성능 속도가 증가될 수 있다. 전면측 단말에 의해서 제공되는 단말(6002)을 갖는 도 1의 포토닉스 구조(10)에서 종결되는 도 2a 내지 도 2i의 제작 공정도에 따른 제작 단계는, 예컨대, 기판(100)의 소재를 제거하기 위한 추가 공정 단계를 회피할 수 있다.
도 1 내지 도 2i를 참조하면, 레이저 스택(510)은 소정의 바닥 높이를 갖는 것으로 도시되어 있다. 도 2f를 참조하면, 레이저 스택(510)은 기판(100) 높이 내의 높이(2002)에서 바닥 높이를 갖는 것으로 도시되어 있으며, 이 높이는 버퍼 소재 형성부(502)의 바닥 높이이다.
본 명세서에서의 각 실시예에서는 레이저 스택(510)이 서로 다른 바닥 높이를 갖도록 제작될 수 있고, 또한 이들 서로 다른 높이는 이와 같은 서로 다른 바닥 높이를 선택하는 것에 의해서 얻어질 수 있음을 알아야 한다. 본 명세서의 각 실시예에서는 레이저 스택(510)의 바닥 높이가 목표로 하는 광 결합 방법에 따라서 광 결합을 최적화하도록 선택될 수 있음을 알아야 한다. 일부 실시예에 따르면, 레이저 스택(510)의 활성 영역(515)으로부터의 광(light)이 하나 이상의 도파관에 결합되도록 하기 위해 선택된 결합 방법에 기초하여 레이저 스택(510)의 바닥 높이가 선택될 수 있다.
도 5a 내지 도 5d는 다른 결합 방법을 도시한 도면으로, 레이저 스택(510)의 활성 영역(515)으로부터의 광(light)을 도파관에 결합시키기 위한 결합 방법 및 구조를 도시하고 있으며, 또한 레이저 스택(510)의 활성 영역(515)으로부터의 광(light)을 하나 이상의 도파관에 결합시키기 위해 선택된 결합 방법에 따라서 레이저 스택(510)의 바닥 높이를 선택할 수 있는 다른 제작 방법을 설명하는 도면이다.
활성 영역(515)으로부터의 광을 도파관에 결합시키기 위해서는, 도파관의 수평 연장 수직축이 활성 영역(515)의 수평 연장 수직축과 정렬되고 또한 일치되도록 포토닉스 구조(10)를 제작할 수 있다. 도 5a는 도 1에 나타낸 바와 같은 레이저 소스(500)를 도시한 도면으로, 도 1에 나타낸 바와 같이 ZX 평면이 아니라 Z-Y 평면을 따라서 취한 도면이다(도 5a는 도 1에 나타낸 바와 같이, 지면에서 들어가도록 연장되고 또한 및 지면으로부터 나오도록 연장되는 도면을 도시하고 있다).
도 5a를 참조하면, 포토닉스 구조(10)가 제작될 수 있으며, 따라서 활성 영역(515) 및 도파관(451)이 배치되어 활성 영역(515)의 수평 연장 수직축이 도파관(451)의 수평 연장 수직축과 정렬되고 또한 일치하도록 구성될 수 있다. 활성 영역(515) 및 도파관(451)의 수평 연장 수직축은 축(2515)과 일치할 수 있다. 도파관(451)은 층(302)을 패터닝하여 제작될 수 있으며, 이 층은 도 1에 나타낸 바와 같이 실리콘층(302)이며, 이 층은 사전 제작된 절연체-위-실리콘(SOI) 웨이퍼의 실리콘층이다. 도 5a는 실리콘에 형성된 도파관(451) 내로의 활성 영역(515)의 직접 결합(direct coupling)을 나타내고 있으며, 여기에서 도시된 실리콘은 최초 제작된 SOI 웨이퍼의 실리콘층이다.
도 5b는 레이저 스택(510)의 활성 영역(515)으로부터의 광(light)을 도파관(451)에 결합시키기 위한 다른 방법을 나타낸 도면이다. 도 5b에 나타낸 결합 방법에 있어서, 질화물층(3002)으로 제작된 도파관(452) 및 질화물층(3004)으로 제작된 도파관(453)을 제작하여 층으로 제작된 도파관(451)에 근접하여 배치될 수 있다. 도파관(452) 및 도파관(453)은 도 1 및 도 2a 내지 도 2d를 참조하여 설명한 도파관(411) 및 도파관(421)을 참조하여 설명하 방식대로 패터닝된 질화물, 예컨대, SiN(실리콘 질화물) 도파관일 수 있다.
도파관(452) 및 도파관(453)은 축(2515)을 둘러싸고 도파관(451)을 관통하여 전파되는 광이 도파관(452) 및/또는 도파관(453)에 소멸 결합하도록 하고, 또한, 도파관(451) 내로 다시 결합하여 소멸하는 방식으로 재결합하도록 하여 도파관(451)을 관통하는 전체적인 광 전송을 개선하도록 하는 소멸 결합 기능을 수행할 수 있도록 크기와 형상, 및 위치를 가질 수 있다.
도 5c는 레이저 스택(510)의 활성 영역(515)으로부터의 광(light)을 하나 이상의 도파관(451)에 결합시키기 위한 다른 방법을 나타낸 도면이다. 도 5c에 도시한 바와 같이, 포토닉스 구조(10)에 있어서, 활성 영역(515)의 수평 연장 수직축과 도파관(467)의 수평 연장 수직축은 축(2515) 상에서 서로 대해서 정렬되고 일치될 수 있다. 도파관(467)은 질화물로 형성된 층(3014)으로부터 패터닝된 도파관일 수 있다.
도 5c에 나타낸 바와 같이, 포토닉스 구조(10)는 층(3002)으로부터 패터닝된 도파관(461) 층(3004)으로부터 패터닝된 도파관(462) 층(3006)으로부터 패터닝된 도파관(463) 층(3008)으로부터 패터닝된 도파관(464) 층(3010)으로부터 패터닝된 도파관(465), 층(3012)으로부터 패터닝된 도파관(466), 및 층(3014)으로부터 패터닝된 도파관(467)을 포함할 수 있다. 층(3002, 3004, 3006, 3008, 3010, 3012, 3014)은 질화물층일 수 있으며, 따라서 이들 제작된 각각의 도파관(461-467)은 질화물 도파관이다. 도 5c에 나타낸 바와 같이, 도파관(461-467)은 이들 도파관(461-467) 사이에서의 소멸 결합이 용이해지도록, 즉, 도파관(467)을 통해 전파되는 광(light)은 도파관(466) 내로 소멸 결합될 수 있으며, 이 광은 도파관(465) 내로 소멸 결합될 수 있으며, 이 광은 도파관(464) 내로 소멸 결합될 수 있으며, 이는 도파관(463) 내로 소멸 결합될 수 있으며, 이 광은 도파관(462) 내로 소멸 결합될 수 있으며, 이 광은 도파관(461) 내로 소멸 결합될 수 있으며, 이 광은 실리콘으로 형성된 층(3002)으로부터 패터닝된 도파관(451) 내로 소멸 결합될 수 있도록 계단 형상의 패턴이 되도록 제작될 수 있다. 도 5c에 도시한 포토닉스 구조(10)는 레이저 스택(510)의 활성 영역(515)으로부터 방출된 광은 질화물로 형성된 도파관(467) 내로 직접 결합될 수 있으며, 이후에 일련의 도파관을 통과하고 궁극적으로는 실리콘으로 형성된 도파관(451) 내에 소멸 결합되는 결합 방법을 나타내고 있으며, 도파관(451)은 사전 제작된 절연체-위-실리콘(SOI) 웨이퍼의 실리콘층일 수 있는 실리콘으로 형성된 층(302)으로부터 패터닝될 수 있다. 도 5c에 나타낸 결합 방법을 용이하게 하기 위해서는 레이저 스택(510)의 바닥 높이를 도 1에 나타낸 레이저 스택(510)의 높이보다 더 높게 되도록 선택하고 제작할 수 있다.
도 5c에 나타낸 바와 같이, 도파관(4067-461)은 도파관(467)으로부터의 빛이 도파관(466-461)을 통과하여 하향하면서 소멸 결합되도록 하고 궁극적으로 실리콘으로 형성된 도파관(451)에 결합되도록 하는 크기와 형상, 및 위치를 가질 수 있다.
도 5d에 나타낸 결합 방법에 있어서, 레이저 스택(510)의 바닥 높이는 도 5c의 배치에서 나타낸 것과 더 높은 위치에 있다.
도 5d에 나타낸 바와 같이 포토닉스 구조(10)는 사전 제작된 절연체-위-실리콘(SOI) 웨이퍼의 실리콘층일 수 있는 층(302)의 상부면 상에 버퍼 소재 형성부(502)가 에피택셜 성장되도록 제작될 수 있다. 도면 전체를 통해서 나타낸 바와 같이 층(302)은, 일 실시예에 따르면, 예컨대, 500 도씨, 일부 경우에 있어서는 700 도씨, 및 일부 경우에 있어서는 1000 도씨를 상회하는 고온 열처리를 사용하여 사전 제작되는 것과 관련한 장점을 특징으로 한다. SOI 웨이퍼의 일부로서 사전 제작될 수 있는 층(302)은 디바이스의 제작에 필요한 층(302)의 패터닝 이후에 제한될 수 있는 열 예산을 사용하여 결함을 소멸시키도록 어닐링 처리할 수 있다.
도 5d에 나타낸 결합 방법은 도 5c에 나타낸 바와 같이 포토닉 구조와 연계하여 설명한 바와 같은 결합 방법으로 동작할 수 있다. 활성 영역(515)의 수평 연장 수직축 및 도파관(477)의 수평 연장 수직축은 축(2515) 상에서 정렬되고 일치될 수 있다. 활성 영역(515)으로부터 방출된 광은 질화물로 형성된 도파관(477) 내로 직접 결합될 수 있다. 도파관(477) 내로 결합된 광은 일련의 도파관(476, 및 475, 474, 473, 472, 및 471)을 통해서 연속적으로 소멸 결합하고, 궁극적으로는 사전 제작된 SOI 웨이퍼의 실리콘층일 수 있는 층(302)으로부터 패터닝될 수 있는 도파관(451)에 결합할 수 있다. 도 5d에 나타낸 바와 같이, 도파관(477-471)은 도파관(477)에서 도파관(471)까지의 일련의 도파관을 관통하고 궁극적으로는, 실리콘(Si)으로 형성한 층(302)으로부터 패터닝된 도파관(451) 내로 하향하여 소멸 결합할 수 있도록 하는 크기와, 형상, 및 위치를 가질 수 있다.
레이저 스택의 활성 영역(515)에 결합된 도파관(451)과 같은 도파관(도 5a 내지 도 5b), 도파관(467)(도 5c), 및 도파관(477)(도 5d)은 활성 영역(515)에 에지 결합(edge couple)될 수 있다. 활성 영역(515)과 이 활성 영역에 에지 결합된 도파관 사이에서의 광 결합을 촉진하기 위해서, 호환 모드 프로파일(compatible mode profile)을 포함하도록 활성 영역과 도파관을 구성할 수 있으며, 여기에서 각각의 모드 프로파일은 이동하는 광 신호 각각의 공간 영역 분포(spatial area distribution)를 정의하고 있다. 모드 프로파일은, 예컨대, 굴절률, 예컨대, 활성 영역(515), 에지 결합된 도파관, 각 활성 영역(515)의 기하학적인 형상, 및 에지 결합된 도파관 및 에지 결합된 도파관과 레이저 스택(510)을 둘러싸는 유전체 소재의 굴절률을 사용하여 조정될 수 있다. 호환 모드 프로파일을 구성하기 위해 조정된 설계 파라미터를 사용하여, 반사에 의해서 활성 영역으로 되돌아오는 것을 포함하는 광 신호 손실(재순환 손실)을 감소시킬 수 있다. 일부 실시예에 따르면, 광 손실을 줄이기 위해서, 활성 영역(515)에 에지 결합되는 에지 결합된 도파관의 광 도입 단부는 테이퍼진 형상일 수 있다.
도파관 간의 소멸 결합을 최적화하기 위해서, 소멸 결합된 도파관의 크기, 형상, 및 위치는 조정될 수 있다. 소멸 결합을 조정하기 위해서, 제어될 수 있는 파라미터에는 (a) 도 5c 내지 도 5d에 나타낸 바와 같이 Z 방향의 이격 거리(d), (b) 도 5c 내지 도 5d에 나타낸 바와 같이 중첩 거리(l), 및 (c) 기하학적인 형상이 포함될 수 있다. 테이퍼 형상으로 소멸 결합된 도파관은 도 5e에 나타내었다. 제 1 및 제 2 도파관 사이에서의 소멸 결합을 촉진하기 위해서, 도파관이 중첩되는 테이퍼 형상의 단부를 가질 수 있다.
제 1 및 제 2 도파관의 Y-X 평면도를 도시한 도 5e에 나타낸 바와 같이, 제 1 도파관(491)은 제 2 도파관(492)의 테이퍼진 단부(4921)와 동등한 테이퍼진 단부(4911)를 가질 수 있으며, 여기에서 제 2 도파관은 도파관(491) 보다 낮은 높이를 갖는다(따라서, 점선의 형태로 도시함). 제 1 도파관(491) 및 제 2 도파관(492)은 도 5a 내지 도 5d에 나타낸 바와 같이 임의 조합의 임의 조합의 상부 및 하부 소멸 결합 도파관을 보여줄 수 있다. 소멸 결합의 특징은 다량한 추가 파라미터, 예컨대, 제 1 도파관(491)의 굴절률, 제 2 도파관(492)의 굴절률, 도파관을 둘러싸는 포토닉스 유전체 스택(200)의 유전체 소재를 둘러싸는 굴절률, 및 이동하는 광의 파장에 종속될 수 있다.
레이저 스택(510)에 대한 상술한 여러 실시예들 중 어느 하나에 있어서, 버퍼 소재 형성부(502)를 형성하는 소재의 증착을 용이하게 하기 위한 정사각형 그루브 트렌치는 도 5a에 나타낸 점선(902)으로 나타낸 V형 그루브 트렌치로 대체될 수 있다. 일부 실시예에 따른 V형 그루브 트렌치(V groove trench)의 존재 덕분에 증착 공정 중의 결함 형성이 감소될 수 있다.
본 명세서에서 사용된 용어는 특정한 실시예를 설명하기 위한 목적으로만 사용되었으며, 한정하고자 의도하고 있지 않다. 일 실시예에 있어서, "상에"(on)라는 용어는 어떤 구성 요소가 이 구성 요소와 특정 구성 요소 사이에 다른 구성 요소의 개입없이 특정 구성 요소 "상에 직접적으로" 위치하는 경우의 관계를 나타낼 수 있다. 본 명세서에서 사용된 바와 같이, 단수를 나타내는 '한', '하나' 및 특정함을 나타내는 '그' 또는 '상기'와 같은 표현은 맥락상 다른 방식으로 명확하게 지시하지 않는 이상 복수의 형태 또한 포함하도록 의도되었다. 또한 "포함하는"(및 '포함하는'을 의미하는 임의의 형태, 예컨대 "포함하는" 및 "포함하고 있는"과 같은 표현), "가지는"(및 '가지는'을 의미하는 임의의 형태, 예컨대 "가진", "갖는"과 같은 표현), "구비하는"(및 '구비하는'을 의미하는 임의의 형태, 예컨대 '구비한', '구비하고 있는'과 같은 표현), 및 "내포하는"(contain)(및 '내포하는'을 의미하는 임의의 형태, 예컨대 '내포한', '내포하고 있는'과 같은 표현) 등의 용어는 개방형 연결 동사임을 알아야 한다. 결과적으로, 하나 이상의 단계 또는 구성 요소를 "포함하고", "가지고", "구비하고" 또는 "내포하는" 어떤 방법 또는 디바이스(device)는 이들 하나 이상의 단계 또는 구성 요소를 소유하고 있지만, 이는 단지 이들 하나 이상의 단계 또는 구성 요소만을 소유하는 것으로 제한하지는 않는다. 마찬가지로, 하나 이상의 특징 형상을 "포함하고", "가지고", "구비하고" 또는 "내포하는" 어떤 방법의 단계 또는 디바이스의 구성 요소는 이들 하나 이상의 특징 형상을 소유하고 있지만, 이는 단지 이들 하나 이상의 특징 형상만을 소유하는 것으로 제한하지는 않는다. "~에 의해서 형성되는"(defined by)이라는 형태의 용어는 하나의 구성 요소가 부분적으로 형성되는 경우의 관계 뿐만 아니라 하나의 구성 요소가 완전하게 형성되는 경우의 관계를 포함한다. 본 명세서에 있어서, 예컨대, "제 1" 및 "제 2"와 같은 숫자 식별자는 임의적인 용어로서 각 구성 요소의 순서를 지정하지 않고 서로 다른 각 구성 요소를 지정한다. 뿐만 아니라, 특정한 방식으로 구성된 시스템 방법 또는 장치는 적어도 그와 같은 방식으로 구성되지만, 본 명세서에서 나열하지 않은 방식으로도 구성될 수 있다. 뿐만 아니라, 본 발명에서 특정 갯수의 구성 요소를 갖는 것으로 설명된 시스템 방법 또는 장치는 이 특정한 갯수의 미만의 구성 요소 또는 초과하는 갯수의 구성 요소를 갖도록 실시될 수 있다.
이하의 청구 범위에서 모든 기능식 청구항의 구성 요소의 대응하는 구조, 소재, 방법, 및 이의 등가물은, 있다면, 청구 범위에서 구체적으로 청구하고 있는 바와 같이 청구 범위의 다른 구성 요소와 조합하여 해당 기능을 수행하기 위한 임의의 구조, 소재, 또는 방법을 포함하도록 의도되었다. 본 발명의 상세한 설명은 도시 및 기술의 목적으로 제공되었지만, 개시된 형태로 본 발명을 제한하거나 한정하고자 의도되지는 않았다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 범위 및 정신으로부터 이탈하지 않고도 다양한 변경 및 변형을 가할 수 있음을 잘 알 것이다. 본 발명의 실시예는 본 발명 및 실제 응용에 있어서 하나 이상의 양태의 원칙을 가장 잘 설명하도록, 또한 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자와 다른 자가 예정된 특정 사용에 적합한 것으로서 다양한 변경과 함께 다양한 실시예에 대한 본 발명의 하나 이상의 양태를 이해할 수 있도록 선택되고 또한 설명되었다.

Claims (26)

  1. 포토닉스 구조에 있어서,
    기판;
    상기 기판 상에 배치된 유전체 스택;
    상기 유전체 스택 내에 집적된 하나 이상의 포토닉스 디바이스; 및
    스택 내에 배치된 복수 구조를 포함하는 레이저 스택을 갖는 레이저 광원;을 포함하며, 상기 복수 구조 중의 구조는 상기 유전체 스택 내에 집적되어 있고, 상기 레이저 스택은 상기 레이저 스택에 전기 에너지가 인가됨에 따라서 광(light)을 방출하도록 구성된 활성 영역을 포함하는,
    포토닉스 구조.
  2. 청구항 1에 있어서,
    상기 레이저 광원은 버퍼 소재 형성부, 클래딩층, 알루미늄 튜닝층, 및 활성 영역을 포함하는,
    포토닉스 구조.
  3. 청구항 1에 있어서,
    상기 유전체 스택은 절연체-위-실리콘(SOI, silicon-on-insulator) 웨이퍼에 의해서 형성되며, 상기 하나 이상의 포토닉스 디바이스 중의 하나의 포토닉스 디바이스는 SOI 웨이퍼의 실리콘층에 의해서 형성되는,
    포토닉스 구조.
  4. 청구항 1에 있어서,
    상기 하나 이상의 포토닉스 디바이스는 도파관을 포함하는 상기 유전체 스택 내에 일체로 형성되며, 상기 활성 영역은 도파관과 동일한 높이를 가지며, 상기 활성 영역은 상기 도파관 내로 광(light)을 방출하도록 구성되어 있는,
    포토닉스 구조.
  5. 청구항 1에 있어서,
    상기 유전체 스택 내에 일체로 형성된 상기 하나 이상의 포토닉스 디바이스는 수평 연장 수직축을 갖는 단결정 실리콘 도파관을 포함하며, 상기 도파관의 상기 수평 연장 수직축은 상기 활성 영역 중의 하나의 수평 연장 수직축과 정렬되어 있으며, 상기 활성 영역은 상기 단결정 실리콘 도파관 내로 광(light)을 방출하도록 구성되어 있는,
    포토닉스 구조.
  6. 청구항 1에 있어서,
    상기 유전체 스택 내에 일체로 형성된 상기 하나 이상의 포토닉스 디바이스는 수평 연장 수직축을 갖는 질화물 도파관을 포함하며, 상기 도파관의 상기 수평 연장 수직축은 상기 활성 영역 중의 하나의 수평 연장 수직축과 정렬되어 있으며, 상기 활성 영역은 상기 질화물 도파관 내로 광(light)을 방출하도록 구성되어 있는,
    포토닉스 구조.
  7. 청구항 1에 있어서,
    상기 유전체 스택 내에 일체로 형성된 상기 하나 이상의 포토닉스 디바이스는 수평 연장 수직축을 갖는 질화물 도파관을 포함하며, 상기 도파관의 상기 수평 연장 수직축은 상기 활성 영역 중의 하나의 수평 연장 수직축과 정렬되어 있으며, 상기 활성 영역은 상기 질화물 도파관 내로 광(light)을 방출하도록 구성되어 있으며, 상기 유전체 스택은 절연체-위-실리콘(SOI, silicon-on-insulator) 웨이퍼에 의해서 형성되며, 상기 하나 이상의 포토닉스 디바이스 중의 하나의 포토닉스 디바이스는 상기 SOI 웨이퍼의 실리콘층에 의해서 형성되는 단결정 도파관이며, 상기 포토닉스 구조는 상기 활성 영역으로부터 상기 SOI 웨이퍼의 실리콘층에 의해서 형성되는 상기 단결정 도파관 내로 방출되는 광과 소멸 결합(evanescently couple)하도록 구성된 단계별로 중첩되는 패턴으로 배치된 복수의 도파관을 포함하는,
    포토닉스 구조.
  8. 청구항 1에 있어서,
    상기 레이저 광원은 버퍼 소재 형성부, 접점층, 알루미늄 튜닝층, 및 활성층을 포함하는,
    포토닉스 구조.
  9. 청구항 1에 있어서,
    상기 레이저 광원은 상기 기판의 상부 높이 아래의 바닥 높이를 갖는 버퍼 소재 형성부를 포함하는,
    포토닉스 구조.
  10. 청구항 1에 있어서,
    상기 레이저 광원은 상기 기판의 상부 높이 아래의 바닥 높이를 갖는 버퍼 소재 형성부를 포함하며, 상기 유전체 스택 내에 배치된 상기 하나 이상의 포토닉스 디바이스는 수평 연장 수직축을 갖는 단결정 실리콘 도파관을 포함하며, 상기 도파관의 상기 수평 연장 수직축은 상기 활성 영역 중의 하나의 수평 연장 수직축과 정렬되어 있으며, 또한, 상기 활성 영역은 상기 단결정 실리콘 도파관 내로 광(light)을 방출하도록 구성되어 있는,
    포토닉스 구조.
  11. 청구항 1에 있어서,
    상기 기판은 상기 기판 상에 형성된 절연체 상에 형성된 실리콘층을 갖는 절연체-위-실리콘(SOI) 웨이퍼로 형성된 기판이며, 상기 하나 이상의 포토닉스 디바이스는 상기 실리콘층에 의해서 형성되는 도파관을 포함하며, 상기 도파관은 상기 활성 영역에 의해서 형성되어 있는,
    포토닉스 구조.
  12. 청구항 1에 있어서,
    상기 기판은 실리콘을 포함하는,
    포토닉스 구조.
  13. 청구항 1에 있어서,
    상기 하나 이상의 포토닉스 디바이스는 상기 유전체 스택 내에 집적된(integrated) 각각의 광 검출기, 상기 유전체 스택 내에 집적된 모듈레이터, 및 상기 유전체 스택 내에 집적된 도파관을 각각 포함하는,
    포토닉스 구조.
  14. 청구항 1에 있어서,
    상기 포토닉스 구조는 제 2 레이저 스택을 갖는 제 2 레이저 광원을 포함하며, 상기 레이저 스택 및 상기 제 2 레이저 스택은 각각 접점과 계면(interface)을 이루는 바닥 접점층을 포함하며, 상기 제 2 레이저 스택의 상기 바닥 접점층은 상기 레이저 스택의 바닥 접점층보다 더 높은 높이에 위치하는,
    포토닉스 구조.
  15. 청구항 1에 있어서,
    상기 포토닉스 구조는 제 2 레이저 스택을 갖는 제 2 레이저 광원을 포함하며, 상기 레이저 스택 및 상기 제 2 레이저 스택은 각각 접점과 계면(interface)을 이루는 바닥 접점층을 포함하며, 제 2 레이저 스택의 상기 바닥 접점층은 상기 레이저 스택의 하부 접점층보다 더 높은 높이에 위치하며, 상기 제 2 레이저 스택의 상기 바닥 접점층은 상기 제 2 레이저 스택의 알루미늄 튜닝층 상에 에피택셜 성장되며, 상기 레이저 스택의 상기 바닥 접점층은 상기 제 1 레이저 광원의 버퍼 소재 형성부 상에 에피택셜 성장되는,
    포토닉스 구조.
  16. 청구항 1에 있어서,
    상기 유전체 스택은 SOI 웨이퍼의 절연층에 의해서 형성되고, 또한 상기 레이저 스택은 상기 절연층의 높이 전체를 관통하여 연장되는,
    포토닉스 구조.
  17. 청구항 1에 있어서,
    상기 유전체 스택은 SOI 웨이퍼의 절연층에 의해서 형성되며, 상기 레이저 스택의 바닥 높이는 버퍼 소재 형성부의 바닥 높이에 의해서 형성되며, 상기 버퍼 소재 형성부는 상기 절연층 높이 내의 바닥 높이를 갖는,
    포토닉스 구조.
  18. 방법에 있어서,
    절연체-위-실리콘(SOI) 웨이퍼의 절연체에 의해서 형성되는 유전체 스택을 갖는 포토닉스 구조 중의 절연체-위-실리콘(SOI) 웨이퍼의 실리콘층 내에 도파관을 패터닝하는 단계;
    상기 포토닉스 구조 내에 상기 유전체 스택의 유전체층(dielectric layer)을 관통하여 연장되는 트렌치를 형성하는 단계;
    상기 트렌치 내에 레이저 스택을 에피택셜 성장시키는 단계로서, 상기 레이저 스택은 스택 내에 배치된 복수 구조를 포함하는 단계;를 포함하며, 상기 복수 구조 중의 구조는 상기 유전체 스택 내에 배치되며 또한 상기 레이저 스택에 전기 에너지가 인가됨에 따라서 광(light)을 방출하도록 구성된 활성 영역을 포함하는,
    방법.
  19. 청구항 18에 있어서,
    상기 활성 영역은 상기 도파관과 정렬되어 있는,
    방법.
  20. 청구항 18에 있어서,
    상기 도파관은 수평으로 연장되고 또한 상기 도파관과 정렬되어 있는 상기 활성 영역의 높이와 동일한 높이에 배치되며, 상기 활성 영역은 상기 활성 영역에 의해서 방출된 광이 상기 도파관에 결합(couple)되도록 수평으로 광을 방출하는,
    방법.
  21. 청구항 18에 있어서,
    상기 포토닉스 구조 내에 상기 유전체 스택의 유전체층을 관통하여 연장되는 트렌치를 형성하는 단계는 상기 포토닉스 구조 내에 상기 유전체 스택의 유전체층을 관통하여 연장되는 트렌치를 형성하여 상기 트렌치가 상기 SOI 웨이퍼의 기판 상부 높이보다 낮은 바닥 높이를 갖도록 하는 단계인,
    방법.
  22. 청구항 18에 있어서,
    상기 방법은 상기 유전체 스택 내에 광 검출기 및 모듈레이터를 일체적으로 제작하는 단계를 포함하는,
    방법.
  23. 청구항 18에 있어서,
    상기 실리콘층 내에 상기 도파관을 패터닝하는 상기 패터닝 단계는 제 1 공정 온도 범위를 사용하는 단계를 포함하며, 또한 상기 에피택셜 성장 단계는 제 2 공정 온도 범위를 사용하여 상기 레이저 스택을 형성하는 버퍼 소재 형성부를 에피택셜 성장시키는 단계를 포함하며, 상기 제 2 공정 온도 범위 중의 최고 온도는 상기 제 2 공정 온도 범위 중의 최고 온도 이하인,
    방법.
  24. 청구항 18에 있어서,
    상기 레이저 스택의 상기 에피택셜 성장은 버퍼 소재 형성부를 에피택셜 성장시키는 단계, 상기 버퍼 소재 형성부 상에 접점층을 에피택셜 성장시키는 단계, 상기 버퍼 소재 형성부에 제 1 알루미늄 튜닝층을 에피택셜 성장시키는 단계, 상기 제 1 알루미늄 튜닝층 상에 제 2 클래딩층을 에피택셜 성장시키는 단계, 상기 제 1 클래딩층 상에 제 2 알루미늄 튜닝층을 에피택셜 성장시키는 단계, 상기 제 2 알루미늄 튜닝층 상에 스페이서층을 에피택셜 성장시키는 단계 및 상기 스페이서층 상에 상기 활성 영역을 에피택셜 성장시키는 단계를 포함하는,
    방법.
  25. 청구항 18에 있어서,
    상기 활성 영역은 퀀텀 닷(quantum dot)을 포함하는,
    방법.
  26. 청구항 18에 있어서,
    상기 트렌치 내에 레이저 스택을 에피택셜 성장시키는 상기 에피택셜 성장 단계는 상기 기판에 의해서 형성되는 실리콘 표면 상에 버퍼 소재 형성부를 에피택셜 성장시키는 단계를 포함하며, 상기 방법은, 상기 유전체 스택의 상기 바닥측 표면이 노출되도록 상기 기판 및 상기 버퍼 소재 형성부의 소재를 제거하는 단계; 및 상기 유전체 스택의 상기 바닥측 표면 상에 연장 유전체 스택 영역을 제작하는 단계;를 포함하며, 상기 방법은, 상기 연장 유전체 스택 영역 내에 상기 레이저 스택의 접점층과 접촉하는 집적 전기 접점을 제작하는 단계, 및 상기 전기 접점과 전기적으로 연통하는 단말(termination)을 제작하는 단계를 포함하는,
    방법.
KR1020217015260A 2018-11-21 2019-09-20 집적 레이저를 구비한 포토닉스 구조 KR20220002239A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201862770623P 2018-11-21 2018-11-21
US62/770,623 2018-11-21
TW108133747 2019-09-19
US16/575,820 US11029466B2 (en) 2018-11-21 2019-09-19 Photonics structure with integrated laser
TW108133747A TWI829761B (zh) 2018-11-21 2019-09-19 具有積體雷射的光學結構
US16/575,820 2019-09-19
PCT/US2019/052232 WO2020123008A1 (en) 2018-11-21 2019-09-20 Photonics structure with integrated laser

Publications (1)

Publication Number Publication Date
KR20220002239A true KR20220002239A (ko) 2022-01-06

Family

ID=70771439

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217015260A KR20220002239A (ko) 2018-11-21 2019-09-20 집적 레이저를 구비한 포토닉스 구조

Country Status (6)

Country Link
US (1) US11029466B2 (ko)
EP (1) EP3884321A1 (ko)
JP (1) JP2022509947A (ko)
KR (1) KR20220002239A (ko)
SG (1) SG11202105177SA (ko)
TW (1) TWI829761B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019195441A1 (en) 2018-04-04 2019-10-10 The Research Foundation For The State University Of New York Heterogeneous structure on an integrated photonics platform
US11550099B2 (en) 2018-11-21 2023-01-10 The Research Foundation For The State University Of New York Photonics optoelectrical system
TWI829761B (zh) 2018-11-21 2024-01-21 紐約州立大學研究基金會 具有積體雷射的光學結構
US11810986B2 (en) * 2019-11-15 2023-11-07 Institute of Microelectronics, Chinese Academy of Sciences Method for integrating surface-electrode ion trap and silicon photoelectronic device, integrated structure, and three-dimensional structure
US11307483B2 (en) 2020-07-02 2022-04-19 Robert Bosch Gmbh MEMS optical switch with dual cantilever couplers
US11300852B2 (en) * 2020-07-02 2022-04-12 Robert Bosch Gmbh MEMS optical switch with a cantilever coupler
US11340399B2 (en) 2020-07-02 2022-05-24 Robert Bosch Gmbh In-plane MEMS optical switch
US11360270B2 (en) 2020-07-02 2022-06-14 Robert Bosch Gmbh MEMS optical switch with stop control
US11662523B2 (en) * 2021-01-19 2023-05-30 Globalfoundries U.S. Inc. Edge couplers in the back-end-of-line stack of a photonics chip
US11579367B2 (en) * 2021-02-10 2023-02-14 Alpine Optoelectronics, Inc. Integrated waveguide polarizer
US11796735B2 (en) * 2021-07-06 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated 3DIC with stacked photonic dies and method forming same
US11835764B2 (en) * 2022-01-31 2023-12-05 Globalfoundries U.S. Inc. Multiple-core heterogeneous waveguide structures including multiple slots

Family Cites Families (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5841931A (en) 1996-11-26 1998-11-24 Massachusetts Institute Of Technology Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby
EP0867701A1 (en) 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US6056630A (en) 1998-05-19 2000-05-02 Lucent Technologies Inc. Polishing apparatus with carrier head pivoting device
US6048775A (en) 1999-05-24 2000-04-11 Vanguard International Semiconductor Corporation Method to make shallow trench isolation structure by HDP-CVD and chemical mechanical polish processes
WO2001001465A1 (en) 1999-06-25 2001-01-04 Massachusetts Institute Of Technology Cyclic thermal anneal for dislocation reduction
HUP0000532A2 (hu) 2000-02-07 2002-03-28 Optilink Ab Eljárás és rendszer információ rögzítésére holografikus kártyán
US6879014B2 (en) 2000-03-20 2005-04-12 Aegis Semiconductor, Inc. Semitransparent optical detector including a polycrystalline layer and method of making
EP1354229A2 (en) 2000-07-10 2003-10-22 Massachusetts Institute Of Technology Graded index waveguide
US6631225B2 (en) 2000-07-10 2003-10-07 Massachusetts Institute Of Technology Mode coupler between low index difference waveguide and high index difference waveguide
US7103245B2 (en) 2000-07-10 2006-09-05 Massachusetts Institute Of Technology High density integrated optical chip
AUPQ897600A0 (en) 2000-07-25 2000-08-17 Liddiard, Kevin Active or self-biasing micro-bolometer infrared detector
JP2002353205A (ja) 2000-08-28 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法およびそれに用いられるウェハ処理装置並びに半導体装置
AU2002213212A1 (en) 2000-10-13 2002-04-29 Massachusetts Institute Of Technology Optical waveguides with trench structures
US6694082B2 (en) 2001-04-05 2004-02-17 Lucent Technologies Inc. Polycrystalline ferroelectric optical devices
GB0122427D0 (en) 2001-09-17 2001-11-07 Denselight Semiconductors Pte Fabrication of stacked photonic lightwave circuits
US6706576B1 (en) 2002-03-14 2004-03-16 Advanced Micro Devices, Inc. Laser thermal annealing of silicon nitride for increased density and etch selectivity
WO2003087905A1 (en) 2002-04-09 2003-10-23 Massachusetts Institute Of Technology Polysilane thin films for directly patternable waveguides
US6855975B2 (en) 2002-04-10 2005-02-15 Micron Technology, Inc. Thin film diode integrated with chalcogenide memory cell
AU2002368035A1 (en) 2002-06-19 2004-01-06 Massachusetts Institute Of Technology Ge photodetectors
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
FR2842022B1 (fr) 2002-07-03 2005-05-06 Commissariat Energie Atomique Dispositif de maintien d'un objet sous vide et procedes de fabrication de ce dispositif, application aux detecteurs intrarouges non refroidis
JP2004109888A (ja) 2002-09-20 2004-04-08 Yasuo Kokubu 光導波路及びその製造方法
US7389029B2 (en) 2003-07-03 2008-06-17 Applied Research And Photonics, Inc. Photonic waveguide structures for chip-scale photonic integrated circuits
US7095010B2 (en) 2002-12-04 2006-08-22 California Institute Of Technology Silicon on insulator resonator sensors and modulators and method of operating the same
US7453129B2 (en) 2002-12-18 2008-11-18 Noble Peak Vision Corp. Image sensor comprising isolated germanium photodetectors integrated with a silicon substrate and silicon circuitry
US7767499B2 (en) 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US20060249753A1 (en) 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
JP2004259882A (ja) 2003-02-25 2004-09-16 Seiko Epson Corp 半導体装置及びその製造方法
US7262117B1 (en) 2003-06-10 2007-08-28 Luxtera, Inc. Germanium integrated CMOS wafer and method for manufacturing the same
WO2004113977A1 (en) 2003-06-16 2004-12-29 Massachusetts Institute Of Technology Multiple oxidation and etch smoothing method for reducing silicon waveguide roughness
US7205525B2 (en) 2003-09-05 2007-04-17 Analog Devices, Inc. Light conversion apparatus with topside electrode
EP1513233B1 (en) * 2003-09-05 2008-10-29 Epispeed S.A. InGaAs/GaAs lasers on Silicon produced by LEPECVD and MOCVD
US7262140B2 (en) 2003-11-24 2007-08-28 Intel Corporation Method of smoothing waveguide structures
US7773836B2 (en) 2005-12-14 2010-08-10 Luxtera, Inc. Integrated transceiver with lightpipe coupler
US7251386B1 (en) 2004-01-14 2007-07-31 Luxtera, Inc Integrated photonic-electronic circuits and systems
US20050185884A1 (en) 2004-01-23 2005-08-25 Haus Hermann A. Single-level no-crossing microelectromechanical hitless switch for high density integrated optics
US20050220984A1 (en) 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
DK1779418T3 (en) 2004-06-17 2014-12-08 Ion Optics Inc EMITTER, DETECTOR AND SENSOR WITH photonic crystal
US7397101B1 (en) 2004-07-08 2008-07-08 Luxtera, Inc. Germanium silicon heterostructure photodetectors
US7723754B2 (en) 2004-07-28 2010-05-25 Massachusetts Institute Of Technology Ge photodetectors
US7194166B1 (en) 2004-08-26 2007-03-20 Luxtera, Inc. Use of waveguide grating couplers in an optical mux/demux system
WO2006034271A1 (en) 2004-09-17 2006-03-30 Massachusetts Institute Of Technology Silicon based on-chip photonic band gap cladding waveguide
US7157300B2 (en) 2004-11-19 2007-01-02 Sharp Laboratories Of America, Inc. Fabrication of thin film germanium infrared sensor by bonding to silicon wafer
US7008813B1 (en) 2005-02-28 2006-03-07 Sharp Laboratories Of America, Inc.. Epitaxial growth of germanium photodetector for CMOS imagers
US7186611B2 (en) 2005-02-28 2007-03-06 Sharp Laboratories Of America, Inc. High-density germanium-on-insulator photodiode array
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US20060250836A1 (en) 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7801406B2 (en) 2005-08-01 2010-09-21 Massachusetts Institute Of Technology Method of fabricating Ge or SiGe/Si waveguide or photonic crystal structures by selective growth
US7358107B2 (en) 2005-10-27 2008-04-15 Sharp Laboratories Of America, Inc. Method of fabricating a germanium photo detector on a high quality germanium epitaxial overgrowth layer
US7305157B2 (en) 2005-11-08 2007-12-04 Massachusetts Institute Of Technology Vertically-integrated waveguide photodetector apparatus and related coupling methods
US7811913B2 (en) 2005-12-19 2010-10-12 Sharp Laboratories Of America, Inc. Method of fabricating a low, dark-current germanium-on-silicon pin photo detector
US20070170536A1 (en) 2006-01-25 2007-07-26 Sharp Laboratories Of America, Inc. Liquid phase epitaxial GOI photodiode with buried high resistivity germanium layer
US7459686B2 (en) 2006-01-26 2008-12-02 L-3 Communications Corporation Systems and methods for integrating focal plane arrays
US7480430B2 (en) 2006-02-08 2009-01-20 Massachusetts Institute Of Technology Partial confinement photonic crystal waveguides
US7508050B1 (en) 2006-03-16 2009-03-24 Advanced Micro Devices, Inc. Negative differential resistance diode and SRAM utilizing such device
US7875871B2 (en) 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7700975B2 (en) 2006-03-31 2010-04-20 Intel Corporation Schottky barrier metal-germanium contact in metal-germanium-metal photodetectors
US7566875B2 (en) 2006-04-13 2009-07-28 Integrated Micro Sensors Inc. Single-chip monolithic dual-band visible- or solar-blind photodetector
US7613369B2 (en) 2006-04-13 2009-11-03 Luxtera, Inc. Design of CMOS integrated germanium photodiodes
US20070262296A1 (en) 2006-05-11 2007-11-15 Matthias Bauer Photodetectors employing germanium layers
US7943471B1 (en) 2006-05-15 2011-05-17 Globalfoundries Inc. Diode with asymmetric silicon germanium anode
US7718965B1 (en) 2006-08-03 2010-05-18 L-3 Communications Corporation Microbolometer infrared detector elements and methods for forming same
US7831123B2 (en) 2006-09-07 2010-11-09 Massachusetts Institute Of Technology Microphotonic waveguide including core/cladding interface layer
US7651880B2 (en) 2006-11-04 2010-01-26 Sharp Laboratories Of America, Inc. Ge short wavelength infrared imager
WO2008073967A1 (en) 2006-12-13 2008-06-19 Massachusetts Institute Of Technology Mode transformers for low index high confinement waveguides
JP4996938B2 (ja) 2007-02-16 2012-08-08 株式会社日立製作所 半導体発光素子
TW200837965A (en) 2007-03-05 2008-09-16 Univ Nat Taiwan Photodetector
TWI360232B (en) 2007-06-12 2012-03-11 Univ Nat Taiwan Method for manufacturing photodetector
JP2008311457A (ja) 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
US7537968B2 (en) 2007-06-19 2009-05-26 Sandisk 3D Llc Junction diode with reduced reverse current
US8072791B2 (en) 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
US7514751B2 (en) 2007-08-02 2009-04-07 National Semiconductor Corporation SiGe DIAC ESD protection structure
US8787774B2 (en) 2007-10-10 2014-07-22 Luxtera, Inc. Method and system for a narrowband, non-linear optoelectronic receiver
US7994066B1 (en) 2007-10-13 2011-08-09 Luxtera, Inc. Si surface cleaning for semiconductor circuits
WO2009052479A2 (en) 2007-10-19 2009-04-23 Bae Systems Information And Electronic Systems Integration Inc. Method for manufacturing vertical germanium detectors
US8343792B2 (en) 2007-10-25 2013-01-01 Bae Systems Information And Electronic Systems Integration Inc. Method for manufacturing lateral germanium detectors
US7790495B2 (en) 2007-10-26 2010-09-07 International Business Machines Corporation Optoelectronic device with germanium photodetector
US7659627B2 (en) 2007-12-05 2010-02-09 Fujifilm Corporation Photodiode
US7723206B2 (en) 2007-12-05 2010-05-25 Fujifilm Corporation Photodiode
US8078063B2 (en) 2008-02-05 2011-12-13 Finisar Corporation Monolithic power monitor and wavelength detector
US7902620B2 (en) 2008-08-14 2011-03-08 International Business Machines Corporation Suspended germanium photodetector for silicon waveguide
US8269303B2 (en) 2008-03-07 2012-09-18 Nec Corporation SiGe photodiode
US8260151B2 (en) * 2008-04-18 2012-09-04 Freescale Semiconductor, Inc. Optical communication integration
US7737534B2 (en) 2008-06-10 2010-06-15 Northrop Grumman Systems Corporation Semiconductor devices that include germanium nanofilm layer disposed within openings of silicon dioxide layer
US8168939B2 (en) 2008-07-09 2012-05-01 Luxtera, Inc. Method and system for a light source assembly supporting direct coupling to an integrated circuit
US20100006961A1 (en) 2008-07-09 2010-01-14 Analog Devices, Inc. Recessed Germanium (Ge) Diode
US8238014B2 (en) 2008-09-08 2012-08-07 Luxtera Inc. Method and circuit for encoding multi-level pulse amplitude modulated signals using integrated optoelectronic devices
US8877616B2 (en) 2008-09-08 2014-11-04 Luxtera, Inc. Method and system for monolithic integration of photonics and electronics in CMOS processes
US20120025212A1 (en) 2008-09-16 2012-02-02 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University GeSn Infrared Photodetectors
KR101000941B1 (ko) 2008-10-27 2010-12-13 한국전자통신연구원 게르마늄 광 검출기 및 그 형성방법
US7916377B2 (en) 2008-11-03 2011-03-29 Luxtera, Inc. Integrated control system for laser and Mach-Zehnder interferometer
JP5468011B2 (ja) 2008-11-12 2014-04-09 株式会社日立製作所 発光素子並びに受光素子及びその製造方法
US8188512B2 (en) 2008-12-03 2012-05-29 Electronics And Telecommunications Research Institute Growth of germanium epitaxial thin film with negative photoconductance characteristics and photodiode using the same
EP2214042B1 (en) 2009-02-02 2015-03-11 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Method of producing a photonic device and corresponding photonic device
US8798476B2 (en) 2009-02-18 2014-08-05 Luxtera, Inc. Method and system for single laser bidirectional links
JP5428400B2 (ja) 2009-03-04 2014-02-26 ソニー株式会社 固体撮像装置、および、その製造方法、電子機器
JP5463760B2 (ja) * 2009-07-02 2014-04-09 三菱電機株式会社 光導波路集積型半導体光素子およびその製造方法
US8358940B2 (en) 2009-07-10 2013-01-22 Luxtera Inc. Method and system for optoelectronic receivers for uncoded data
US20110027950A1 (en) 2009-07-28 2011-02-03 Jones Robert E Method for forming a semiconductor device having a photodetector
US8592745B2 (en) 2009-08-19 2013-11-26 Luxtera Inc. Method and system for optoelectronic receivers utilizing waveguide heterojunction phototransistors integrated in a CMOS SOI wafer
US8289067B2 (en) 2009-09-14 2012-10-16 Luxtera Inc. Method and system for bandwidth enhancement using hybrid inductors
US8742398B2 (en) 2009-09-29 2014-06-03 Research Triangle Institute, Int'l. Quantum dot-fullerene junction based photodetectors
US8319237B2 (en) 2009-12-31 2012-11-27 Intel Corporation Integrated optical receiver architecture for high speed optical I/O applications
US8649639B2 (en) 2010-03-04 2014-02-11 Luxtera, Inc. Method and system for waveguide mode filters
US8625935B2 (en) 2010-06-15 2014-01-07 Luxtera, Inc. Method and system for integrated power combiners
US8304272B2 (en) 2010-07-02 2012-11-06 International Business Machines Corporation Germanium photodetector
US8471639B2 (en) 2010-07-06 2013-06-25 Luxtera Inc. Method and system for a feedback transimpedance amplifier with sub-40khz low-frequency cutoff
FR2966976B1 (fr) 2010-11-03 2016-07-29 Commissariat Energie Atomique Imageur monolithique multispectral visible et infrarouge
FR2966977B1 (fr) 2010-11-03 2016-02-26 Commissariat Energie Atomique Detecteur de rayonnement visible et proche infrarouge
CN102465336B (zh) 2010-11-05 2014-07-09 上海华虹宏力半导体制造有限公司 一种高锗浓度的锗硅外延方法
WO2012068451A2 (en) 2010-11-19 2012-05-24 Arizona Board of Regents, a body corporate of the state of Arizona, acting for and on behalf of Dilute sn-doped ge alloys
US8633067B2 (en) 2010-11-22 2014-01-21 International Business Machines Corporation Fabricating photonics devices fully integrated into a CMOS manufacturing process
US8803068B2 (en) 2011-01-26 2014-08-12 Maxim Integrated Products, Inc. Light sensor having a contiguous IR suppression filter and a transparent substrate
US8354282B2 (en) 2011-01-31 2013-01-15 Alvin Gabriel Stern Very high transmittance, back-illuminated, silicon-on-sapphire semiconductor wafer substrate for high quantum efficiency and high resolution, solid-state, imaging focal plane arrays
US8741684B2 (en) 2011-05-09 2014-06-03 Imec Co-integration of photonic devices on a silicon photonics platform
US8399949B2 (en) 2011-06-30 2013-03-19 Micron Technology, Inc. Photonic systems and methods of forming photonic systems
US9653639B2 (en) 2012-02-07 2017-05-16 Apic Corporation Laser using locally strained germanium on silicon for opto-electronic applications
WO2013119981A1 (en) 2012-02-10 2013-08-15 Massachusetts Institute Of Technology Athermal photonic waveguide with refractive index tuning
US8772899B2 (en) 2012-03-01 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for backside illumination sensor
US8866247B2 (en) 2012-03-29 2014-10-21 Intel Corporation Photonic device with a conductive shunt layer
US9091827B2 (en) 2012-07-09 2015-07-28 Luxtera, Inc. Method and system for grating couplers incorporating perturbed waveguides
US9105772B2 (en) 2012-07-30 2015-08-11 Bae Systems Information And Electronic Systems Integration Inc. In-line germanium avalanche photodetector
US8723125B1 (en) 2012-11-06 2014-05-13 Laxense Inc. Waveguide end-coupled infrared detector
CN103000650B (zh) 2012-12-10 2015-07-29 复旦大学 近红外-可见光可调图像传感器及其制造方法
US8802484B1 (en) 2013-01-22 2014-08-12 Globalfoundries Singapore Pte. Ltd. Integration of germanium photo detector in CMOS processing
US20140206190A1 (en) 2013-01-23 2014-07-24 International Business Machines Corporation Silicide Formation in High-Aspect Ratio Structures
US9046650B2 (en) 2013-03-12 2015-06-02 The Massachusetts Institute Of Technology Methods and apparatus for mid-infrared sensing
US9360623B2 (en) 2013-12-20 2016-06-07 The Regents Of The University Of California Bonding of heterogeneous material grown on silicon to a silicon photonic circuit
US10571631B2 (en) 2015-01-05 2020-02-25 The Research Foundation For The State University Of New York Integrated photonics including waveguiding material
US9874693B2 (en) 2015-06-10 2018-01-23 The Research Foundation For The State University Of New York Method and structure for integrating photonics with CMOs
US10042115B2 (en) 2016-04-19 2018-08-07 Stmicroelectronics (Crolles 2) Sas Electro-optic device with multiple photonic layers and related methods
WO2017210300A1 (en) * 2016-06-03 2017-12-07 The Regents Of The University Of California Integration of direct-bandgap optically active devices on indirect-bandgap-based substrates
CN109477936B (zh) 2016-07-13 2022-03-29 洛克利光子有限公司 集成结构以及其制造方法
US10976491B2 (en) 2016-11-23 2021-04-13 The Research Foundation For The State University Of New York Photonics interposer optoelectronics
TWI686943B (zh) 2016-11-23 2020-03-01 紐約州立大學研究基金會 具有光子中介層的光電系統
US10698156B2 (en) 2017-04-27 2020-06-30 The Research Foundation For The State University Of New York Wafer scale bonded active photonics interposer
EP3467973A1 (en) * 2017-10-04 2019-04-10 IMEC vzw Active-passive waveguide photonic system
WO2019195441A1 (en) 2018-04-04 2019-10-10 The Research Foundation For The State University Of New York Heterogeneous structure on an integrated photonics platform
US10816724B2 (en) 2018-04-05 2020-10-27 The Research Foundation For The State University Of New York Fabricating photonics structure light signal transmission regions
TWI829761B (zh) 2018-11-21 2024-01-21 紐約州立大學研究基金會 具有積體雷射的光學結構
US11550099B2 (en) 2018-11-21 2023-01-10 The Research Foundation For The State University Of New York Photonics optoelectrical system

Also Published As

Publication number Publication date
JP2022509947A (ja) 2022-01-25
TWI829761B (zh) 2024-01-21
TW202029602A (zh) 2020-08-01
EP3884321A1 (en) 2021-09-29
SG11202105177SA (en) 2021-06-29
US11029466B2 (en) 2021-06-08
US20200166703A1 (en) 2020-05-28

Similar Documents

Publication Publication Date Title
KR20220002239A (ko) 집적 레이저를 구비한 포토닉스 구조
US11550099B2 (en) Photonics optoelectrical system
KR102059891B1 (ko) 집적 도파관 커플러
US10690853B2 (en) Optoelectronics integration using semiconductor on insulator substrate
US10816724B2 (en) Fabricating photonics structure light signal transmission regions
US9966735B2 (en) III-V lasers with integrated silicon photonic circuits
US11075498B2 (en) Method of fabricating an optoelectronic component
CN111989777B (zh) 用于制造具有二极管的矩阵的光电器件的方法
KR20210022087A (ko) 다이오드 어레이를 구비하는 광전자 장치
US20180090636A1 (en) Semiconductor device and method of manufacturing the same
US10795084B2 (en) Grating with plurality of layers
CN109478764B (zh) 基于异质结构的集成光子装置、方法和应用
CN110178229B (zh) 具有提取增强的带有发光二极管的光电设备
US20230244029A1 (en) Photonics optoelectrical system
WO2020123008A1 (en) Photonics structure with integrated laser
KR20220086548A (ko) 포토닉스 구조 도전성 광 경로 제조
JP2017054859A (ja) 半導体発光デバイス
TWI787807B (zh) 光電子元件與其形成方法
US20220013988A1 (en) Optoelectronic device and method of manufacture thereof
TW202310435A (zh) 耦合系統
JP2022120811A (ja) ゲルマニウム半導体装置の製造方法及びゲルマニウム半導体装置

Legal Events

Date Code Title Description
A201 Request for examination