KR20210128795A - Semiconductor resist composition and method of forming patterns using the composition - Google Patents

Semiconductor resist composition and method of forming patterns using the composition Download PDF

Info

Publication number
KR20210128795A
KR20210128795A KR1020200046892A KR20200046892A KR20210128795A KR 20210128795 A KR20210128795 A KR 20210128795A KR 1020200046892 A KR1020200046892 A KR 1020200046892A KR 20200046892 A KR20200046892 A KR 20200046892A KR 20210128795 A KR20210128795 A KR 20210128795A
Authority
KR
South Korea
Prior art keywords
group
unsubstituted
substituted
formula
photoresist
Prior art date
Application number
KR1020200046892A
Other languages
Korean (ko)
Other versions
KR102538092B1 (en
Inventor
문경수
강은미
김재현
김지민
김태호
전환승
Original Assignee
삼성에스디아이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성에스디아이 주식회사 filed Critical 삼성에스디아이 주식회사
Priority to KR1020200046892A priority Critical patent/KR102538092B1/en
Publication of KR20210128795A publication Critical patent/KR20210128795A/en
Application granted granted Critical
Publication of KR102538092B1 publication Critical patent/KR102538092B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means

Abstract

One embodiment of the present invention provides a composition for a semiconductor photoresist having excellent resolution, solubility, and storage stability properties. More specifically, the present invention relates to a composition for a semiconductor photoresist and a method for forming a pattern using the same, wherein the composition for a semiconductor photoresist comprises: an organometallic compound represented by the following chemical formula 1; and a solvent.

Description

반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법{SEMICONDUCTOR RESIST COMPOSITION AND METHOD OF FORMING PATTERNS USING THE COMPOSITION}A composition for a semiconductor photoresist and a pattern formation method using the same

본 기재는 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법에 관한 것이다.The present disclosure relates to a composition for a semiconductor photoresist and a pattern forming method using the same.

차세대의 반도체 디바이스를 제조하기 위한 요소 기술의 하나로서, EUV(극자외선광) 리소그래피가 주목받고 있다. EUV 리소그래피는 노광 광원으로서 파장 13.5 nm의 EUV 광을 이용하는 패턴 형성 기술이다. EUV 리소그래피에 의하면, 반도체 디바이스 제조 프로세스의 노광 공정에서, 극히 미세한 패턴(예를 들어 20 nm 이하)을 형성할 수 있음이 실증되어 있다.EUV (extreme ultraviolet light) lithography is attracting attention as one of the elemental technologies for manufacturing next-generation semiconductor devices. EUV lithography is a pattern forming technique using EUV light having a wavelength of 13.5 nm as an exposure light source. According to EUV lithography, it has been demonstrated that an extremely fine pattern (for example, 20 nm or less) can be formed in the exposure step of a semiconductor device manufacturing process.

극자외선(extreme ultraviolet, EUV) 리소그래피의 구현은 16 nm 이하의 공간 해상도(spatial resolutions)에서 수행할 수 있는 호환 가능한 포토레지스트들의 현상(development)을 필요로 한다. 현재, 전통적인 화학 증폭형(CA: chemically amplified) 포토레지스트들은, 차세대 디바이스들을 위한 해상도(resolution), 광속도(photospeed), 및 피쳐 거칠기(feature roughness), 라인 에지 거칠기(line edge roughness 또는 LER)에 대한 사양(specifications)을 충족시키기 위해 노력하고 있다. Implementation of extreme ultraviolet (EUV) lithography requires the development of compatible photoresists that can perform at sub- 16 nm spatial resolutions. Currently, traditional chemically amplified (CA) photoresists are used for resolution, photospeed, and feature roughness, line edge roughness or LER for next-generation devices. Efforts are being made to meet specifications.

이들 고분자형 포토레지스트들에서 일어나는 산 촉매 반응들(acid catalyzed reactions)에 기인한 고유의 이미지 흐려짐(intrinsic image blur)은 작은 피쳐(feature) 크기들에서 해상도를 제한하는데, 이는 전자빔(e-beam) 리소그래피에서 오랫동안 알려져 왔던 사실이다. 화학 증폭형(CA) 포토레지스트들은 높은 민감도(sensitivity)를 위해 설계되었으나, 그것들의 전형적인 원소 구성(elemental makeup)이 13.5 nm의 파장에서 포토레지스트들의 흡광도를 낮추고, 그 결과 민감도를 감소시키기 때문에, 부분적으로는 EUV 노광 하에서 더 어려움을 겪을 수 있다.The intrinsic image blur due to acid catalyzed reactions taking place in these polymeric photoresists limits resolution at small feature sizes, which e-beam This is a fact that has long been known in lithography. Chemically amplified (CA) photoresists are designed for high sensitivity, but because their typical elemental makeup lowers the absorbance of photoresists at a wavelength of 13.5 nm, which in turn reduces sensitivity, may suffer more under EUV exposure.

CA 포토레지스트들은 또한, 작은 피쳐 크기들에서 거칠기(roughness) 이슈들로 인해 어려움을 겪을 수 있고, 부분적으로 산 촉매 공정들의 본질에 기인하여, 광속도(photospeed)가 감소함에 따라 라인 에지 거칠기(LER)가 증가하는 것이 실험으로 나타났다. CA 포토레지스트들의 결점들 및 문제들에 기인하여, 반도체 산업에서는 새로운 유형의 고성능 포토레지스트들에 대한 요구가 있다.CA photoresists may also suffer from roughness issues at small feature sizes, due in part to the nature of acid catalyzed processes, as line edge roughness (LER) decreases as photospeed decreases. has been shown to increase experimentally. Due to the drawbacks and problems of CA photoresists, there is a need in the semiconductor industry for new types of high performance photoresists.

텅스텐, 및 니오븀(niobium), 티타늄(titanium), 및/또는 탄탈륨(tantalum)과 혼합된 텅스텐의 퍼옥소폴리산(peroxopolyacids)에 기초한 무기 포토레지스트들은 패터닝을 위한 방사민감성 재료들(radiation sensitive materials)용으로 보고되어 왔다 (US5061599,; H. Okamoto, T. Iwayanagi, K. Mochiji, H. Umezaki, T. Kudo, Applied Physics Letters, 49(5), 298-300, 1986).Inorganic photoresists based on tungsten and peroxopolyacids of tungsten mixed with niobium, titanium, and/or tantalum are radiation sensitive materials for patterning. has been reported for use (US5061599,; H. Okamoto, T. Iwayanagi, K. Mochiji, H. Umezaki, T. Kudo, Applied Physics Letters, 49(5), 298-300, 1986).

이들 재료들은 원자외선(deep UV), x-선, 및 전자빔 소스들로써 이중층 구성(bilayer configuration)에 큰 피쳐들을 패터닝 함에 있어서 효과적이었다. 더 최근에는, 프로젝션 EUV 노광에 의해 15 nm 하프-피치(HP)를 이미징(image)하기 위해 퍼옥소 착화제(peroxo complexing agent)와 함께 양이온 하프늄 메탈 옥사이드 설페이트(cationic hafnium metal oxide sulfate, HfSOx) 재료를 사용하는 경우 인상적인 성능을 보였다(US2011-0045406,; J. K. Stowers, A. Telecky, M. Kocsis, B. L. Clark, D. A. Keszler, A. Grenville, C. N. Anderson, P. P. Naulleau, Proc. SPIE, 7969, 796915, 2011). 이 시스템은 비-CA 포토레지스트(non-CA photoresist)의 최상의 성능을 보였고, 실행 가능한 EUV 포토레지스트를 위한 요건에 접근하는 광속도를 갖는다. 그러나 퍼옥소 착화제를 갖는 하프늄 메탈 옥사이드 설페이트 재료(hafnium metal oxide sulfate materials)는 몇가지 현실적인 결점들을 갖는다. 첫째, 이 재료들은 높은 부식성의 황산(corrosive sulfuric acid)/과산화수소(hydrogen peroxide) 혼합물에서 코팅되며, 보존기간(shelf-life) 안정성(stability)이 좋지 않다. 둘째, 복합 혼합물로서 성능 개선을 위한 구조변경이 용이하지 않다. 셋째, 25 wt% 정도의 극히 높은 농도의 TMAH (tetramethylammonium hydroxide) 용액 등에서 현상되어야 한다.These materials were effective in patterning large features in a bilayer configuration with deep UV, x-ray, and electron beam sources. More recently, cationic hafnium metal oxide sulfate (HfSOx) materials with a peroxo complexing agent to image 15 nm half-pitch (HP) by projection EUV exposure. showed impressive performance when using ). This system showed the best performance of a non-CA photoresist and had a light speed approaching the requirements for a viable EUV photoresist. However, hafnium metal oxide sulfate materials with peroxo complexing agents have several practical drawbacks. First, these materials are coated in a highly corrosive sulfuric acid/hydrogen peroxide mixture and have poor shelf-life stability. Second, it is not easy to change the structure to improve performance as a complex mixture. Third, it should be developed in an extremely high concentration of TMAH (tetramethylammonium hydroxide) solution of about 25 wt%.

상기 설명한 화학 증폭형 유기계 감광성 조성물의 단점을 극복하기 위하여 무기계 감광성 조성물이 연구되어 왔다. 무기계 감광성 조성물의 경우 주로 비화학 증폭형 기작에 의한 화학적 변성으로 현상제 조성물에 의한 제거에 내성을 갖는 네거티브 톤 패터닝에 사용된다. 무기계 조성물의 경우 탄화수소에 비해 높은 EUV 흡수율을 가진 무기계 원소를 함유하고 있어, 비화학 증폭형 기작으로도 민감성이 확보될 수 있으며, 스토캐스틱 효과에도 덜 민감하여 선 에지 거칠기 및 결함 개수도 적다고 알려져 있다.In order to overcome the disadvantages of the chemically amplified organic photosensitive composition described above, an inorganic photosensitive composition has been studied. In the case of an inorganic photosensitive composition, it is mainly used for negative tone patterning that is resistant to removal by a developer composition due to chemical modification by a non-chemical amplification mechanism. In the case of an inorganic composition, it contains inorganic elements with higher EUV absorption compared to hydrocarbons, so sensitivity can be secured even with a non-chemical amplification mechanism, and it is less sensitive to the stochastic effect, so it is known that the roughness of the line edge and the number of defects are small. .

최근 주석을 포함하는 분자가 극자외선 흡수가 탁월하다는 것이 알려지면서 활발한 연구가 이루어지고 있다. 그 중 하나인 유기주석 고분자의 경우 광흡수 또는 이에 의해 생성된 이차 전자에 의해 알킬 리간드가 해리되면서, 주변 사슬과의 옥소 결합을 통한 가교를 통해 유기계 현상액으로 제거되지 않는 네거티브 톤 패터닝이 가능하다. 이와 같은 유기주석 고분자는 해상도, 라인 에지 거칠기를 유지하면서도 비약적으로 감도가 향상됨을 보여주었으나, 상용화를 위해서는 상기 패터닝 특성의 추가적인 향상이 필요하다. Recently, active research is being conducted as it is known that molecules containing tin have excellent absorption of extreme ultraviolet rays. In the case of an organotin polymer, which is one of them, as the alkyl ligand is dissociated by light absorption or secondary electrons generated thereby, negative tone patterning that is not removed with an organic developer is possible through cross-linking through oxo bonds with surrounding chains. Such an organotin polymer showed a dramatic improvement in sensitivity while maintaining resolution and line edge roughness, but further improvement of the patterning properties is required for commercialization.

일 구현예는 해상도, 용해도, 및 보관안정성 특성이 우수한 반도체 포토레지스트용 조성물을 제공한다. One embodiment provides a composition for a semiconductor photoresist having excellent resolution, solubility, and storage stability characteristics.

다른 구현예는 상기 반도체 포토레지스트용 조성물을 이용한 패턴 형성 방법을 제공한다.Another embodiment provides a pattern forming method using the composition for a semiconductor photoresist.

일 구현예에 따른 반도체 포토레지스트용 조성물은 하기 화학식 1로 표현되는 유기금속 화합물 및 용매를 포함한다.The composition for a semiconductor photoresist according to an embodiment includes an organometallic compound represented by the following Chemical Formula 1 and a solvent.

[화학식 1][Formula 1]

Figure pat00001
Figure pat00001

상기 화학식 1에서,In Formula 1,

R은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 하나 이상의 이중 결합 또는 삼중 결합을 포함하는 치환 또는 비치환된 C2 내지 C20 지방족 불포화 유기기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,R is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 aliphatic unsaturated organic group containing one or more double or triple bonds, a substituted or unsubstituted is a C6 to C30 aryl group, an ethylene oxide group, a propylene oxide group, or a combination thereof,

X, Y, 및 Z는 각각 독립적으로 -SR1 또는 -SC(=O)R2 이고,X, Y, and Z are each independently -SR 1 or -SC(=O)R 2 ,

상기 R1은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,Wherein R 1 is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted a cyclic C6 to C30 aryl group, or a combination thereof,

R2는 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이다.R 2 is hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or an unsubstituted C6 to C30 aryl group, or a combination thereof.

R은 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 하나 이상의 이중 결합 또는 삼중 결합을 포함하는 치환 또는 비치환된 C2 내지 C8 지방족 불포화 유기기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,R is a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 aliphatic unsaturated organic group containing one or more double or triple bonds, a substituted or unsubstituted is a C6 to C20 aryl group, an ethylene oxide group, a propylene oxide group, or a combination thereof,

R1은 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합이고,R 1 is a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, a substituted or unsubstituted a C6 to C20 aryl group, or a combination thereof,

R2는 수소, 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합일 수 있다.R 2 is hydrogen, a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, a substituted or It may be an unsubstituted C6 to C20 aryl group, or a combination thereof.

상기 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,The methyl group, ethyl group, propyl group, butyl group, isopropyl group, tert-butyl group, 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group, propenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, an ethylene oxide group, a propylene oxide group, or a combination thereof;

R1은 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합이고,R 1 is a methyl group, an ethyl group, a propyl group, a butyl group, an isopropyl group, a tert-butyl group, a 2,2-dimethylpropyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, an ethenyl group, a pro a phenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or a combination thereof;

R2는 수소, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합일 수 있다.R 2 is hydrogen, methyl group, ethyl group, propyl group, butyl group, isopropyl group, tert-butyl group, 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group , a propenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or a combination thereof.

상기 화학식 1로 표시되는 화합물은 하기 화학식 2로 표시되는 화합물, 화학식 3으로 표시되는 화합물, 화학식 4로 표시되는 화합물, 화학식 5로 표시되는 화합물, 또는 이들의 조합을 포함할 수 있다.The compound represented by Formula 1 may include a compound represented by Formula 2 below, a compound represented by Formula 3, a compound represented by Formula 4, a compound represented by Formula 5, or a combination thereof.

[화학식 2][Formula 2]

Figure pat00002
Figure pat00002

[화학식 3][Formula 3]

Figure pat00003
Figure pat00003

[화학식 4][Formula 4]

Figure pat00004
Figure pat00004

[화학식 5][Formula 5]

Figure pat00005
Figure pat00005

상기 화학식 2, 화학식 3, 화학식 4, 및 화학식 5에서,In Formula 2, Formula 3, Formula 4, and Formula 5,

R은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 하나 이상의 이중 결합 또는 삼중 결합을 포함하는 치환 또는 비치환된 C2 내지 C20 지방족 불포화 유기기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,R is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 aliphatic unsaturated organic group containing one or more double or triple bonds, a substituted or unsubstituted is a C6 to C30 aryl group, an ethylene oxide group, a propylene oxide group, or a combination thereof,

Ra, Rb, Rc, Ri, Rk, 및 Rl은 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,R a , R b , R c , R i , R k , and R 1 are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to a C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof;

Rd, Re, Rf, Rg, Rh, 및 Rj은 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이다.R d , Re , R f , R g , R h , and R j are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted a C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof.

상기 반도체 포토레지스트용 조성물 100 중량%를 기준으로, 상기 화학식 1로 표현되는 유기금속 화합물 1 내지 30 중량%를 포함할 수 있다.Based on 100 wt% of the composition for a semiconductor photoresist, 1 to 30 wt% of the organometallic compound represented by Chemical Formula 1 may be included.

상기 반도체 포토레지스트용 조성물은 계면활성제, 가교제, 레벨링제, 또는 이들의 조합의 첨가제를 더 포함할 수 있다.The composition for a semiconductor photoresist may further include an additive of a surfactant, a crosslinking agent, a leveling agent, or a combination thereof.

다른 구현예에 따른 패턴 형성 방법은 기판 위에 식각 대상 막을 형성하는 단계, 상기 식각 대상 막 위에 전술한 반도체 포토레지스트용 조성물을 적용하여 포토레지스트 막을 형성하는 단계, 상기 포토레지스트 막을 패터닝하여 포토레지스트 패턴을 형성하는 단계 및 상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함한다.A pattern forming method according to another embodiment includes forming an etch target film on a substrate, applying the above-described composition for a semiconductor photoresist on the etch target film to form a photoresist film, patterning the photoresist film to form a photoresist pattern and etching the etch target layer using the photoresist pattern as an etch mask.

상기 포토레지스트 패턴을 형성하는 단계는 5 nm 내지 150 nm 파장의 광을 사용할 수 있다.In the forming of the photoresist pattern, light having a wavelength of 5 nm to 150 nm may be used.

상기 패턴 형성 방법은 상기 기판과 상기 포토레지스트 막 사이에 형성되는 레지스트 하층막을 제공하는 단계를 더 포함할 수 있다.The pattern forming method may further include providing a resist underlayer formed between the substrate and the photoresist layer.

상기 포토레지스트 패턴은 5 nm 내지 100 nm의 폭을 가질 수 있다.The photoresist pattern may have a width of 5 nm to 100 nm.

일 구현예에 따른 반도체 포토레지스트용 조성물은 상대적으로 해상도가 우수하고 취급이 용이하므로, 이를 이용하면 한계 해상도가 우수하고 높은 종횡비(aspect ratio)를 가지더라도 패턴이 무너지지 않는 포토레지스트 패턴을 제공할 수 있다.Since the composition for a semiconductor photoresist according to an embodiment has relatively excellent resolution and is easy to handle, it can provide a photoresist pattern that has excellent limit resolution and does not collapse even if it has a high aspect ratio. have.

도 1 내지 도 5는 일 구현예에 따른 반도체 포토레지스트용 조성물을 이용한 패턴 형성방법을 설명하기 위한 단면도이다.1 to 5 are cross-sectional views for explaining a pattern forming method using a composition for a semiconductor photoresist according to an exemplary embodiment.

이하, 첨부된 도면을 참조하여 본 발명의 실시예들을 상세하게 설명하면 다음과 같다. 다만, 본 기재를 설명함에 있어서, 이미 공지된 기능 혹은 구성에 대한 설명은, 본 기재의 요지를 명료하게 하기 위하여 생략하기로 한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, in describing the present description, descriptions of already known functions or configurations will be omitted in order to clarify the gist of the present description.

본 기재를 명확하게 설명하기 위해서 설명과 관계없는 부분을 생략하였으며, 명세서 전체를 통하여 동일 또는 유사한 구성요소에 대해서는 동일한 참조 부호를 붙이도록 한다. 또한, 도면에서 나타난 각 구성의 크기 및 두께는 설명의 편의를 위해 임의로 나타내었으므로 본 기재가 반드시 도시된 바에 한정되지 않는다.In order to clearly explain the present description, parts irrelevant to the description are omitted, and the same reference numerals are assigned to the same or similar components throughout the specification. In addition, since the size and thickness of each component shown in the drawings are arbitrarily indicated for convenience of description, the present description is not necessarily limited to the illustrated bar.

도면에서 여러 층 및 영역을 명확하게 표현하기 위하여 두께를 확대하여 나타내었다. 그리고 도면에서 설명의 편의를 위해 일부 층 및 영역의 두께를 과장되게 나타내었다. 층, 막, 영역, 판 등의 부분이 다른 부분 "위에" 또는 "상에" 있다고 할 때, 이는 다른 부분 "바로 위에" 있는 경우뿐 아니라 그 중간에 또 다른 부분이 있는 경우도 포함한다.In order to clearly express various layers and regions in the drawings, the thicknesses are enlarged. In addition, in the drawings, thicknesses of some layers and regions are exaggerated for convenience of description. When a part, such as a layer, film, region, plate, etc., is "on" or "on" another part, it includes not only cases where it is "directly on" another part, but also cases where there is another part in between.

본 기재에서, "치환"이란 수소 원자가 중수소, 할로겐기, 히드록시기, 시아노기, 니트로기, -NRR’(여기서, R 및 R’은, 각각 독립적으로, 수소, 치환 또는 비치환된 C1 내지 C30 포화 또는 불포화 지방족 탄화수소기, 치환 또는 비치환된 C3 내지 C30 포화 또는 불포화 지환족 탄화수소기, 또는 치환 또는 비치환된 C6 내지 C30 방향족 탄화수소기이다), -SiRR’R” (여기서, R, R’, 및 R”은, 각각 독립적으로, 수소, 치환 또는 비치환된 C1 내지 C30 포화 또는 불포화 지방족 탄화수소기, 치환 또는 비치환된 C3 내지 C30 포화 또는 불포화 지환족 탄화수소기, 또는 치환 또는 비치환된 C6 내지 C30 방향족 탄화수소기이다), C1 내지 C30 알킬기, C1 내지 C10 할로알킬기, C1 내지 C10 알킬실릴기, C3 내지 C30 사이클로알킬기, C6 내지 C30 아릴기, C1 내지 C20 알콕시기, 또는 이들의 조합으로 치환된 것을 의미한다. "비치환"이란 수소 원자가 다른 치환기로 치환되지 않고 수소 원자로 남아있는 것을 의미한다.In the present description, "substituted" means that a hydrogen atom is deuterium, a halogen group, a hydroxyl group, a cyano group, a nitro group, -NRR' (wherein R and R' are each independently hydrogen, a substituted or unsubstituted C1 to C30 saturated or an unsaturated aliphatic hydrocarbon group, a substituted or unsubstituted C3 to C30 saturated or unsaturated alicyclic hydrocarbon group, or a substituted or unsubstituted C6 to C30 aromatic hydrocarbon group), -SiRR'R" (where R, R', and R" are each independently hydrogen, a substituted or unsubstituted C1 to C30 saturated or unsaturated aliphatic hydrocarbon group, a substituted or unsubstituted C3 to C30 saturated or unsaturated alicyclic hydrocarbon group, or a substituted or unsubstituted C6 to C30 aromatic hydrocarbon group), C1 to C30 alkyl group, C1 to C10 haloalkyl group, C1 to C10 alkylsilyl group, C3 to C30 cycloalkyl group, C6 to C30 aryl group, C1 to C20 alkoxy group, or a combination thereof means that "Unsubstituted" means that a hydrogen atom remains as a hydrogen atom without being substituted with another substituent.

본 명세서에서 "알킬(alkyl)기"이란, 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형 지방족 탄화수소기를 의미한다. 알킬기는 어떠한 이중결합이나 삼중결합을 포함하고 있지 않은 "포화 알킬(saturated alkyl)기"일 수 있다.As used herein, the term "alkyl group" refers to a straight-chain or branched-chain aliphatic hydrocarbon group, unless otherwise defined. The alkyl group may be a “saturated alkyl group” that does not contain any double or triple bonds.

상기 알킬기는 C1 내지 C8인 알킬기일 수 있다. 예를 들어, 상기 알킬기는 C1 내지 C7 알킬기, C1 내지 C6 알킬기, C1 내지 C5 알킬기, 또는 C1 내지 C4 알킬기일 수 있다. 예를 들어, C1 내지 C4 알킬기는 메틸기, 에틸기, 프로필기, 아이소프로필기, n-부틸기, 아이소부틸기, sec-부틸기, 또는 tert-부틸기, 2,2-디메틸프로필기일 수 있다.The alkyl group may be a C1 to C8 alkyl group. For example, the alkyl group may be a C1 to C7 alkyl group, a C1 to C6 alkyl group, a C1 to C5 alkyl group, or a C1 to C4 alkyl group. For example, the C1 to C4 alkyl group may be a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, an isobutyl group, a sec-butyl group, or a tert-butyl group or a 2,2-dimethylpropyl group.

본 기재에서 "사이클로알킬(cycloalkyl)기"란 별도의 정의가 없는 한, 1가의 고리형 지방족 포화 탄화수소기를 의미한다.As used herein, the term "cycloalkyl group" refers to a monovalent cyclic aliphatic saturated hydrocarbon group unless otherwise defined.

사이클로알킬기는 C3 내지 C8 사이클로알킬기, 예를 들어, C3 내지 C7 사이클로알킬기, C3 내지 C6 사이클로알킬기, C3 내지 C5 사이클로알킬기, C3 내지 C4 사이클로알킬기일 수 있다. 예를 들어, 사이클로알킬기는 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기일 수 있고, 이들에 제한되지 않는다.The cycloalkyl group may be a C3 to C8 cycloalkyl group, for example, a C3 to C7 cycloalkyl group, a C3 to C6 cycloalkyl group, a C3 to C5 cycloalkyl group, or a C3 to C4 cycloalkyl group. For example, the cycloalkyl group may be a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, or a cyclohexyl group, but is not limited thereto.

본 명세서에서, "지방족 불포화 유기기"란, 분자중의 탄소와 탄소 원자 사이의 결합이 이중 결합, 삼중 결합, 또는 이들의 조합인 결합을 포함하는 탄화수소기를 의미한다.As used herein, the term "aliphatic unsaturated organic group" refers to a hydrocarbon group including a bond in which a bond between carbon and a carbon atom in a molecule is a double bond, a triple bond, or a combination thereof.

상기 지방족 불포화 유기기는 C2 내지 C8 지방족 불포화 유기기일 수 있다. 예를 들어, 상기 지방족 불포화 유기기는 C2 내지 C7 지방족 불포화 유기기, C2 내지 C6 지방족 불포화 유기기, C2 내지 C5 지방족 불포화 유기기, C2 내지 C4 지방족 불포화 유기기일 수 있다. 예를 들어, C2 내지 C4 지방족 불포화 유기기는 바이닐기, 에타이닐기, 알릴기, 1-프로페닐기, 1-메틸-1-프로페닐기, 2-프로페닐기, 2-메틸-2-프로페닐기, 1-프로파이닐기, 1-메틸-1프로파이닐기, 2-프로파이닐기, 2-메틸-2-프로파이닐기, 1-부테닐기, 2-부테닐기, 3-부테닐기, 1-부타이닐기, 2-부타이닐기, 3-부타이닐기 일 수 있다.The aliphatic unsaturated organic group may be a C2 to C8 aliphatic unsaturated organic group. For example, the aliphatic unsaturated organic group may be a C2 to C7 aliphatic unsaturated organic group, a C2 to C6 aliphatic unsaturated organic group, a C2 to C5 aliphatic unsaturated organic group, or a C2 to C4 aliphatic unsaturated organic group. For example, the C2 to C4 aliphatic unsaturated organic group is a vinyl group, ethynyl group, allyl group, 1-propenyl group, 1-methyl-1-propenyl group, 2-propenyl group, 2-methyl-2-propenyl group, 1- propynyl group, 1-methyl-1 propynyl group, 2-propynyl group, 2-methyl-2-propynyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 1-butynyl group, 2 It may be a butynyl group or a 3-butynyl group.

본 명세서에서, "아릴(aryl)기"는, 고리형인 치환기의 모든 원소가 p-오비탈을 가지고 있으며, 이들 p-오비탈이 공액(conjugation)을 형성하고 있는 치환기를 의미하고, 모노사이클릭 또는 융합 고리 폴리사이클릭(즉, 탄소원자들의 인접한 쌍들을 나눠 가지는 고리) 작용기를 포함한다.As used herein, the term "aryl group" refers to a substituent in which all elements of a cyclic substituent have p-orbitals, and these p-orbitals form a conjugate, monocyclic or fusion ring polycyclic (ie, rings that share adjacent pairs of carbon atoms) functional groups.

본 명세서에서, “알케닐(alkenyl)기”란, 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형의 지방족 탄화수소기로서, 하나 이상의 이중결합을 포함하고 있는 지방족 불포화 알케닐(unsaturated alkenyl)기를 의미한다.As used herein, the term "alkenyl group", unless otherwise defined, is a straight-chain or branched aliphatic hydrocarbon group, and refers to an aliphatic unsaturated alkenyl group containing one or more double bonds. do.

본 명세서에서, “알카이닐(alkynyl)기”란, 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형의 지방족 탄화수소기로서, 하나 이상의 삼중결합을 포함하고 있는 지방족 불포화 알카이닐(unsaturated alkynyl)기를 의미한다.As used herein, the term “alkynyl group” refers to a straight or branched aliphatic hydrocarbon group, unless otherwise defined, an aliphatic unsaturated alkynyl group containing one or more triple bonds. do.

이하 일 구현예에 따른 반도체 포토레지스트용 조성물을 설명한다.Hereinafter, a composition for a semiconductor photoresist according to an embodiment will be described.

본 발명의 일 구현예에 따른 반도체 포토레지스트용 조성물은 유기금속 화합물 및 용매를 포함하며, 상기 유기금속 화합물은 하기 화학식 1로 표현된다.The composition for a semiconductor photoresist according to an embodiment of the present invention includes an organometallic compound and a solvent, and the organometallic compound is represented by the following formula (1).

[화학식 1] [Formula 1]

Figure pat00006
Figure pat00006

상기 화학식 1에서,In Formula 1,

R은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 하나 이상의 이중 결합 또는 삼중 결합을 포함하는 치환 또는 비치환된 C2 내지 C20 지방족 불포화 유기기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,R is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 aliphatic unsaturated organic group containing one or more double or triple bonds, a substituted or unsubstituted is a C6 to C30 aryl group, an ethylene oxide group, a propylene oxide group, or a combination thereof,

X, Y, 및 Z는 각각 독립적으로 -SR1 또는 -SC(=O)R2 이고,X, Y, and Z are each independently -SR 1 or -SC(=O)R 2 ,

상기 R1은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,Wherein R 1 is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted a cyclic C6 to C30 aryl group, or a combination thereof,

R2는 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이다.R 2 is hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or an unsubstituted C6 to C30 aryl group, or a combination thereof.

상기 화학식 1 로 표시되는 화합물은 유기주석 화합물로서, 주석은 13.5 nm 에서 극자외선 광을 강하게 흡수하여 고에너지를 갖는 광에 대한 감도가 우수할 수 있고, 상기 화학식 1의 R은 화학식 1로 표시되는 화합물에 감광성을 부여할 수 있으며, R이 주석에 결합되어 Sn-R 결합을 형성함에 따라 유기주석 화합물에 유기 용매에 대한 용해성을 부여할 수 있다. 또한, -SR1 또는 -SC(=O)R2인 화학식 1의 X, Y, 및 Z는 상기 화합물의 용매에 대한 용해도를 결정할 수 있다.The compound represented by Formula 1 is an organotin compound, and tin strongly absorbs extreme ultraviolet light at 13.5 nm and thus has excellent sensitivity to light having high energy. R of Formula 1 may impart photosensitivity to the compound represented by Formula 1, and as R is bonded to tin to form a Sn-R bond, solubility in an organic solvent may be imparted to the organotin compound. In addition, X, Y, and Z of Formula 1 that is -SR 1 or -SC(=O)R 2 may determine the solubility of the compound in a solvent.

상기 화학식 1의 R과 관련하여, 상기 화학식 1로 표시되는 구조단위를 가지는 유기주석 화합물은 극자외선 노광 시 Sn-R결합으로부터 R 작용기가 해리되면서 라디칼을 생성하고, 이와 같이 생성된 라디칼은 -Sn-Sn- 결합을 형성 후 가수분해 및 탈수축합 되어 주석 옥사이드 중합체 간 가교 반응을 촉진함으로써 일 구현예에 따른 조성물로부터 반도체 포토레지스트가 형성되도록 한다.With respect to R in Formula 1, the organotin compound having the structural unit represented by Formula 1 is formed from the Sn-R bond when exposed to extreme ultraviolet light. The functional group dissociates to form radicals, The radicals thus generated are hydrolyzed and condensed by dehydration after forming a -Sn-Sn- bond to promote a crosslinking reaction between tin oxide polymers, thereby forming a semiconductor photoresist from the composition according to an embodiment.

한편, 상기 화학식 1로 표시되는 화합물은 주석 원소에 연결되는 리간드로서 X, Y, 및 Z를 포함하고 있는데, 상기 X, Y, 및 Z는 각각 독립적으로 -SR1 또는 -SC(=O)R2이 될 수 있다. 이들 유기 리간드는 산성 또는 염기성 촉매 하에서 열처리하거나, 또는 열처리하지 않음으로써 가수분해 및 탈수축합 되어 유기주석 화합물 간 Sn-O-Sn 결합을 형성하고, 이로써 상기 화학식 1로 표시되는 유기금속 화합물로부터 유도된 유기주석 옥사이드 중합체를 형성하게 된다.On the other hand, the compound represented by Formula 1 includes X, Y, and Z as a ligand connected to a tin element, wherein X, Y, and Z are each independently -SR 1 or -SC(=O)R 2 can be These organic ligands are hydrolyzed and dehydrated by heat treatment under an acidic or basic catalyst or without heat treatment to form a Sn—O—Sn bond between organotin compounds, thereby deriving from the organometallic compound represented by Formula 1 above. An organotin oxide polymer is formed.

상기 Sn-S간의 결합은 예를 들어, 기존의 Sn-O 간의 결합 보다 결합 세기가 더 강하여 해당 결합의 가수분해가 비교적 잘 이루어지지 않는 경향이 있다. 따라서, 상기 Sn-S 결합을 포함하는 본 발명의 유기금속 화합물은 물에 대한 안정성이 높기 때문에 상기 화합물을 포함하는 반도체 포토레지스트용 조성물은 취급이 용이하며 보관안정성 및 용해도 특성이 향상될 수 있다.The Sn-S bond is, for example, stronger than the existing Sn-O bond, so that hydrolysis of the bond tends to be relatively difficult. Therefore, since the organometallic compound of the present invention including the Sn-S bond has high water stability, the composition for a semiconductor photoresist including the compound is easy to handle, and storage stability and solubility characteristics can be improved.

이와 같이 상기 Sn-S 간의 결합 세기는 비교적 강한 편이기 때문에, 유기주석화합물 간의 중합반응 진행 시, 예를 들어 Sn-O 결합을 포함하는 유기주석화합물 간의 중합 반응 보다 중합 반응이 더디게 진행될 수 있다. 중합 반응이 더디게 진행됨에 따라, 중합 반응의 결과로 생성되는 유기주석 공중합체의 1차 입자의 크기는 상대적으로 크기가 작아지게 되고, 그 결과 상기 유기주석 중합체를 포함하는 반도체 포토레지스트용 조성물의 해상도는 향상될 수 있다.As such, since the bonding strength between Sn-S is relatively strong, the polymerization reaction between organotin compounds may proceed more slowly than, for example, polymerization between organotin compounds including Sn-O bonds. As the polymerization reaction proceeds slowly, the size of the primary particles of the organotin copolymer produced as a result of the polymerization reaction becomes relatively small, and as a result, the resolution of the composition for semiconductor photoresists including the organotin polymer can be improved.

상기 R은, 예를 들어, 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 하나 이상의 이중 결합 또는 삼중 결합을 포함하는 치환 또는 비치환된 C2 내지 C8 지방족 불포화 유기기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합일 수 있고, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합일 수 있다.R is, for example, a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 aliphatic unsaturated oil containing one or more double bonds or triple bonds It may be a group, a substituted or unsubstituted C6 to C20 aryl group, an ethylene oxide group, a propylene oxide group, or a combination thereof, for example, a methyl group, an ethyl group, a propyl group, a butyl group, an isopropyl group, tert- Butyl group, 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group, propenyl group, butenyl group, etynyl group, propynyl group, butynyl group, phenyl group, tolyl group , a xylene group, a benzyl group, an ethylene oxide group, a propylene oxide group, or a combination thereof.

상기 R1은, 예를 들어, 각각 독립적으로 각각 독립적으로 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합일 수 있고, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합일 수 있다.R 1 is, for example, each independently each independently a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted It may be a cyclic C2 to C8 alkynyl group, a substituted or unsubstituted C6 to C20 aryl group, or a combination thereof, for example, a methyl group, an ethyl group, a propyl group, a butyl group, an isopropyl group, a tert-butyl group , 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group, propenyl group, butenyl group, ethynyl group, propynyl group, butynyl group, phenyl group, tolyl group, xylene group, benzyl group, or a combination thereof.

상기 R2는, 예를 들어, 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합일 수 있고, 예를 들어, 수소, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합일 수 있다.R 2 is, for example, each independently hydrogen, a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted a C2 to C8 alkynyl group, a substituted or unsubstituted C6 to C20 aryl group, or a combination thereof, for example, hydrogen, a methyl group, an ethyl group, a propyl group, a butyl group, an isopropyl group, tert-butyl group, 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group, propenyl group, butenyl group, ethynyl group, propynyl group, butynyl group, phenyl group, tolyl group, It may be a xylene group, a benzyl group, or a combination thereof.

상기 화학식 1로 표시되는 화합물은 하기 화학식 2로 표시되는 화합물, 화학식 3으로 표시되는 화합물, 화학식 4로 표시되는 화합물, 화학식 5로 표시되는 화합물, 또는 이들의 조합을 포함할 수 있다.The compound represented by Formula 1 may include a compound represented by Formula 2 below, a compound represented by Formula 3, a compound represented by Formula 4, a compound represented by Formula 5, or a combination thereof.

[화학식 2][Formula 2]

Figure pat00007
Figure pat00007

[화학식 3][Formula 3]

Figure pat00008
Figure pat00008

[화학식 4][Formula 4]

Figure pat00009
Figure pat00009

[화학식 5][Formula 5]

Figure pat00010
Figure pat00010

상기 화학식 2 내지 화학식 5에서,In Formulas 2 to 5,

R은 상기 화학식 1에서 정의한 것과 같고,R is as defined in Formula 1 above,

Ra, Rb, Rc, Ri, Rk, 및 Rl은, 각각 독립적으로, 상기 화학식 1의 R1에 대해 정의한 것과 같고,R a , R b , R c , R i , R k , and R 1 are each independently the same as defined for R 1 in Formula 1 above,

Rd, Re, Rf, Rg, Rh, 및 Rj는, 각각 독립적으로, 상기 화학식 1의 R2에 대해 정의한 것과 같다.R d , R e , R f , R g , R h , and R j are each independently the same as defined for R 2 in Formula 1 above.

일 구현예에 따른 발명은, 상기 화학식 1 내지 화학식 5로 나타낸 바와 같이, 주석 원자에 각각 3개의 황 원자와 연결된 치환기를 갖는 화합물을 포함하는 반도체 포토레지스트용 조성물을 제공하는 바, 이러한 포토레지스트용 조성물은 상대적으로 해상도가 향상되고, 패턴 형성성이 우수하여, 높은 종횡비(aspect ratio)를 가지더라도 패턴이 무너지지 않는다.The invention according to one embodiment provides a composition for a semiconductor photoresist comprising a compound having a substituent connected to each of three sulfur atoms on a tin atom as shown in Chemical Formulas 1 to 5, wherein the composition for such a photoresist is provided. The composition has relatively improved resolution and excellent pattern formation, so that the pattern does not collapse even if it has a high aspect ratio.

일 구현예에 따른 반도체 포토레지스트 조성물에서, 상기 화학식 1로 표현되는 유기금속 화합물은 상기 조성물의 전체 중량을 기준으로 1 중량% 내지 30 중량%, 예를 들어, 1 중량% 내지 25 중량%, 예를 들어, 1 중량% 내지 20 중량%, 예를 들어, 1 중량% 내지 15 중량%, 예를 들어, 1 중량% 내지 10 중량%, 예를 들어, 1 중량% 내지 5 중량%의 함량으로 포함될 수 있고, 이들에 제한되지 않는다. 화학식 1로 표현되는 유기금속 화합물이 상기 범위의 함량으로 포함될 경우, 반도체 포토레지스트용 조성물의 보관안정성 및 용해도 특성이 향상되고, 박막 형성이 용이해지고, 해상도 특성이 개선된다.In the semiconductor photoresist composition according to an embodiment, the organometallic compound represented by Formula 1 is 1 wt% to 30 wt%, for example, 1 wt% to 25 wt%, based on the total weight of the composition For example, 1% to 20% by weight, for example, 1% to 15% by weight, for example, 1% to 10% by weight, for example, 1% to 5% by weight to be included in the content of may, but are not limited to these. When the organometallic compound represented by Formula 1 is included in an amount within the above range, storage stability and solubility characteristics of the composition for semiconductor photoresists are improved, thin film formation is facilitated, and resolution characteristics are improved.

일 구현예에 따른 반도체 포토레지스트 조성물에 포함되는 용매는 유기용매일 수 있으며, 일 예로, 방향족 화합물류(예를 들어, 자일렌, 톨루엔), 알콜류(예를 들어, 4-메틸-2-펜탄올, 4-메틸-2-프로판올, 1-부탄올, 메탄올, 이소프로필 알콜, 1-프로판올, 프로필렌 글리콜 모노메틸 에테르), 에테르류(예를 들어, 아니솔, 테트라하이드로푸란), 에스테르류(n-부틸 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트), 케톤류(예를 들어, 메틸 에틸 케톤, 2-헵타논), 이들의 혼합물 등을 포함할 수 있으나, 이에 한정되는 것은 아니다.The solvent included in the semiconductor photoresist composition according to the embodiment may be an organic solvent, for example, aromatic compounds (eg, xylene, toluene), alcohols (eg, 4-methyl-2-pentane). ol, 4-methyl-2-propanol, 1-butanol, methanol, isopropyl alcohol, 1-propanol, propylene glycol monomethyl ether), ethers (eg anisole, tetrahydrofuran), esters (n -Butyl acetate, propylene glycol monomethyl ether acetate, ethyl acetate, ethyl lactate), ketones (eg, methyl ethyl ketone, 2-heptanone), mixtures thereof, and the like may be included, but are not limited thereto. .

일 구현예에서, 상기 반도체 포토레지스트 조성물은 상기한 유기금속 화합물과 용매 외에, 추가로 수지를 더 포함할 수 있다. In one embodiment, the semiconductor photoresist composition may further include a resin in addition to the organometallic compound and the solvent.

상기 수지로는 하기 그룹 1에 나열된 방향족 모이어티를 적어도 하나 이상 포함하는 페놀계 수지일 수 있다. The resin may be a phenolic resin including at least one aromatic moiety listed in Group 1 below.

[그룹 1][Group 1]

Figure pat00011
Figure pat00011

상기 수지는 중량평균분자량이 500 내지 20,000일 수 있다.The resin may have a weight average molecular weight of 500 to 20,000.

상기 수지는 상기 반도체 포토레지스트용 조성물의 총 함량에 대하여 0.1 중량% 내지 50 중량%로 포함될 수 있다.The resin may be included in an amount of 0.1 wt% to 50 wt% based on the total content of the composition for a semiconductor photoresist.

상기 수지가 상기 함량 범위로 함유될 경우, 우수한 내식각성 및 내열성을 가질 수 있다.When the resin is contained in the above content range, it may have excellent etch resistance and heat resistance.

한편, 일 구현예에 따른 반도체 포토레지스트용 조성물은 전술한 유기금속 화합물과 용매, 및 수지로 이루어지는 것이 바람직하다. 다만, 전술한 구현예에 따른 반도체 포토레지스트용 조성물은 경우에 따라 첨가제를 더 포함할 수 있다. 상기 첨가제의 예시로는 계면활성제, 가교제, 레벨링제, 또는 이들의 조합을 들 수 있다.On the other hand, the composition for a semiconductor photoresist according to an embodiment is preferably made of the aforementioned organometallic compound, a solvent, and a resin. However, the composition for a semiconductor photoresist according to the above-described embodiment may further include an additive in some cases. Examples of the additive include a surfactant, a crosslinking agent, a leveling agent, or a combination thereof.

계면활성제는 예컨대 알킬벤젠설폰산 염, 알킬피리디늄 염, 폴리에틸렌글리콜, 제4 암모늄 염, 또는 이들의 조합을 사용할 수 있으나, 이에 한정되는 것은 아니다.The surfactant may be, for example, an alkylbenzenesulfonic acid salt, an alkylpyridinium salt, polyethylene glycol, a quaternary ammonium salt, or a combination thereof, but is not limited thereto.

가교제는 예컨대 멜라민계 가교제, 치환요소계 가교제, 또는 폴리머계 가교제 등을 들 수 있으나, 이에 한정되는 것은 아니다. 적어도 2개의 가교형성 치환기를 갖는 가교제로, 예를 들면, 메톡시메틸화 글리코루릴, 부톡시메틸화 글리코루릴, 메톡시메틸화 멜라민, 부톡시메틸화 멜라민, 메톡시메틸화 벤조구아나민, 부톡시메틸화 벤조구아나민, 메톡시메틸화요소, 부톡시메틸화요소, 또는 메톡시메틸화 티오요소 등의 화합물을 사용할 수 있다.The cross-linking agent may include, for example, a melamine-based cross-linking agent, a substituted urea-based cross-linking agent, or a polymer-based cross-linking agent, but is not limited thereto. Crosslinking agents having at least two crosslinking substituents, for example, methoxymethylated glycouryl, butoxymethylated glycouryl, methoxymethylated melamine, butoxymethylated melamine, methoxymethylated benzoguanamine, butoxymethylated benzoguanamine , methoxymethylated urea, butoxymethylated urea, or methoxymethylated thiourea may be used.

레벨링제는 인쇄시 코팅 평탄성을 향상시키기 위한 것으로, 상업적인 방법으로 입수 가능한 공지의 레벨링제를 사용할 수 있다.The leveling agent is for improving coating flatness during printing, and a known leveling agent available in a commercial manner may be used.

상기 이들 첨가제의 사용량은 원하는 물성에 따라 용이하게 조절될 수 있으며, 생략될 수도 있다.The amount of these additives used may be easily adjusted according to desired physical properties or may be omitted.

또한 상기 반도체 포토레지스트용 조성물은 기판과의 밀착력 등의 향상을 위해 (예컨대 반도체 포토레지스트용 조성물의 기판과의 접착력 향상을 위해), 접착력 증진제로서 실란 커플링제를 첨가제로 더 사용할 수 있다. 상기 실란 커플링제는 예컨대, 비닐트리메톡시실란, 비닐트리에톡시실란, 비닐 트리클로로실란, 비닐트리스(β-메톡시에톡시)실란; 또는 3-메타크릴옥시프로필트리메톡시실란, 3-아크릴옥시프로필트리메톡시실란, p-스티릴 트리메톡시실란, 3-메타크릴옥시프로필메틸디메톡시실란, 3-메타크릴옥시프로필메틸디 에톡시실란; 트리메톡시[3-(페닐아미노)프로필]실란 등의 탄소-탄소 불포화 결합 함유 실란 화합물 등을 사용할 수 있으나, 이에 한정되는 것은 아니다.In addition, the composition for a semiconductor photoresist may further use a silane coupling agent as an additive to improve adhesion to the substrate (eg, to improve adhesion of the composition for semiconductor photoresist to the substrate), and as an adhesion promoter. The silane coupling agent is, for example, vinyltrimethoxysilane, vinyltriethoxysilane, vinyl trichlorosilane, vinyltris(β-methoxyethoxy)silane; or 3-methacryloxypropyltrimethoxysilane, 3-acryloxypropyltrimethoxysilane, p-styryl trimethoxysilane, 3-methacryloxypropylmethyldimethoxysilane, 3-methacryloxypropylmethyldi ethoxysilane; A silane compound containing a carbon-carbon unsaturated bond such as trimethoxy[3-(phenylamino)propyl]silane may be used, but the present invention is not limited thereto.

상기 반도체 포토레지스트용 조성물은 높은 종횡비(aspect ratio)를 가지는 패턴을 형성해도 패턴 무너짐이 발생하지 않을 수 있다. 따라서, 예를 들어, 5nm 내지 100 nm의 폭을 가지는 미세 패턴, 예를 들어, 5 nm 내지 80 nm의 폭을 가지는 미세 패턴, 예를 들어, 5 nm 내지 70 nm의 폭을 가지는 미세 패턴, 예를 들어, 5 nm 내지 50 nm의 폭을 가지는 미세 패턴, 예를 들어, 5 nm 내지 40 nm의 폭을 가지는 미세 패턴, 예를 들어, 5 nm 내지 30 nm의 폭을 가지는 미세 패턴, 예를 들어, 5 nm 내지 20 nm의 폭을 가지는 미세 패턴을 형성하기 위하여, 5 nm 내지 150 nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5 nm 내지 100 nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5 nm 내지 80 nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5 nm 내지 50 nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5 nm 내지 30 nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5 nm 내지 20 nm 파장의 광을 사용하는 포토레지스트 공정에 사용할 수 있다. 따라서, 일 구현예에 따른 반도체 포토레지스트용 조성물을 이용하면, 약 13.5 nm 파장의 EUV 광원을 사용하는 극자외선 리소그래피를 구현할 수 있다. In the composition for a semiconductor photoresist, pattern collapse may not occur even when a pattern having a high aspect ratio is formed. Thus, for example, a micropattern having a width of 5 nm to 100 nm, for example a micropattern having a width of 5 nm to 80 nm, for example, a micropattern having a width of 5 nm to 70 nm, e.g. For example, a fine pattern having a width of 5 nm to 50 nm, for example, a fine pattern having a width of 5 nm to 40 nm, for example, a fine pattern having a width of 5 nm to 30 nm, for example , a photoresist process using light having a wavelength of 5 nm to 150 nm, for example, a photoresist process using light having a wavelength of 5 nm to 100 nm to form a fine pattern having a width of 5 nm to 20 nm , for example, a photoresist process using light with a wavelength of 5 nm to 80 nm, for example a photoresist process using light with a wavelength of 5 nm to 50 nm, for example, a photoresist process using light with a wavelength of 5 nm to 30 nm It can be used in a photoresist process using light, for example, a photoresist process using light having a wavelength of 5 nm to 20 nm. Therefore, using the composition for a semiconductor photoresist according to an embodiment, extreme ultraviolet lithography using an EUV light source having a wavelength of about 13.5 nm may be implemented.

한편, 다른 일 구현예에 따르면, 상술한 반도체 포토레지스트용 조성물을 사용하여 패턴을 형성하는 방법이 제공될 수 있다. 일 예로, 제조된 패턴은 포토레지스트 패턴일 수 있다. Meanwhile, according to another exemplary embodiment, a method of forming a pattern using the above-described composition for a semiconductor photoresist may be provided. For example, the manufactured pattern may be a photoresist pattern.

일 구현예에 다른 패턴 형성 방법은 기판 위에 식각 대상 막을 형성하는 단계, 상기 식각 대상 막 위에 전술한 반도체 포토레지스트용 조성물을 적용하여 포토레지스트 막을 형성하는 단계, 상기 포토레지스트 막을 패터닝하여 포토 레지스트 패턴을 형성하는 단계 및 상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함한다. According to another exemplary embodiment, a method for forming a pattern includes forming an etch target film on a substrate, applying the above-described composition for a semiconductor photoresist on the etch target film to form a photoresist film, patterning the photoresist film to form a photoresist pattern and etching the etch target layer using the photoresist pattern as an etch mask.

이하, 상술한 반도체 포토레지스트용 조성물을 사용하여 패턴을 형성하는 방법에 대하여 도 1 내지 5를 참고하여 설명한다. 도 1 내지 도 5는 본 발명에 따른 반도체 포토레지스트용 조성물을 이용한 패턴 형성 방법을 설명하기 위한 단면도들이다. Hereinafter, a method of forming a pattern using the above-described composition for a semiconductor photoresist will be described with reference to FIGS. 1 to 5 . 1 to 5 are cross-sectional views illustrating a pattern forming method using a composition for a semiconductor photoresist according to the present invention.

도 1을 참조하면, 우선 식각 대상물을 마련한다. 상기 식각 대상물의 예로서는 반도체 기판(100) 상에 형성되는 박막(102)일 수 있다. 이하에서는 상기 식각 대상물이 박막(102)인 경우에 한해 설명한다. 상기 박막(102)상에 잔류하는 오염물 등을 제거하기 위해 상기 박막(102)의 표면을 세정한다. 상기 박막(102)은 예컨대 실리콘 질화막, 폴리실리콘막 또는 실리콘 산화막일 수 있다.Referring to FIG. 1 , first, an object to be etched is prepared. An example of the object to be etched may be the thin film 102 formed on the semiconductor substrate 100 . Hereinafter, only the case where the object to be etched is the thin film 102 will be described. The surface of the thin film 102 is cleaned to remove contaminants and the like remaining on the thin film 102 . The thin film 102 may be, for example, a silicon nitride film, a polysilicon film, or a silicon oxide film.

이어서, 세정된 박막(102)의 표면상에 레지스트 하층막(104)을 형성하기 위한 레지스트 하층막 형성용 조성물을 스핀 코팅방식을 적용하여 코팅한다. 다만, 일 구현예가 반드시 이에 한정되는 것은 아니고, 공지된 다양한 코팅 방법, 예를 들어 스프레이 코팅, 딥 코팅, 나이프 엣지 코팅, 프린팅법, 예컨대 잉크젯 프린팅 및 스크린 프린팅 등을 이용할 수도 있다.Then, a composition for forming a resist underlayer film for forming the resist underlayer film 104 on the surface of the cleaned thin film 102 is coated by applying a spin coating method. However, one embodiment is not necessarily limited thereto, and various known coating methods, for example, spray coating, dip coating, knife edge coating, printing methods, such as inkjet printing and screen printing, may be used.

상기 레지스트 하층막 코팅과정은 생략할 수 있으며 이하에서는 상기 레지스트 하층막을 코팅하는 경우에 대해 설명한다.The resist underlayer coating process may be omitted, and the case of coating the resist underlayer film will be described below.

이후 건조 및 베이킹 공정을 수행하여 상기 박막(102) 상에 레지스트 하층막(104)을 형성한다. 상기 베이킹 처리는 약 100 ℃ 내지 약 500 ℃에서 수행하고, 예컨대 약 100 ℃ 내지 약 300 ℃에서 수행할 수 있다. Thereafter, a drying and baking process is performed to form the resist underlayer 104 on the thin film 102 . The baking treatment may be performed at about 100 °C to about 500 °C, for example, at about 100 °C to about 300 °C.

레지스트 하층막(104)은 기판(100)과 포토레지스트 막(106) 사이에 형성되어, 기판(100)과 포토레지스트 막(106)의 계면 또는 층간 하드마스크(hardmask)로부터 반사되는 조사선이 의도되지 않은 포토레지스트 영역으로 산란되는 경우 포토레지스트 선폭(linewidth)의 불균일 및 패턴 형성성을 방해하는 것을 방지할 수 있다. The resist underlayer film 104 is formed between the substrate 100 and the photoresist film 106, so that radiation reflected from the interface between the substrate 100 and the photoresist film 106 or from an interlayer hardmask is not intended. In the case of scattering to a non-photoresist region, it is possible to prevent non-uniformity of photoresist linewidth and interruption of pattern formation.

도 2를 참조하면, 상기 레지스트 하층막(104) 위에 상술한 반도체 포토레지스트용 조성물을 코팅하여 포토레지스트 막(106)을 형성한다. 상기 포토레지스트 막(106)은 기판(100) 상에 형성된 박막(102) 위에 상술한 반도체 포토레지스트용 조성물을 코팅한 후 열처리 과정을 통해 경화한 형태일 수 있다.Referring to FIG. 2 , a photoresist layer 106 is formed by coating the above-described semiconductor photoresist composition on the resist underlayer 104 . The photoresist film 106 may be in a form in which the above-described semiconductor photoresist composition is coated on the thin film 102 formed on the substrate 100 and then cured through a heat treatment process.

보다 구체적으로, 반도체 포토레지스트용 조성물을 사용하여 패턴을 형성하는 단계는, 상술한 반도체 포토레지스트용 조성물을 박막(102)이 형성된 기판(100) 상에 스핀 코팅, 슬릿 코팅, 잉크젯 프린팅 등으로 도포하는 공정 및 도포된 반도체 포토레지스트용 조성물을 건조하여 포토레지스트 막(106)을 형성하는 공정을 포함할 수 있다. More specifically, in the step of forming the pattern using the composition for semiconductor photoresist, the above-described composition for semiconductor photoresist is applied on the substrate 100 on which the thin film 102 is formed by spin coating, slit coating, inkjet printing, etc. and drying the applied semiconductor photoresist composition to form the photoresist film 106 .

반도체 포토레지스트용 조성물에 대해서는 이미 상세히 설명하였으므로, 중복 설명은 생략하기로 한다. Since the composition for a semiconductor photoresist has already been described in detail, a redundant description thereof will be omitted.

이어서, 상기 포토레지스트 막(106)이 형성되어 있는 기판(100)을 가열하는 제1 베이킹 공정을 수행한다. 상기 제1 베이킹 공정은 약 80 ℃내지 약 120 ℃의 온도에서 수행할 수 있다.Next, a first baking process of heating the substrate 100 on which the photoresist film 106 is formed is performed. The first baking process may be performed at a temperature of about 80 °C to about 120 °C.

도 3을 참조하면, 상기 포토레지스트 막(106)을 선택적으로 노광한다. Referring to FIG. 3 , the photoresist layer 106 is selectively exposed.

일 예로, 상기 노광 공정에서 사용할 수 있는 광의 예로는 활성화 조사선도 i-line(파장 365 nm), KrF 엑시머 레이저(파장 248 nm), ArF 엑시머 레이저(파장 193 nm) 등의 단파장을 가지는 광 뿐만 아니라, EUV(Extreme UltraViolet; 파장 13.5 nm), E-Beam(전자빔)등의 고에너지 파장을 가지는 광 등을 들 수 있다. For example, examples of light that can be used in the exposure process include not only light having a short wavelength such as an activating radiation i-line (wavelength 365 nm), KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), etc. , light having a high energy wavelength such as EUV (Extreme Ultraviolet; wavelength 13.5 nm), E-Beam (electron beam), and the like.

보다 구체적으로, 일 구현예에 따른 노광용 광은 5 nm 내지 150 nm 파장 범위를 가지는 단파장 광일 수 있으며, EUV(Extreme UltraViolet; 파장 13.5 nm), E-Beam(전자빔)등의 고에너지 파장을 가지는 광일 수 있다. More specifically, the light for exposure according to an exemplary embodiment may be short-wavelength light having a wavelength range of 5 nm to 150 nm, and light having a high energy wavelength such as EUV (Extreme Ultraviolet; wavelength 13.5 nm), E-Beam (electron beam), etc. can

포토레지스트 막(106) 중 노광된 영역(106a)은 유기금속 화합물간의 축합 등 가교 반응에 의해 중합체를 형성함에 따라 포토레지스트 막(106)의 미노광된 영역(106b)과 서로 다른 용해도를 갖게 된다. The exposed region 106a of the photoresist film 106 has a solubility different from that of the unexposed region 106b of the photoresist film 106 as a polymer is formed by a crosslinking reaction such as condensation between organometallic compounds. .

이어서, 상기 기판(100)에 제2 베이킹 공정을 수행한다. 상기 제2 베이킹 공정은 약 90 ℃내지 약 200 ℃의 온도에서 수행할 수 있다. 상기 제2 베이킹 공정을 수행함으로 인해, 상기 포토레지스트 막(106)의 노광된 영역(106a)은 현상액에 용해가 어려운 상태가 된다. Next, a second baking process is performed on the substrate 100 . The second baking process may be performed at a temperature of about 90 °C to about 200 °C. By performing the second baking process, the exposed region 106a of the photoresist layer 106 becomes difficult to dissolve in a developer.

도 4에는, 현상액을 이용하여 상기 미노광된 영역에 해당하는 포토레지스트 막(106b)을 용해시켜 제거함으로써 형성된 포토레지스트 패턴(108)이 도시되어 있다. 구체적으로, 2-햅타논(2-heptanone) 등의 유기 용매를 사용하여 상기 미노광된 영역에 해당하는 포토레지스트 막(106b)을 용해시킨 후 제거함으로써 상기 네가티브 톤 이미지에 해당하는 포토레지스트 패턴(108)이 완성된다. 4 shows a photoresist pattern 108 formed by dissolving and removing the photoresist film 106b corresponding to the unexposed region using a developer. Specifically, the photoresist pattern corresponding to the negative tone image ( 108) is completed.

앞서 설명한 것과 같이, 일 구현예에 따른 패턴 형성 방법에서 사용되는 현상액은 유기 용매 일 수 있다. 일 구현예에 따른 패턴 형성 방법에서 사용되는 유기 용매의 일 예로, 메틸에틸케톤, 아세톤, 사이클로헥사논, 2-햅타논 등의 케톤 류, 4-메틸-2-프로판올, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올 등의 알코올 류, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤 등의 에스테르 류, 벤젠, 자일렌, 톨루엔 등의 방향족 화합물, 또는 이들의 조합을 들 수 있다.As described above, the developer used in the pattern forming method according to the exemplary embodiment may be an organic solvent. As an example of the organic solvent used in the pattern forming method according to the embodiment, ketones such as methyl ethyl ketone, acetone, cyclohexanone, 2-haptanone, 4-methyl-2-propanol, 1-butanol, isopropanol, Alcohols such as 1-propanol and methanol, esters such as propylene glycol monomethyl ether acetate, ethyl acetate, ethyl lactate, n-butyl acetate and butyrolactone, aromatic compounds such as benzene, xylene and toluene, or these can be a combination of

다만, 일 구현예에 따른 포토레지스트 패턴이 반드시 네가티브 톤 이미지로 형성되는 것에 제한되는 것은 아니며, 포지티브 톤 이미지를 갖도록 형성될 수도 있다. 이 경우, 포지티브 톤 이미지 형성을 위해 사용될 수 있는 현상제로는 테트라에틸암모늄 하이드록사이드, 테트라프로필암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드 또는 이들의 조합과 같은 제4 암모늄 하이드록사이드 조성물 등을 들 수 있다.However, the photoresist pattern according to the exemplary embodiment is not necessarily limited to being formed as a negative tone image, and may be formed to have a positive tone image. In this case, as a developer that can be used to form a positive tone image, a quaternary ammonium hydroxide composition such as tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, or a combination thereof, etc. can be heard

앞서 설명한 것과 같이, i-line(파장 365 nm), KrF 엑시머 레이저(파장 248 nm), ArF 엑시머 레이저(파장 193 nm) 등의 파장을 가지는 광 뿐만 아니라, EUV(Extreme UltraViolet; 파장 13.5 nm), E-Beam(전자빔)등의 고에너지를 가지는 광 등에 의해 노광되어 형성된 포토레지스트 패턴(108)은 5 nm 내지 100 nm 두께의 폭을 가질 수 있다. 일 예로, 상기 포토레지스트 패턴(108)은, 5 nm 내지 90 nm, 5 nm 내지 80 nm, 5 nm 내지 70 nm, 5 nm 내지 60 nm, 10 nm 내지 50 nm, 10 nm 내지 40 nm, 10 nm 내지 30 nm, 10 nm 내지 20 nm 두께의 폭으로 형성될 수 있다.As described above, not only light having a wavelength such as i-line (wavelength 365 nm), KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), but also EUV (Extreme UltraViolet; wavelength 13.5 nm), The photoresist pattern 108 formed by exposure to light having high energy, such as an E-beam (electron beam), may have a width of 5 nm to 100 nm. For example, the photoresist pattern 108 may be 5 nm to 90 nm, 5 nm to 80 nm, 5 nm to 70 nm, 5 nm to 60 nm, 10 nm to 50 nm, 10 nm to 40 nm, 10 nm to 30 nm, and may be formed to a width of 10 nm to 20 nm.

한편, 상기 포토레지스트 패턴(108)은 약 50 nm 이하, 예를 들어 40 nm 이하, 예를 들어 30 nm 이하, 예를 들어 25 nm 이하의 반피치(half-pitch) 및, 약 10 nm 이하, 약 5 nm 이하의 선폭 거칠기를 갖는 피치를 가질 수 있다.On the other hand, the photoresist pattern 108 has a half-pitch of about 50 nm or less, for example 40 nm or less, for example 30 nm or less, for example 25 nm or less, and about 10 nm or less, It may have a pitch having a linewidth roughness of about 5 nm or less.

이어서, 상기 포토레지스트 패턴(108)을 식각 마스크로 하여 상기 레지스트 하층막(104)을 식각한다. 상기와 같은 식각 공정으로 유기막 패턴(112)이 형성된다. 형성된 상기 유기막 패턴(112) 역시 포토레지스트 패턴(108)에 대응되는 폭을 가질 수 있다. Next, the resist underlayer 104 is etched using the photoresist pattern 108 as an etching mask. The organic layer pattern 112 is formed through the etching process as described above. The formed organic layer pattern 112 may also have a width corresponding to the photoresist pattern 108 .

도 5를 참조하면, 상기 포토레지스트 패턴(108)을 식각 마스크로 적용하여 노출된 박막(102)을 식각한다. 그 결과 상기 박막은 박막 패턴(114)으로 형성된다. Referring to FIG. 5 , the exposed thin film 102 is etched by applying the photoresist pattern 108 as an etching mask. As a result, the thin film is formed as a thin film pattern 114 .

상기 박막(102)의 식각은 예컨대 식각 가스를 사용한 건식 식각으로 수행할 수 있으며, 식각 가스는 예컨대 CHF3, CF4, Cl2, BCl3 및 이들의 혼합 가스를 사용할 수 있다.The thin film 102 may be etched, for example, by dry etching using an etching gas, and the etching gas may be, for example, CHF 3 , CF 4 , Cl 2 , BCl 3 , or a mixture thereof.

앞서 수행된 노광 공정에서, EUV 광원을 사용하여 수행된 노광 공정에 의해 형성된 포토레지스트 패턴(108)을 이용하여 형성된 박막 패턴(114)은 상기 포토레지스트 패턴(108)에 대응되는 폭을 가질 수 있다. 일 예로, 상기 포토 레지스트패턴(108)과 동일하게 5 nm 내지 100 nm의 폭을 가질 수 있다. 예를 들어, EUV 광원을 사용하여 수행된 노광 공정에 의해 형성된 박막 패턴(114)은 상기 포토레지스트 패턴(108)과 마찬가지로 5 nm 내지 90 nm, 5 nm 내지 80 nm, 5 nm 내지 70 nm, 5 nm 내지 60 nm, 10 nm 내지 50 nm, 10 nm 내지 40 nm, 10 nm 내지 30 nm, 10 nm 내지 20 nm의 폭을 가질 수 있으며, 보다 구체적으로 20 nm 이하의 폭으로 형성될 수 있다.In the exposure process performed above, the thin film pattern 114 formed using the photoresist pattern 108 formed by the exposure process performed using the EUV light source may have a width corresponding to the photoresist pattern 108 . . For example, the photoresist pattern 108 may have a width of 5 nm to 100 nm. For example, the thin film pattern 114 formed by the exposure process performed using the EUV light source may be 5 nm to 90 nm, 5 nm to 80 nm, 5 nm to 70 nm, 5 like the photoresist pattern 108 . It may have a width of nm to 60 nm, 10 nm to 50 nm, 10 nm to 40 nm, 10 nm to 30 nm, 10 nm to 20 nm, and more specifically, may be formed to a width of 20 nm or less.

이하, 상술한 반도체 포토레지스트용 조성물의 제조에 관한 실시예를 통하여 본 발명을 더욱 상세하게 설명하도록 한다. 그러나 하기 실시예들에 의하여 본 발명의 기술적 특징이 한정되는 것은 아니다. Hereinafter, the present invention will be described in more detail through Examples related to the preparation of the above-described composition for a semiconductor photoresist. However, the technical features of the present invention are not limited by the following examples.

실시예Example

합성예 1Synthesis Example 1

250 mL 2구 둥근 바닥 플라스크에 Ph3SnCl (20 g, 51.9 mmol)를 100 ml의 무수 테트라하이드로퓨란(THF)에 녹이고 ice bath에서 온도를 0 ℃로 낮춘다. 이후 부틸 마그네슘클로라이드(BuMgCl) 1M THF용액 (62.3 mmol)을 천천히 적가한다. 적가가 완료 된 후 25℃에서 12시간 교반하여, 하기 화학식 6으로 표현되는 화합물을 얻는다. Dissolve Ph 3 SnCl (20 g, 51.9 mmol) in 100 ml of anhydrous tetrahydrofuran (THF) in a 250 mL two-necked round-bottom flask, and lower the temperature to 0 °C in an ice bath. Then, butyl magnesium chloride (BuMgCl) 1M THF solution (62.3 mmol) is slowly added dropwise. After completion of the dropwise addition, the mixture was stirred at 25° C. for 12 hours to obtain a compound represented by the following formula (6).

[화학식 6][Formula 6]

Figure pat00012
Figure pat00012

합성예 2Synthesis Example 2

상기 합성예 1에서 부틸 마그네슘클로라이드(BuMgCl) 1 M THF용액 대신 이소프로필 마그네슘클로라이드(iPrMgCl) 2M THF용액 (62.3 mmol)을 사용한 것을 제외하고는, 합성예 1과 동일한 방법으로 합성하여 하기 화학식 7로 표시되는 화합물을 얻는다.Except for using isopropyl magnesium chloride (iPrMgCl) 2M THF solution (62.3 mmol) instead of butyl magnesium chloride (BuMgCl) 1 M THF solution in Synthesis Example 1, it was synthesized in the same manner as in Synthesis Example 1 and obtained by the following Chemical Formula 7 The indicated compound is obtained.

[화학식 7][Formula 7]

Figure pat00013
Figure pat00013

합성예 3Synthesis Example 3

상기 합성예 1에서 부틸 마그네슘클로라이드(BuMgCl) 1 M THF용액 대신 네오펜틸 마그네슘클로라이드 1 M THF용액(62.3 mmol)을 사용한 것을 제외하고는, 합성예 1과 동일한 방법으로 합성하여 하기 화학식 8로 표시되는 화합물을 얻는다.Except for using 1 M THF solution of neopentyl magnesium chloride (62.3 mmol) instead of 1 M THF solution of butyl magnesium chloride (BuMgCl) in Synthesis Example 1, it was synthesized in the same manner as in Synthesis Example 1 and represented by Formula 8 get the compound.

[화학식 8][Formula 8]

Figure pat00014
Figure pat00014

합성예 4Synthesis Example 4

상기 합성예 1의 화학식 6의 화합물(10 g, 24.6 mmol)을 50mL의 CH2Cl2에 녹이고, 2 M HCl 디에틸 에터(diethyl ether) 용액(3당량, 73.7 mmol)을 -78℃에서 30분간 천천히 적가한다. 이후 25 ℃에서 12시간 교반 후 용매를 농축하고 진공 증류하여 하기 화학식 9로 표시되는 화합물을 얻는다.The compound of Formula 6 of Synthesis Example 1 (10 g, 24.6 mmol) was dissolved in 50 mL of CH 2 Cl 2 , and a 2 M HCl diethyl ether solution (3 equivalents, 73.7 mmol) was added at -78°C to 30 Add dropwise slowly over a minute. After stirring at 25 °C for 12 hours, the solvent is concentrated and vacuum distilled to obtain a compound represented by the following formula (9).

[화학식 9][Formula 9]

Figure pat00015
Figure pat00015

합성예 5Synthesis Example 5

상기 합성예 1의 화학식 6의 화합물을 사용하는 것 대신에 합성예 2의 화학식 7의 화합물을 사용하는 것을 제외하고는 합성예 4와 동일한 방법으로 합성하여 하기 화학식 10으로 표시되는 화합물을 얻는다. A compound represented by the following formula (10) was obtained by synthesizing in the same manner as in Synthesis Example 4, except that the compound of Formula 7 of Synthesis Example 2 was used instead of using the compound of Formula 6 of Synthesis Example 1.

[화학식 10][Formula 10]

Figure pat00016
Figure pat00016

합성예 6Synthesis Example 6

상기 합성예 1의 화학식 6의 화합물을 사용하는 것 대신에 합성예 3의 화학식 8의 화합물을 사용하는 것을 제외하고는 합성예 4와 동일한 방법으로 합성하여 하기 화학식 11로 표시되는 화합물을 얻는다.A compound represented by the following formula (11) was obtained by synthesizing in the same manner as in Synthesis Example 4, except that the compound of Formula 8 of Synthesis Example 3 was used instead of using the compound of Formula 6 of Synthesis Example 1.

[화학식 11][Formula 11]

Figure pat00017
Figure pat00017

합성예 7Synthesis Example 7

상기 합성예 1의 화학식 6의 화합물(10 g, 25.6 mmol)에 25mL의 thioacetic acid를 25 ℃에서 천천히 적가한 후 12시간 가열 환류한다. 온도를 25 ℃로 올린 후 thioacetic acid를 진공 증류하여 하기 화학식 12로 표시되는 화합물을 얻는다.To the compound of Formula 6 (10 g, 25.6 mmol) of Synthesis Example 1, 25 mL of thioacetic acid was slowly added dropwise at 25° C., and then heated to reflux for 12 hours. After raising the temperature to 25 °C, thioacetic acid is vacuum distilled to obtain a compound represented by the following formula (12).

[화학식 12][Formula 12]

Figure pat00018
Figure pat00018

합성예 8Synthesis Example 8

상기 합성예 2의 화학식 7의 화합물(10 g, 25.4 mmol)에 25mL의 thioacetic acid를 25 ℃에서 천천히 적가한 후 100 ℃에서 12시간 가열 환류한다. 온도를 25 ℃로 올린 후 thioacetic acid를 진공 증류하여 하기 화학식 13으로 표시되는 화합물을 얻는다. To the compound of Formula 7 (10 g, 25.4 mmol) of Synthesis Example 2, 25 mL of thioacetic acid was slowly added dropwise at 25° C., and then heated to reflux at 100° C. for 12 hours. After raising the temperature to 25 °C, thioacetic acid is vacuum distilled to obtain a compound represented by the following formula (13).

[화학식 13][Formula 13]

Figure pat00019
Figure pat00019

합성예 9Synthesis Example 9

상기 합성예 3의 화학식 8의 화합물(10 g, 23.7 mmol)에 25 mL의 thioacetic acid를 25 ℃에서 천천히 적가한 후 110 ℃에서 12시간 가열 환류한다. 온도를 25 ℃로 올린 후 thioacetic acid를 진공 증류하여 하기 화학식 14로 표시되는 화합물을 얻는다.To the compound of Formula 8 (10 g, 23.7 mmol) in Synthesis Example 3, 25 mL of thioacetic acid was slowly added dropwise at 25 °C, and then heated to reflux at 110 °C for 12 hours. After raising the temperature to 25 °C, thioacetic acid is vacuum distilled to obtain a compound represented by the following formula (14).

[화학식 14][Formula 14]

Figure pat00020
Figure pat00020

합성예 10Synthesis Example 10

상기 합성예 4의 화학식 9의 화합물(10 g, 35.4 mmol)에 무수 pentane 30 mL를 가하고 온도를 0 ℃로 낮춘다. 디에틸아민(7.8 g, 106.3 mmol)을 천천히 적가한 후, 이어서 t-butylthiol(9.6 g, 106.3 mmol)을 첨가하고 25 ℃에서 1시간 교반한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 15로 표시되는 화합물을 얻는다.30 mL of anhydrous pentane was added to the compound of Formula 9 (10 g, 35.4 mmol) of Synthesis Example 4, and the temperature was lowered to 0 °C. Diethylamine (7.8 g, 106.3 mmol) was slowly added dropwise, and then t-butylthiol (9.6 g, 106.3 mmol) was added, followed by stirring at 25° C. for 1 hour. Upon completion of the reaction, the mixture is filtered, concentrated and dried under vacuum to obtain a compound represented by the following formula (15).

[화학식 15][Formula 15]

Figure pat00021
Figure pat00021

합성예 11Synthesis Example 11

상기 합성예 5의 화학식 10의 화합물(10 g, 37.3 mmol)에 무수 pentane 30 mL를 가하고 온도를 0 ℃로 낮춘다. 디에틸아민(8.2 g, 111.9 mmol)을 천천히 적가한 후, 이어서 allyl mercaptan(8.3 g, 111.9 mmol)을 첨가하고 25 ℃에서 1시간 교반한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 16으로 표시되는 화합물을 얻는다.30 mL of anhydrous pentane was added to the compound of Formula 10 (10 g, 37.3 mmol) of Synthesis Example 5, and the temperature was lowered to 0 °C. Diethylamine (8.2 g, 111.9 mmol) was slowly added dropwise, and then allyl mercaptan (8.3 g, 111.9 mmol) was added thereto, followed by stirring at 25 °C for 1 hour. When the reaction is completed, the mixture is filtered, concentrated and dried under vacuum to obtain a compound represented by the following formula (16).

[화학식 16][Formula 16]

Figure pat00022
Figure pat00022

합성예 12Synthesis Example 12

상기 합성예 6의 화학식 11의 화합물(10 g, 18.7 mmol)에 무수 pentane 30 mL를 가하고 온도를 0 ℃로 낮춘다. 디에틸아민(7.4 g, 101.3 mmol)을 천천히 적가한 후, 이어서 thiophenol(11.1 g, 101.3 mmol)을 첨가하고 25 ℃에서 1시간 교반한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 17로 표시되는 화합물을 얻는다.30 mL of anhydrous pentane was added to the compound of Formula 11 (10 g, 18.7 mmol) of Synthesis Example 6, and the temperature was lowered to 0 °C. Diethylamine (7.4 g, 101.3 mmol) was slowly added dropwise, and then thiophenol (11.1 g, 101.3 mmol) was added, followed by stirring at 25 °C for 1 hour. When the reaction is completed, the mixture is filtered, concentrated and dried under vacuum to obtain a compound represented by the following formula (17).

[화학식 17][Formula 17]

Figure pat00023
Figure pat00023

비교합성예 1Comparative Synthesis Example 1

디부틸주석 디클로라이드 (Dibutyltin dichloride, 10 g, 33 mmol)을 30 mL의 에테르에 녹인 후, 1 M의 수산화나트륨(NaOH) 수용액 70 mL을 첨가한 다음 1시간 동안 교반한다. 교반 후, 생성된 고체를 여과하고 탈이온수 25 mL로 3회 세척한 다음, 100 ℃에서 감압 건조를 수행하여, 하기 화학식 18로 표시되는 중량평균 분자량 1,500g/mol의 유기금속 화합물을 얻는다.After dissolving dibutyltin dichloride (10 g, 33 mmol) in 30 mL of ether, 70 mL of a 1 M aqueous sodium hydroxide (NaOH) solution is added, followed by stirring for 1 hour. After stirring, the resulting solid is filtered, washed three times with 25 mL of deionized water, and then dried under reduced pressure at 100° C. to obtain an organometallic compound having a weight average molecular weight of 1,500 g/mol represented by the following Chemical Formula 18.

[화학식 18][Formula 18]

Figure pat00024
Figure pat00024

실시예 1 내지 6Examples 1 to 6

합성예 7 내지 12에서 얻어진 화합물 12 내지 17을 PGMEA(프로필렌 글리콜 모노메틸 에테르 아세테이트)에 3 wt%의 농도로 녹이고, 0.1㎛ PTFE (폴리테트라플루오로에틸렌) 시린지 필터(syringe filter)로 여과하여 실시예 1 내지 실시예 6에 따른 포토레지스트 조성물을 제조한다. Compounds 12 to 17 obtained in Synthesis Examples 7 to 12 were dissolved in PGMEA (propylene glycol monomethyl ether acetate) at a concentration of 3 wt%, and filtered with a 0.1 μm PTFE (polytetrafluoroethylene) syringe filter. A photoresist composition according to Examples 1 to 6 was prepared.

네이티브-산화물 표면을 가지는 직경 4인치의 원형 실리콘 웨이퍼를 박막 코팅용 기재로 사용하고, 상기 박막의 코팅 전에 UV 오존 클리닝 시스템에서 10분간 처리한다. 처리된 기재 상에 상기 실시예 1 내지 실시예 6에 따른 반도체 포토레지스트용 조성물을 1500 rpm에서 30초 간 스핀코팅하고, 100 ℃에서 120초 소성 (적용 후 소성, post-apply bake, PAB)하여 포토레지스트 박막을 형성한다. A circular silicon wafer having a diameter of 4 inches having a native-oxide surface was used as a substrate for thin film coating, and treated for 10 minutes in a UV ozone cleaning system before coating the thin film. The semiconductor photoresist composition according to Examples 1 to 6 was spin-coated at 1500 rpm for 30 seconds on the treated substrate, and baked at 100° C. for 120 seconds (post-apply bake, PAB). A photoresist thin film is formed.

코팅 및 베이킹 후 필름의 두께는 편광계측법(ellipsometry)을 통해 측정하였으며, 측정된 두께는 약 20 nm였다.After coating and baking, the thickness of the film was measured through ellipsometry, and the measured thickness was about 20 nm.

비교예 1Comparative Example 1

상기 비교합성예 1에 따른 화합물 18을 4-메틸-2-펜타놀(4-methyl-2-pentanol)에 1 wt%의 농도로 녹여 사용한 것을 제외하고는 상기 실시예와 동일하게 하여, 비교예 1에 따른 반도체 포토레지스트용 조성물 및 이를 포함하는 포토레지스트 박막을 제조하였다. 코팅 및 베이킹 후 필름의 두께는 약 20 nm였다.In the same manner as in Example 1, except that compound 18 according to Comparative Synthesis Example 1 was dissolved in 4-methyl-2-pentanol at a concentration of 1 wt% and used, Comparative Example The composition for a semiconductor photoresist according to 1 and a photoresist thin film comprising the same were prepared. The thickness of the film after coating and baking was about 20 nm.

평가 1: 해상도Evaluation 1: Resolution

원형 실리콘 웨이퍼 상에 상기 코팅 방법에 의해 제조된 실시예 1 내지 실시예 6 및 비교예 1에 따른 필름을 에너지 및 포커스를 달리하여 12 내지 100 nm의 line/space 패턴을 형성하도록 극자외선에 노출시킨다. 노광 후 180℃에서 120초 간 소성하고, 이어서 2-헵타논(2-heptanone)이 담긴 페트리디쉬에 60초 간 담갔다가 꺼낸 후, 동일 용제로 10초 간 씻어준다. 최종적으로, 150 ℃에서 5분 간 소성한 후, SEM(scanning electron microscopy)에 의해 패턴 이미지를 얻는다. SEM 이미지로부터 확인된 최고 해상도를 하기 표 1에 표시한다.The films according to Examples 1 to 6 and Comparative Example 1 prepared by the above coating method on a circular silicon wafer were exposed to extreme ultraviolet light to form a line/space pattern of 12 to 100 nm by varying energy and focus. . After exposure, calcined at 180°C for 120 seconds, then immersed in a Petri dish containing 2-heptanone for 60 seconds, taken out, and washed with the same solvent for 10 seconds. Finally, after firing at 150° C. for 5 minutes, a pattern image is obtained by scanning electron microscopy (SEM). The highest resolution identified from the SEM image is shown in Table 1 below.

평가 2: 용해도, 보관안정성Evaluation 2: Solubility, storage stability

실시예 1 내지 6 및 비교예 1에 따른 반도체용 포토레지스트 조성물에 대하여, 하기와 같은 기준으로 조성물의 용해도 및 보관안정성을 평가하여, 하기 표 1에 함께 표시했다.For the photoresist compositions for semiconductors according to Examples 1 to 6 and Comparative Example 1, solubility and storage stability of the compositions were evaluated according to the following criteria, and are shown together in Table 1 below.

[용해도][solubility]

합성예 7 내지 12의 화학식 12 내지 17 및 비교합성예 1의 화학식 18의 화합물을 자일렌(xylene)에 하기 중량으로 용해하였을 때를 기준으로 용해도 정도를 하기 3단계로 평가하였다.The degree of solubility was evaluated in the following three steps based on when the compounds of Formulas 12 to 17 of Synthesis Examples 7 to 12 and Formula 18 of Comparative Synthesis Example 1 were dissolved in xylene at the following weights.

○: 자일렌에 3 중량% 이상 용해됨○: 3 wt% or more dissolved in xylene

△: 자일렌에 1 중량% 이상 3 중량% 미만으로 용해됨△: dissolved in xylene at 1 wt% or more and less than 3 wt%

X: 자일렌에 1 중량% 미만으로 용해됨X: less than 1% by weight dissolved in xylene

[보관안정성][Storage Stability]

25℃(상온) 조건에서 특정 기간 방치 시 침전이 발생되는 정도를 육안으로 관찰 후, 보관 가능하다는 기준으로 설정하여, 하기 3단계로 평가하였다.After visually observing the degree of precipitation occurring when left for a specific period at 25 °C (room temperature) conditions, it was set as a standard that it can be stored and evaluated in the following three steps.

○: 1 개월 이상 보관 가능○: Can be stored for more than 1 month

△: 1 주 내지 1 개월 미만 보관 가능△: Can be stored for 1 week to less than 1 month

X: 1 주 미만 보관 가능X: Can be stored for less than 1 week

  해상도(nm)(HP*)Resolution (nm) (HP * ) 용해도Solubility 보관안정성storage stability 실시예 1Example 1 1616 실시예 2Example 2 1414 실시예 3Example 3 1616 실시예 4Example 4 1818 실시예 5Example 5 1616 실시예 6Example 6 1818 비교예 1Comparative Example 1 2626 XX --

(* HP: half pitch 기준) 표 1의 결과로부터, 실시예 1 내지 6에 따른 반도체용 포토레지스트 조성물은 비교예 1 대비 우수한 용해도와 보관안정성을 나타내며, 이를 이용하여 형성된 패턴 또한 비교예 대비 우수한 해상도를 나타내는 것을 확인할 수 있다. 반면, 비교예 1에 따른 반도체 포토레지스트 조성물은 자일렌 용매에 대한 용해도가 좋지 못하므로, 상기 조성물의 보관안정성 평가가 사실상 어려움을 확인할 수 있다. (* HP: based on half pitch) From the results in Table 1, the photoresist compositions for semiconductors according to Examples 1 to 6 exhibit superior solubility and storage stability compared to Comparative Example 1, and the pattern formed using the same has superior resolution compared to Comparative Example It can be seen that indicates On the other hand, since the semiconductor photoresist composition according to Comparative Example 1 has poor solubility in a xylene solvent, it can be confirmed that it is practically difficult to evaluate the storage stability of the composition.

앞에서, 본 발명의 특정한 실시예가 설명되고 도시되었지만 본 발명은 기재된 실시예에 한정되는 것이 아니고, 본 발명의 사상 및 범위를 벗어나지 않고 다양하게 수정 및 변형할 수 있음은 이 기술의 분야에서 통상의 지식을 가진 자에게 자명한 일이다. 따라서, 그러한 수정예 또는 변형예들은 본 발명의 기술적 사상이나 관점으로부터 개별적으로 이해되어서는 안되며, 변형된 실시예들은 본 발명의 특허청구범위에 속한다 하여야 할 것이다.In the foregoing, specific embodiments of the present invention have been described and shown, but it is common knowledge in the art that the present invention is not limited to the described embodiments, and that various modifications and variations can be made without departing from the spirit and scope of the present invention. It is self-evident to those who have Accordingly, such modifications or variations should not be individually understood from the technical spirit or point of view of the present invention, and modified embodiments should be considered to belong to the claims of the present invention.

100: 기판 102: 박막
104: 레지스트 하층막 106: 포토레지스트 막
106a: 노광된 영역 106b: 미노광된 영역
108: 포토레지스트 패턴 112: 유기막 패턴
114: 박막 패턴
100: substrate 102: thin film
104: resist underlayer film 106: photoresist film
106a: exposed area 106b: unexposed area
108: photoresist pattern 112: organic film pattern
114: thin film pattern

Claims (10)

하기 화학식 1로 표현되는 유기금속 화합물 및 용매를 포함하는 반도체 포토 레지스트용 조성물:
[화학식 1]
Figure pat00025

상기 화학식 1에서,
R은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 하나 이상의 이중 결합 또는 삼중 결합을 포함하는 치환 또는 비치환된 C2 내지 C20 지방족 불포화 유기기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,
X, Y, 및 Z는 각각 독립적으로 -SR1 또는 -SC(=O)R2 이고,
상기 R1은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,
R2는 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이다.
A composition for a semiconductor photoresist comprising an organometallic compound represented by the following Chemical Formula 1 and a solvent:
[Formula 1]
Figure pat00025

In Formula 1,
R is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 aliphatic unsaturated organic group containing one or more double or triple bonds, a substituted or unsubstituted is a C6 to C30 aryl group, an ethylene oxide group, a propylene oxide group, or a combination thereof,
X, Y, and Z are each independently -SR 1 or -SC(=O)R 2 ,
Wherein R 1 is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted a cyclic C6 to C30 aryl group, or a combination thereof,
R 2 is hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or an unsubstituted C6 to C30 aryl group, or a combination thereof.
제1항에서,
R은 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 하나 이상의 이중 결합 또는 삼중 결합을 포함하는 치환 또는 비치환된 C2 내지 C8 지방족 불포화 유기기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,
R1은 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합이고,
R2는 수소, 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합인 반도체 포토 레지스트용 조성물.
In claim 1,
R is a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 aliphatic unsaturated organic group containing one or more double or triple bonds, a substituted or unsubstituted is a C6 to C20 aryl group, an ethylene oxide group, a propylene oxide group, or a combination thereof,
R 1 is a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, a substituted or unsubstituted a C6 to C20 aryl group, or a combination thereof,
R 2 is hydrogen, a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, a substituted or A composition for a semiconductor photoresist comprising an unsubstituted C6 to C20 aryl group, or a combination thereof.
제1항에서,
R은 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,
R1은 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합이고,
R2는 수소, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합인 반도체 포토 레지스트용 조성물.
In claim 1,
R is a methyl group, an ethyl group, a propyl group, a butyl group, an isopropyl group, a tert-butyl group, a 2,2-dimethylpropyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, an ethenyl group, a propenyl group , butenyl group, ethynyl group, propynyl group, butynyl group, phenyl group, tolyl group, xylene group, benzyl group, ethylene oxide group, propylene oxide group, or a combination thereof,
R 1 is a methyl group, an ethyl group, a propyl group, a butyl group, an isopropyl group, a tert-butyl group, a 2,2-dimethylpropyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, an ethenyl group, a pro a phenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or a combination thereof;
R 2 is hydrogen, methyl group, ethyl group, propyl group, butyl group, isopropyl group, tert-butyl group, 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group , a propenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or a combination thereof.
제1항에서,
상기 화학식 1로 표시되는 화합물은 하기 화학식 2로 표시되는 화합물, 화학식 3으로 표시되는 화합물, 화학식 4로 표시되는 화합물, 화학식 5로 표시되는 화합물, 또는 이들의 조합을 포함하는 반도체 포토 레지스트용 조성물:
[화학식 2]
Figure pat00026

[화학식 3]
Figure pat00027

[화학식 4]
Figure pat00028

[화학식 5]
Figure pat00029

상기 화학식 2, 화학식 3, 화학식 4, 및 화학식 5에서,
R은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 하나 이상의 이중 결합 또는 삼중 결합을 포함하는 치환 또는 비치환된 C2 내지 C20 지방족 불포화 유기기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 에틸렌 옥사이드기, 프로필렌 옥사이드기, 또는 이들의 조합이고,
Ra, Rb, Rc, Ri, Rk, 및 Rl은 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,
Rd, Re, Rf, Rg, Rh, 및 Rj은 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이다.
In claim 1,
The compound represented by Formula 1 is a compound represented by Formula 2 below, a compound represented by Formula 3, a compound represented by Formula 4, a compound represented by Formula 5, or a composition for a semiconductor photoresist comprising a combination thereof:
[Formula 2]
Figure pat00026

[Formula 3]
Figure pat00027

[Formula 4]
Figure pat00028

[Formula 5]
Figure pat00029

In Formula 2, Formula 3, Formula 4, and Formula 5,
R is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 aliphatic unsaturated organic group containing one or more double or triple bonds, a substituted or unsubstituted is a C6 to C30 aryl group, an ethylene oxide group, a propylene oxide group, or a combination thereof,
R a , R b , R c , R i , R k , and R 1 are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to a C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof;
R d , Re , R f , R g , R h , and R j are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted a C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof.
제1항에서,
반도체 포토 레지스트용 조성물 100 중량%를 기준으로, 상기 화학식 1로 표현되는 유기금속 화합물 1 내지 30 중량%를 포함하는 반도체 포토 레지스트용 조성물.
In claim 1,
A composition for a semiconductor photoresist comprising 1 to 30% by weight of the organometallic compound represented by Formula 1, based on 100% by weight of the composition for a semiconductor photoresist.
제1항에서,
상기 조성물은 계면활성제, 가교제, 레벨링제, 또는 이들의 조합의 첨가제를 더 포함하는 반도체 포토 레지스트용 조성물.
In claim 1,
The composition is a composition for a semiconductor photoresist further comprising an additive of a surfactant, a crosslinking agent, a leveling agent, or a combination thereof.
기판 위에 식각 대상 막을 형성하는 단계;
상기 식각 대상 막 위에 제1항 내지 제6항 중 어느 한 항에 따른 반도체 포토 레지스트용 조성물을 적용하여 포토 레지스트 막을 형성하는 단계;
상기 포토 레지스트 막을 패터닝하여 포토 레지스트 패턴을 형성하는 단계; 및
상기 포토 레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함하는 패턴 형성 방법.
forming an etch target layer on the substrate;
forming a photoresist layer by applying the composition for a semiconductor photoresist according to any one of claims 1 to 6 on the etching target layer;
forming a photoresist pattern by patterning the photoresist layer; and
and etching the etch target layer using the photoresist pattern as an etch mask.
제7항에서,
상기 포토 레지스트 패턴을 형성하는 단계는 5 nm 내지 150 nm 파장의 광을 사용하는 패턴 형성 방법.
In claim 7,
The step of forming the photoresist pattern is a pattern forming method using light having a wavelength of 5 nm to 150 nm.
제7항에서,
상기 기판과 상기 포토 레지스트 막 사이에 형성되는 레지스트 하층막을 제공하는 단계를 더 포함하는 패턴 형성 방법.
In claim 7,
The method further comprising the step of providing a resist underlayer film formed between the substrate and the photoresist film.
제7항에서,
상기 포토 레지스트 패턴은 5 nm 내지 100 nm의 폭을 가지는 패턴 형성 방법.
In claim 7,
The photoresist pattern is a pattern forming method having a width of 5 nm to 100 nm.
KR1020200046892A 2020-04-17 2020-04-17 Semiconductor resist composition and method of forming patterns using the composition KR102538092B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200046892A KR102538092B1 (en) 2020-04-17 2020-04-17 Semiconductor resist composition and method of forming patterns using the composition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200046892A KR102538092B1 (en) 2020-04-17 2020-04-17 Semiconductor resist composition and method of forming patterns using the composition

Publications (2)

Publication Number Publication Date
KR20210128795A true KR20210128795A (en) 2021-10-27
KR102538092B1 KR102538092B1 (en) 2023-05-26

Family

ID=78287360

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200046892A KR102538092B1 (en) 2020-04-17 2020-04-17 Semiconductor resist composition and method of forming patterns using the composition

Country Status (1)

Country Link
KR (1) KR102538092B1 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005023232A (en) * 2003-07-03 2005-01-27 Nippon Paint Co Ltd Cationic electrodeposition coating composition
KR20180054917A (en) * 2015-10-13 2018-05-24 인프리아 코포레이션 Organotin oxide hydroxide patterning composition, precursor and patterning
WO2019199467A1 (en) * 2018-04-11 2019-10-17 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
KR20200005370A (en) * 2018-07-06 2020-01-15 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200014216A (en) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200018079A (en) * 2018-08-10 2020-02-19 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005023232A (en) * 2003-07-03 2005-01-27 Nippon Paint Co Ltd Cationic electrodeposition coating composition
KR20180054917A (en) * 2015-10-13 2018-05-24 인프리아 코포레이션 Organotin oxide hydroxide patterning composition, precursor and patterning
WO2019199467A1 (en) * 2018-04-11 2019-10-17 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
KR20200005370A (en) * 2018-07-06 2020-01-15 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200014216A (en) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200018079A (en) * 2018-08-10 2020-02-19 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition

Also Published As

Publication number Publication date
KR102538092B1 (en) 2023-05-26

Similar Documents

Publication Publication Date Title
KR102606844B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102307977B1 (en) Semiconductor resist composition, and method of forming patterns using the composition
KR102573327B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
JP7025474B2 (en) Composition for semiconductor photoresist and pattern formation method using it
KR102619719B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102296818B1 (en) Semiconductor resist composition, and method of forming patterns using the composition
KR102577299B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102577300B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102555497B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102573328B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20220088011A (en) Semiconductor photoresist composition, method for preparing thereof and method of forming patterns using the composition
KR102538092B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102586112B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102480432B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102586099B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102446360B1 (en) Semiconductor resist composition, and method of forming patterns using the composition
KR20240040479A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240008692A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20220155111A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20230166367A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20230160087A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240018224A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240025957A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20230023410A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240038462A (en) Semiconductor photoresist composition and method of forming patterns using the composition

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant