KR102555497B1 - Semiconductor resist composition and method of forming patterns using the composition - Google Patents

Semiconductor resist composition and method of forming patterns using the composition Download PDF

Info

Publication number
KR102555497B1
KR102555497B1 KR1020200008180A KR20200008180A KR102555497B1 KR 102555497 B1 KR102555497 B1 KR 102555497B1 KR 1020200008180 A KR1020200008180 A KR 1020200008180A KR 20200008180 A KR20200008180 A KR 20200008180A KR 102555497 B1 KR102555497 B1 KR 102555497B1
Authority
KR
South Korea
Prior art keywords
group
unsubstituted
substituted
formula
photoresist
Prior art date
Application number
KR1020200008180A
Other languages
Korean (ko)
Other versions
KR20210094420A (en
Inventor
강은미
남궁란
김재현
김지민
문경수
우창수
전환승
채승용
한승
Original Assignee
삼성에스디아이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성에스디아이 주식회사 filed Critical 삼성에스디아이 주식회사
Priority to KR1020200008180A priority Critical patent/KR102555497B1/en
Publication of KR20210094420A publication Critical patent/KR20210094420A/en
Application granted granted Critical
Publication of KR102555497B1 publication Critical patent/KR102555497B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

하기 화학식 1로 표시되는 유기금속화합물 및 용매를 포함하는 반도체 포토 레지스트용 조성물과, 이를 이용한 패턴 형성 방법에 관한 것이다.
[화학식 1]

Figure 112023055953174-pat00032

화학식 1에 대한 구체적인 내용은 명세서 상에서 정의된 것과 같다.It relates to a composition for a semiconductor photoresist comprising an organometallic compound represented by Formula 1 and a solvent, and a pattern formation method using the same.
[Formula 1]
Figure 112023055953174-pat00032

Details of Formula 1 are as defined in the specification.

Description

반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법{SEMICONDUCTOR RESIST COMPOSITION AND METHOD OF FORMING PATTERNS USING THE COMPOSITION}Composition for semiconductor photoresist and pattern formation method using the same

본 기재는 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법에 관한 것이다.The present disclosure relates to a composition for semiconductor photoresist and a method for forming a pattern using the same.

차세대의 반도체 디바이스를 제조하기 위한 요소 기술의 하나로서, EUV(극자외선광) 리소그래피가 주목받고 있다. EUV 리소그래피는 노광 광원으로서 파장 13.5nm의 EUV 광을 이용하는 패턴 형성 기술이다. EUV 리소그래피에 의하면, 반도체 디바이스 제조 프로세스의 노광 공정에서, 극히 미세한 패턴(예를 들어 20nm 이하)을 형성할 수 있음이 실증되어 있다.As one of the element technologies for manufacturing next-generation semiconductor devices, EUV (extreme ultraviolet light) lithography is attracting attention. EUV lithography is a pattern formation technique using EUV light with a wavelength of 13.5 nm as an exposure light source. According to EUV lithography, it has been demonstrated that an extremely fine pattern (for example, 20 nm or less) can be formed in an exposure step of a semiconductor device manufacturing process.

극자외선(extreme ultraviolet, EUV) 리소그래피의 구현은 16nm 이하의 공간 해상도(spatial resolutions)에서 수행할 수 있는 호환 가능한 포토 레지스트들의 현상(development)을 필요로 한다. 현재, 전통적인 화학 증폭형(CA: chemically amplified) 포토 레지스트들은, 차세대 디바이스들을 위한 해상도(resolution), 광속도(photospeed), 및 피쳐 거칠기(feature roughness), 라인 에지 거칠기(line edge roughness 또는 LER)에 대한 사양(specifications)을 충족시키기 위해 노력하고 있다. Implementation of extreme ultraviolet (EUV) lithography requires the development of compatible photoresists capable of performing at sub-16 nm spatial resolutions. Currently, traditional chemically amplified (CA) photoresists have a significant impact on resolution, photospeed, and feature roughness, line edge roughness (LER) for next-generation devices. We are working hard to meet the specifications.

이들 고분자형 포토 레지스트들에서 일어나는 산 촉매 반응들(acid catalyzed reactions)에 기인한 고유의 이미지 흐려짐(intrinsic image blur)은 작은 피쳐(feature) 크기들에서 해상도를 제한하는데, 이는 전자빔(e-beam) 리소그래피에서 오랫동안 알려져 왔던 사실이다. 화학증폭형 (CA) 포토 레지스트들은 높은 민감도(sensitivity)를 위해 설계되었으나, 그것들의 전형적인 원소 구성(elemental makeup)이 13.5nm의 파장에서 포토 레지스트들의 흡광도를 낮추고, 그 결과 민감도를 감소시키기 때문에, 부분적으로는 EUV 노광 하에서 더 어려움을 겪을 수 있다.Intrinsic image blur due to acid catalyzed reactions occurring in these polymeric photoresists limits resolution at small feature sizes, which e-beam This is a long-known fact in lithography. Chemically amplified (CA) photoresists are designed for high sensitivity, but in part because their typical elemental makeup lowers the absorbance of photoresists at a wavelength of 13.5 nm and consequently reduces sensitivity. may suffer more under EUV exposure.

CA 포토 레지스트들은 또한, 작은 피쳐 크기들에서 거칠기(roughness) 이슈들로 인해 어려움을 겪을 수 있고, 부분적으로 산 촉매 공정들의 본질에 기인하여, 광속도(photospeed)가 감소함에 따라 라인 에지 거칠기(LER)가 증가하는 것이 실험으로 나타났다. CA 포토 레지스트들의 결점들 및 문제들에 기인하여, 반도체 산업에서는 새로운 유형의 고성능 포토 레지스트들에 대한 요구가 있다.CA photoresists can also suffer from roughness issues at small feature sizes and, due in part to the nature of acid catalyzed processes, line edge roughness (LER) as photospeed decreases. It has been shown experimentally that Due to the drawbacks and problems of CA photoresists, there is a need in the semiconductor industry for new types of high performance photoresists.

텅스텐, 및 니오븀(niobium), 티타늄(titanium), 및/또는 탄탈륨(tantalum)과 혼합된 텅스텐의 퍼옥소폴리산(peroxopolyacids)에 기초한 무기 포토레지스트들은 패터닝을 위한 방사민감성 재료들(radiation sensitive materials)용으로 보고되어 왔다 (US5061599,; H. Okamoto, T. Iwayanagi, K. Mochiji, H. Umezaki, T. Kudo, Applied Physics Letters, 49(5), 298-300, 1986).Inorganic photoresists based on tungsten and peroxopolyacids of tungsten mixed with niobium, titanium, and/or tantalum are radiation sensitive materials for patterning. (US5061599; H. Okamoto, T. Iwayanagi, K. Mochiji, H. Umezaki, T. Kudo, Applied Physics Letters, 49(5), 298-300, 1986).

이들 재료들은 원자외선(deep UV), x-선, 및 전자빔 소스들로써 이중층 구성(bilayer configuration)에 큰 피쳐들을 패터닝 함에 있어서 효과적이었다. 더 최근에는, 프로젝션 EUV 노광에 의해 15nm 하프-피치(HP)를 이미징(image)하기 위해 퍼옥소 착화제(peroxo complexing agent)와 함께 양이온 하프늄 메탈 옥사이드 설페이트(cationic hafnium metal oxide sulfate, HfSOx) 재료를 사용하는 경우 인상적인 성능을 보였다(US2011-0045406,; J. K. Stowers, A. Telecky, M. Kocsis, B. L. Clark, D. A. Keszler, A. Grenville, C. N. Anderson, P. P. Naulleau, Proc. SPIE, 7969, 796915, 2011). 이 시스템은 비-CA 포토레지스트(non-CA photoresist)의 최상의 성능을 보였고, 실행 가능한 EUV 포토레지스트를 위한 요건에 접근하는 광속도를 갖는다. 그러나 퍼옥소 착화제를 갖는 하프늄 메탈 옥사이드 설페이트 재료(hafnium metal oxide sulfate materials)는 몇가지 현실적인 결점들을 갖는다. 첫째, 이 재료들은 높은 부식성의 황산(corrosive sulfuric acid)/과산화수소(hydrogen peroxide) 혼합물에서 코팅되며, 보존기간(shelf-life) 안정성(stability)이 좋지 않다. 둘째, 복합 혼합물로서 성능 개선을 위한 구조변경이 용이하지 않다. 셋째, 25 wt% 정도의 극히 높은 농도의 TMAH (tetramethylammonium hydroxide) 용액 등에서 현상되어야 한다.These materials have been effective at patterning large features in bilayer configurations with deep UV, x-ray, and e-beam sources. More recently, a cationic hafnium metal oxide sulfate (HfSOx) material was used with a peroxo complexing agent to image 15 nm half-pitch (HP) by projection EUV exposure. impressive performance when used (US2011-0045406; J. K. Stowers, A. Telecky, M. Kocsis, B. L. Clark, D. A. Keszler, A. Grenville, C. N. Anderson, P. P. Naulleau, Proc. SPIE, 7969, 796915, 2011) . This system exhibits the best performance of a non-CA photoresist and has a speed of light approaching the requirements for a viable EUV photoresist. However, hafnium metal oxide sulfate materials with peroxo complexing agents have several practical drawbacks. First, these materials are coated in a highly corrosive sulfuric acid/hydrogen peroxide mixture and have poor shelf-life stability. Second, as a complex mixture, it is not easy to change the structure for performance improvement. Third, it must be developed in a solution of TMAH (tetramethylammonium hydroxide) at an extremely high concentration of about 25 wt%.

상기 설명한 화학 증폭형(CA: chemically amplified) 감광성 조성물의 단점을 극복하기 위하여 무기계 감광성 조성물이 연구되어 왔다. 무기계 감광성 조성물의 경우 주로 비 화학 증폭형 기작에 의한 화학적 변성으로 현상제 조성물에 의한 제거에 내성을 갖는 네거티브 톤 패터닝에 사용된다. 무기계 조성물의 경우 탄화수소에 비해 높은 EUV 흡수율을 가진 무기계 원소를 함유하고 있어, 비화학증폭형 기작으로도 민감성이 확보될 수 있으며, 스토캐스틱 효과에도 덜 민감하여 선 에지 거칠기 및 결함 개수도 적다고 알려져 있다.In order to overcome the disadvantages of the above-described chemically amplified (CA) photosensitive composition, inorganic photosensitive compositions have been studied. In the case of an inorganic photosensitive composition, it is mainly used for negative tone patterning that is resistant to removal by a developer composition due to chemical modification by a non-chemically amplified mechanism. Inorganic compositions contain inorganic elements with higher EUV absorption than hydrocarbons, so sensitivity can be secured even with a non-chemically amplified mechanism, and they are less sensitive to stochastic effects, so they are known to have less line edge roughness and fewer defects. .

최근 주석을 포함하는 분자가 극자외선 흡수가 탁월하다는 것이 알려지면서 활발한 연구가 이루어지고 있다. 그 중 하나인 유기주석 고분자의 경우 광흡수 또는 이에 의해 생성된 이차 전자에 의해 알킬 리간드가 해리되면서, 주변 사슬과의 옥소 결합을 통한 가교를 통해 유기계 현상액으로 제거되지 않는 네거티브 톤 패터닝이 가능하다. 이와 같은 유기주석 고분자는 해상도, 라인 에지 거칠기를 유지하면서도 비약적으로 감도가 향상됨을 보여주었으나, 상용화를 위해서는 상기 패터닝 특성의 추가적인 향상이 필요하다.Recently, as it is known that tin-containing molecules have excellent extreme ultraviolet absorption, active research is being conducted. In the case of organotin polymer, which is one of them, as the alkyl ligand is dissociated by light absorption or secondary electrons generated thereby, negative tone patterning that is not removed by an organic developer is possible through crosslinking through oxo bonds with surrounding chains. Such an organotin polymer has been shown to dramatically improve sensitivity while maintaining resolution and line edge roughness, but additional improvement in the patterning characteristics is required for commercialization.

일 구현예는 해상도, 에치 내성, 패턴 형성성 및 보관안정성이 우수한 반도체 포토 레지스트용 조성물을 제공한다. One embodiment provides a composition for a semiconductor photoresist having excellent resolution, etch resistance, pattern formation and storage stability.

다른 구현예는 상기 반도체 포토 레지스트용 조성물을 이용한 패턴 형성 방법을 제공한다.Another embodiment provides a pattern forming method using the composition for semiconductor photoresist.

일 구현예에 따른 반도체 포토 레지스트용 조성물은 하기 화학식 1로 표시되는 유기금속화합물 및 용매를 포함한다.A composition for a semiconductor photoresist according to an embodiment includes an organometallic compound represented by Formula 1 below and a solvent.

[화학식 1][Formula 1]

Figure 112023055953174-pat00001
Figure 112023055953174-pat00001

상기 화학식 1에서,In Formula 1,

X1 내지 X4는 각각 독립적으로 -OR1 또는 -OC(=O)R2이고,X 1 to X 4 are each independently -OR 1 or -OC(=O)R 2 ;

상기 R1은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,R 1 is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, or a substituted or unsubstituted C2 to C20 alkynyl group. A ringed C6 to C30 aryl group, or a combination thereof;

R2는 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이다.R 2 is hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C2 to C20 alkynyl group, An unsubstituted C6 to C30 aryl group, or a combination thereof.

상기 R1은 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합이고,R 1 is a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, or a substituted or unsubstituted C2 to C8 alkynyl group. A ringed C6 to C20 aryl group, or a combination thereof;

상기 R2는 수소, 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합일 수 있다.R 2 is hydrogen, a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, or a substituted or unsubstituted C2 to C8 alkynyl group. Or an unsubstituted C6 to C20 aryl group, or a combination thereof.

상기 R1은 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합이고,R 1 is a methyl group, an ethyl group, a propyl group, a butyl group, an isopropyl group, a tert-butyl group, a 2,2-dimethylpropyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, an ethenyl group, A propenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or a combination thereof;

상기 R2는 수소, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합일 수 있다.R 2 is hydrogen, methyl group, ethyl group, propyl group, butyl group, isopropyl group, tert-butyl group, 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ether It may be a yl group, a propenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or a combination thereof.

상기 화학식 1로 표시되는 화합물은 하기 화학식 2로 표시되는 화합물, 하기 화학식 3으로 표시되는 화합물, 하기 화학식 4로 표시되는 화합물, 하기 화학식 5로 표시되는 화합물, 하기 화학식 6으로 표시되는 화합물, 하기 화학식 7로 표시되는 화합물, 또는 이들의 조합을 포함할 수 있다.The compound represented by Formula 1 is a compound represented by Formula 2 below, a compound represented by Formula 3 below, a compound represented by Formula 4 below, a compound represented by Formula 5 below, a compound represented by Formula 6 below, and a compound represented by Formula 6 below. The compound represented by 7, or a combination thereof.

[화학식 2][Formula 2]

Figure 112023055953174-pat00002
Figure 112023055953174-pat00002

[화학식 3][Formula 3]

Figure 112023055953174-pat00003
Figure 112023055953174-pat00003

[화학식 4][Formula 4]

Figure 112023055953174-pat00004
Figure 112023055953174-pat00004

[화학식 5][Formula 5]

Figure 112023055953174-pat00005
Figure 112023055953174-pat00005

[화학식 6][Formula 6]

Figure 112023055953174-pat00006
Figure 112023055953174-pat00006

상기 화학식 2 내지 화학식 6에서,In Formulas 2 to 6,

상기 Ra, Rb, Rc, Rd, Rf, Rg, Rh, Rk, Rl, 및 Rp에 대한 정의는 상기 화학식 1의 R2에 대한 정의와 같고,The definitions of R a , R b , R c , R d , R f , R g , R h , R k , R l , and R p are the same as those for R 2 in Formula 1,

상기 Re, Ri, Rj, Rm, Rn, Ro, Rq, Rr, Rs, 및 Rt에 대한 정의는 상기 화학식 1의 R1에 대한 정의와 같다.The definitions of R e , R i , R j , R m , R n , R o , R q , R r , R s , and R t are the same as those for R 1 in Formula 1 above.

상기 반도체 포토 레지스트용 조성물은 상기 X1 내지 X4 중 적어도 1개 이상이 -OC(=O)R2일 수 있다.In the composition for semiconductor photoresist, at least one of X 1 to X 4 may be -OC(=O)R 2 .

상기 반도체 포토 레지스트용 조성물은 상기 X1 내지 X4 중 적어도 3개 이상이 -OC(=O)R2일 수 있다.In the semiconductor photoresist composition, at least three of X 1 to X 4 may be -OC(=O)R 2 .

상기 반도체 포토 레지스트용 조성물은 반도체 포토 레지스트용 조성물 100 중량%를 기준으로, 상기 화학식 1로 표현되는 유기금속화합물 0.01 내지 30 중량%, 바람직하게는 0.01 내지 15 중량%, 더 바람직하게는 0.01 내지 10중량%를 포함할 수 있다.The composition for semiconductor photoresist contains 0.01 to 30% by weight, preferably 0.01 to 15% by weight, more preferably 0.01 to 10% by weight of the organometallic compound represented by Chemical Formula 1, based on 100% by weight of the composition for semiconductor photoresist. % by weight.

상기 반도체 포토 레지스트용 조성물은 계면활성제, 가교제, 레벨링제, 또는 이들의 조합의 첨가제를 더 포함할 수 있다.The composition for a semiconductor photoresist may further include an additive such as a surfactant, a crosslinking agent, a leveling agent, or a combination thereof.

다른 구현예에 따른 패턴 형성 방법은 기판 위에 식각 대상 막을 형성하는 단계, 상기 식각 대상 막 위에 전술한 반도체 포토 레지스트용 조성물을 적용하여 포토 레지스트 막을 형성하는 단계, 상기 포토 레지스트 막을 패터닝하여 포토 레지스트 패턴을 형성하는 단계 및 상기 포토 레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함한다.A pattern formation method according to another embodiment includes forming a target layer on a substrate, forming a photoresist layer by applying the above-described composition for semiconductor photoresist on the target layer, and patterning the photoresist layer to form a photoresist pattern. forming and etching the etch target layer using the photoresist pattern as an etch mask.

상기 포토 레지스트 패턴을 형성하는 단계는 5nm 내지 150nm 파장의 광을 사용할 수 있다.The forming of the photoresist pattern may use light having a wavelength of 5 nm to 150 nm.

상기 패턴 형성 방법은 상기 기판과 상기 포토 레지스트 막 사이에 형성되는 레지스트 하층막을 제공하는 단계를 더 포함할 수 있다.The pattern forming method may further include providing a resist underlayer film formed between the substrate and the photoresist film.

상기 포토 레지스트 패턴은 5nm 내지 100nm의 폭을 가질 수 있다.The photoresist pattern may have a width of 5 nm to 100 nm.

일 구현예에 따른 반도체 포토 레지스트용 조성물은 상대적으로 에치 내성 및 해상도가 향상되고, 패턴 형성성이 우수하며, 보관안정성도 우수한바, 이를 이용하면 높은 종횡비(aspect ratio)를 가지더라도 패턴이 무너지지 않는 포토 레지스트 패턴을 제공할 수 있다.The composition for a semiconductor photoresist according to an embodiment has relatively improved etch resistance and resolution, excellent pattern formation, and excellent storage stability, so that the pattern does not collapse even if it has a high aspect ratio. A photoresist pattern may be provided.

도 1 내지 도 5는 일 구현예에 따른 반도체 포토 레지스트용 조성물을 이용한 패턴 형성방법을 설명하기 위한 단면도이다.1 to 5 are cross-sectional views illustrating a method of forming a pattern using a composition for semiconductor photoresist according to an embodiment.

이하, 첨부된 도면을 참조하여 본 발명의 실시예들을 상세하게 설명하면 다음과 같다. 다만, 본 기재를 설명함에 있어서, 이미 공지된 기능 혹은 구성에 대한 설명은, 본 기재의 요지를 명료하게 하기 위하여 생략하기로 한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, in describing the present description, descriptions of already known functions or configurations will be omitted to clarify the gist of the present description.

본 기재를 명확하게 설명하기 위해서 설명과 관계없는 부분을 생략하였으며, 명세서 전체를 통하여 동일 또는 유사한 구성요소에 대해서는 동일한 참조 부호를 붙이도록 한다. 또한, 도면에서 나타난 각 구성의 크기 및 두께는 설명의 편의를 위해 임의로 나타내었으므로 본 기재가 반드시 도시된 바에 한정되지 않는다.In order to clearly describe the description, parts irrelevant to the description have been omitted, and the same reference numerals are used for the same or similar components throughout the specification. In addition, since the size and thickness of each component shown in the drawings are arbitrarily shown for convenience of description, the present description is not necessarily limited to those shown.

도면에서 여러 층 및 영역을 명확하게 표현하기 위하여 두께를 확대하여 나타내었다. 그리고 도면에서 설명의 편의를 위해 일부 층 및 영역의 두께를 과장되게 나타내었다. 층, 막, 영역, 판 등의 부분이 다른 부분 "위에" 또는 "상에" 있다고 할 때, 이는 다른 부분 "바로 위에" 있는 경우뿐 아니라 그 중간에 또 다른 부분이 있는 경우도 포함한다.In the drawings, the thickness is shown enlarged to clearly express the various layers and regions. Also, in the drawings, the thicknesses of some layers and regions are exaggerated for convenience of explanation. When a part such as a layer, film, region, plate, etc. is said to be "on" or "on" another part, this includes not only the case where it is "directly on" the other part, but also the case where there is another part in between.

본 기재에서, "치환"이란 수소 원자가 중수소, 할로겐기, 히드록시기, 시아노기, 니트로기, -NRR’(여기서, R 및 R’은, 각각 독립적으로, 수소, 치환 또는 비치환된 C1 내지 C30 포화 또는 불포화 지방족 탄화수소기, 치환 또는 비치환된 C3 내지 C30 포화 또는 불포화 지환족 탄화수소기, 또는 치환 또는 비치환된 C6 내지 C30 방향족 탄화수소기이다), -SiRR’R” (여기서, R, R’, 및 R”은, 각각 독립적으로, 수소, 치환 또는 비치환된 C1 내지 C30 포화 또는 불포화 지방족 탄화수소기, 치환 또는 비치환된 C3 내지 C30 포화 또는 불포화 지환족 탄화수소기, 또는 치환 또는 비치환된 C6 내지 C30 방향족 탄화수소기이다), C1 내지 C30 알킬기, C1 내지 C10 할로알킬기, C1 내지 C10 알킬실릴기, C3 내지 C30 사이클로알킬기, C6 내지 C30 아릴기, C1 내지 C20 알콕시기, 또는 이들의 조합으로 치환된 것을 의미한다. "비치환"이란 수소 원자가 다른 치환기로 치환되지 않고 수소 원자로 남아있는 것을 의미한다.In the present description, "substitution" means that a hydrogen atom is deuterium, a halogen group, a hydroxyl group, a cyano group, a nitro group, -NRR' (where R and R' are each independently hydrogen, substituted or unsubstituted C1 to C30 saturated Or an unsaturated aliphatic hydrocarbon group, a substituted or unsubstituted C3 to C30 saturated or unsaturated alicyclic hydrocarbon group, or a substituted or unsubstituted C6 to C30 aromatic hydrocarbon group), -SiRR'R” (where R, R', And R” is each independently hydrogen, a substituted or unsubstituted C1 to C30 saturated or unsaturated aliphatic hydrocarbon group, a substituted or unsubstituted C3 to C30 saturated or unsaturated alicyclic hydrocarbon group, or a substituted or unsubstituted C6 to C30 saturated or unsaturated aliphatic hydrocarbon group. a C30 aromatic hydrocarbon group), a C1 to C30 alkyl group, a C1 to C10 haloalkyl group, a C1 to C10 alkylsilyl group, a C3 to C30 cycloalkyl group, a C6 to C30 aryl group, a C1 to C20 alkoxy group, or a combination thereof. means that "Unsubstituted" means that a hydrogen atom remains a hydrogen atom without being replaced by another substituent.

본 명세서에서 "알킬(alkyl)기"이란, 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형 지방족 탄화수소기를 의미한다. 알킬기는 어떠한 이중결합이나 삼중결합을 포함하고 있지 않은 "포화 알킬(saturated alkyl)기"일 수 있다.In this specification, "alkyl (alkyl) group" means a straight-chain or branched-chain aliphatic hydrocarbon group unless otherwise defined. An alkyl group may be a "saturated alkyl group" that does not contain any double or triple bonds.

상기 알킬기는 C1 내지 C8인 알킬기일 수 있다. 예를 들어, 상기 알킬기는 C1 내지 C7 알킬기, C1 내지 C6 알킬기, C1 내지 C5 알킬기, 또는 C1 내지 C4 알킬기일 수 있다. 예를 들어, C1 내지 C4 알킬기는 메틸기, 에틸기, 프로필기, 아이소프로필기, n-부틸기, 아이소부틸기, sec-부틸기, 또는 tert-부틸기, 2,2-디메틸프로필기일 수 있다.The alkyl group may be a C1 to C8 alkyl group. For example, the alkyl group may be a C1 to C7 alkyl group, a C1 to C6 alkyl group, a C1 to C5 alkyl group, or a C1 to C4 alkyl group. For example, the C1 to C4 alkyl group may be a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, an isobutyl group, a sec-butyl group, or a tert-butyl group or a 2,2-dimethylpropyl group.

본 기재에서 "사이클로알킬(cycloalkyl)기"란 별도의 정의가 없는 한, 1가의 고리형 지방족 포화 탄화수소기를 의미한다.In the present description, "cycloalkyl group" means a monovalent cyclic aliphatic saturated hydrocarbon group unless otherwise defined.

사이클로알킬기는 C3 내지 C8 사이클로알킬기, 예를 들어, C3 내지 C7 사이클로알킬기, C3 내지 C6 사이클로알킬기, C3 내지 C5 사이클로알킬기, C3 내지 C4 사이클로알킬기일 수 있다. 예를 들어, 사이클로알킬기는 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기일 수 있고, 이들에 제한되지 않는다.The cycloalkyl group may be a C3 to C8 cycloalkyl group, for example, a C3 to C7 cycloalkyl group, a C3 to C6 cycloalkyl group, a C3 to C5 cycloalkyl group, or a C3 to C4 cycloalkyl group. For example, the cycloalkyl group may be a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, or a cyclohexyl group, but is not limited thereto.

본 명세서에서, "지방족 불포화 유기기"란, 분자중의 탄소와 탄소 원자 사이의 결합이 이중 결합, 삼중 결합, 또는 이들의 조합인 결합을 포함하는 탄화수소기를 의미한다.In this specification, "aliphatic unsaturated organic group" refers to a hydrocarbon group including a bond in which the bond between carbon atoms in a molecule is a double bond, a triple bond, or a combination thereof.

상기 지방족 불포화 유기기는 C2 내지 C8 지방족 불포화 유기기일 수 있다. 예를 들어, 상기 지방족 불포화 유기기는 C2 내지 C7 지방족 불포화 유기기, C2 내지 C6 지방족 불포화 유기기, C2 내지 C5 지방족 불포화 유기기, C2 내지 C4 지방족 불포화 유기기일 수 있다. 예를 들어, C2 내지 C4 지방족 불포화 유기기는 바이닐기, 에타이닐기, 알릴기, 1-프로페닐기, 1-메틸-1-프로페닐기, 2-프로페닐기, 2-메틸-2-프로페닐기, 1-프로파이닐기, 1-메틸-1프로파이닐기, 2-프로파이닐기, 2-메틸-2-프로파이닐기, 1-부테닐기, 2-부테닐기, 3-부테닐기, 1-부타이닐기, 2-부타이닐기, 3-부타이닐기 일 수 있다.The aliphatic unsaturated organic group may be a C2 to C8 aliphatic unsaturated organic group. For example, the aliphatic unsaturated organic group may be a C2 to C7 aliphatically unsaturated organic group, a C2 to C6 aliphatic unsaturated organic group, a C2 to C5 aliphatic unsaturated organic group, or a C2 to C4 aliphatically unsaturated organic group. For example, a C2 to C4 aliphatic unsaturated organic group is a vinyl group, an ethynyl group, an allyl group, a 1-propenyl group, a 1-methyl-1-propenyl group, a 2-propenyl group, a 2-methyl-2-propenyl group, a 1- Propynyl group, 1-methyl-1propynyl group, 2-propynyl group, 2-methyl-2-propynyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 1-butynyl group, 2 -It may be a butynyl group or a 3-butynyl group.

본 명세서에서, "아릴(aryl)기"는, 고리형인 치환기의 모든 원소가 p-오비탈을 가지고 있으며, 이들 p-오비탈이 공액(conjugation)을 형성하고 있는 치환기를 의미하고, 모노사이클릭 또는 융합 고리 폴리사이클릭(즉, 탄소원자들의 인접한 쌍들을 나눠 가지는 고리) 작용기를 포함한다.In the present specification, "aryl group" means a substituent in which all elements of a cyclic substituent have p-orbitals, and these p-orbitals form conjugation, and are monocyclic or fused. contains a ring polycyclic (ie, a ring having split adjacent pairs of carbon atoms) functional groups.

본 명세서에서, “알케닐(alkenyl)기”란, 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형의 지방족 탄화수소기로서, 하나 이상의 이중결합을 포함하고 있는 지방족 불포화 알케닐(unsaturated alkenyl)기를 의미한다.In the present specification, “alkenyl group” is a straight-chain or branched-chain aliphatic hydrocarbon group, unless otherwise defined, and means an aliphatic unsaturated alkenyl group containing one or more double bonds. do.

본 명세서에서, “알카이닐(alkynyl)기”란, 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형의 지방족 탄화수소기로서, 하나 이상의 삼중결합을 포함하고 있는 지방족 불포화 알카이닐(unsaturated alkynyl)기를 의미한다.In the present specification, "alkynyl group" is a straight-chain or branched-chain aliphatic hydrocarbon group, unless otherwise defined, and means an aliphatic unsaturated alkynyl group containing one or more triple bonds. do.

이하 일 구현예에 따른 반도체 포토 레지스트용 조성물을 설명한다.Hereinafter, a composition for a semiconductor photoresist according to an embodiment will be described.

본 발명의 일 구현예에 따른 반도체 포토 레지스트용 조성물은 하기 화학식 1로 표시되는 유기금속화합물 및 용매를 포함한다.A composition for a semiconductor photoresist according to an embodiment of the present invention includes an organometallic compound represented by Formula 1 below and a solvent.

[화학식 1][Formula 1]

Figure 112023055953174-pat00007
Figure 112023055953174-pat00007

상기 화학식 1에서,In Formula 1,

X1 내지 X4는 각각 독립적으로 -OR1 또는 -OC(=O)R2이고,X 1 to X 4 are each independently -OR 1 or -OC(=O)R 2 ;

상기 R1은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,R 1 is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, or a substituted or unsubstituted C2 to C20 alkynyl group. A ringed C6 to C30 aryl group, or a combination thereof;

R2는 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이다.R 2 is hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C2 to C20 alkynyl group, An unsubstituted C6 to C30 aryl group, or a combination thereof.

상기 화학식 1로 표시되는 유기금속화합물은 주석 원자에 4개의 X1 내지 X4 유기 리간드가 결합되어 있으며, 상기 X1 내지 X4는 각각 독립적으로 -OR1 또는 -OC(=O)R2일 수 있다. 즉, 상기 화학식 1로 표시되는 유기금속화합물은 주석 원자가 탄소 원자(C)와 직접 결합하지 않고, 산소 원자(O)와 결합하면서 리간드를 이룬다.In the organometallic compound represented by Formula 1, four X 1 to X 4 organic ligands are bonded to a tin atom, and the X 1 to X 4 are each independently -OR 1 or -OC(=O)R 2 can That is, in the organometallic compound represented by Chemical Formula 1, the tin atom does not directly bond to the carbon atom (C), but forms a ligand while bonding to the oxygen atom (O).

상기 화학식 1로 표시되는 유기금속화합물은 유기주석화합물로서, 주석은 13.5nm 에서 극자외선 광을 강하게 흡수하여 고에너지를 갖는 광에 대한 감도가 우수하다. 또한, 상기 화학식 1의 화합물은 4개의 -OR1 또는 -OC(=O)R2가 주석 원소에 결합됨에 따라, 유기주석 화합물에 유기 용매에 대한 용해성을 부여할 수 있고, 이를 포함하는 반도체 포토 레지스트용 조성물의 에치 내성, 해상도, 및 패턴 형성성을 향상시키고, 보관안정성 및 코팅성도 우수하게 할 수 있다.The organometallic compound represented by Chemical Formula 1 is an organotin compound, and tin strongly absorbs extreme ultraviolet light at 13.5 nm and has excellent sensitivity to light having high energy. In addition, the compound of Formula 1 may impart solubility in an organic solvent to an organotin compound as four -OR 1 or -OC(=O)R 2 are bonded to a tin element, and a semiconductor photovoltaic device including the same Etch resistance, resolution, and pattern formation of the resist composition can be improved, and storage stability and coating properties can be improved.

상기 4개의 유기 리간드인 X1 내지 X4는 각각 가수분해되어 Sn-O 결합을 형성할 수 있는데, 이들 유기 리간드는 산성 또는 염기성 촉매 하에서 열처리하거나, 또는 열처리하지 않음으로써 가수분해되어, 유기주석 화합물 간 Sn-O-Sn 결합을 형성하고, 이로써 상기 화학식 1로 표시되는 유기금속화합물을 포함하는 유기주석 공중합체를 형성하게 된다.Each of the four organic ligands, X 1 to X 4 , may be hydrolyzed to form a Sn-O bond, and these organic ligands are hydrolyzed by heat treatment under an acidic or basic catalyst or not by heat treatment, resulting in organotin compounds. An inter-Sn-O-Sn bond is formed, thereby forming an organotin copolymer including the organometallic compound represented by Chemical Formula 1.

이때, 상기 화학식 1로 표시되는 유기금속화합물의 4개의 유기 리간드가 모두 Sn-O 결합으로 이루어져있고, Sn-C 결합은 존재하지 않으므로, 이를 포함하는 반도체 포토 레지스트용 조성물은, 극자외선 노광 시 비교적 쉽게 해리되는 Sn-C결합을 갖는 유기금속화합물을 포함하는 반도체 포토 레지스트용 조성물에 비해 보관안정성이 향상될 수 있다.At this time, since all four organic ligands of the organometallic compound represented by Chemical Formula 1 consist of Sn-O bonds and there is no Sn-C bond, the composition for semiconductor photoresist including the organic ligands is relatively stable when exposed to extreme ultraviolet rays. Storage stability may be improved compared to a composition for a semiconductor photoresist including an organometallic compound having an easily dissociated Sn-C bond.

또한, 구체적으로, 주석 원자와 -OC(=O)R2간의 결합은 주석 원자와 -OR1간의 결합 보다 극자외선 노광 시 해당 결합이 해리되는데 더 많은 에너지를 필요로 하므로, 상기 유기금속화합물 내에 -OC(=O)R2 치환기의 개수가 증가할수록 상기 유기금속화합물을 포함하는 반도체 포토 레지스트용 조성물의 보관안정성은 더욱 향상될 수 있다.In addition, specifically, since the bond between the tin atom and -OC(=O)R 2 requires more energy for dissociation of the bond when exposed to extreme ultraviolet rays than the bond between the tin atom and -OR 1 , the organometallic compound As the number of -OC(=O)R 2 substituents increases, the storage stability of the composition for semiconductor photoresist including the organometallic compound may be further improved.

상기 유기금속화합물은 X1 내지 X4의 리간드가 해리되면서 발생하는 라디칼에 의해 주변 사슬과의 옥소 결합을 통한 가교 결합을 형성하게 되고, 결과적으로 라인 에지 거칠기가 적고 우수한 해상도를 가지면서도 보관안정성이 우수한 반도체 포토 레지스트를 제공할 수 있다.The organometallic compound forms cross-linked bonds through oxo bonds with neighboring chains by radicals generated as ligands of X 1 to X 4 dissociate, and as a result, has low line edge roughness and excellent resolution while maintaining storage stability. An excellent semiconductor photoresist can be provided.

상기 R1은, 예를 들어, 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합일 수 있고, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합일 수 있다.Wherein R 1 is, for example, a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, or a substituted or unsubstituted C2 to C8 alkyi group. It may be a yl group, a substituted or unsubstituted C6 to C20 aryl group, or a combination thereof, for example, a methyl group, an ethyl group, a propyl group, a butyl group, an isopropyl group, a tert-butyl group, a 2,2-dimethyl A propyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, an ethenyl group, a propenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or It may be a combination of these.

상기 R2는, 예를 들어, 수소, 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합일 수 있고, 예를 들어, 수소, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합일 수 있다.R 2 is, for example, hydrogen, a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkenyl group, or a substituted or unsubstituted C2 to C8 cycloalkyl group. It may be a C8 alkynyl group, a substituted or unsubstituted C6 to C20 aryl group, or a combination thereof, for example, hydrogen, methyl group, ethyl group, propyl group, butyl group, isopropyl group, tert-butyl group, 2 ,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group, propenyl group, butenyl group, ethynyl group, propynyl group, butynyl group, phenyl group, tolyl group, xylene group, benzyl group, or a combination thereof.

상기 화학식 1로 표시되는 화합물은 하기 화학식 2로 표시되는 화합물, 하기 화학식 3으로 표시되는 화합물, 하기 화학식 4로 표시되는 화합물, 하기 화학식 5로 표시되는 화합물, 하기 화학식 6으로 표시되는 화합물, 하기 화학식 7로 표시되는 화합물, 또는 이들의 조합을 포함할 수 있다.The compound represented by Formula 1 is a compound represented by Formula 2 below, a compound represented by Formula 3 below, a compound represented by Formula 4 below, a compound represented by Formula 5 below, a compound represented by Formula 6 below, and a compound represented by Formula 6 below. The compound represented by 7, or a combination thereof.

[화학식 2][Formula 2]

Figure 112023055953174-pat00008
Figure 112023055953174-pat00008

[화학식 3][Formula 3]

Figure 112023055953174-pat00009
Figure 112023055953174-pat00009

[화학식 4][Formula 4]

Figure 112023055953174-pat00010
Figure 112023055953174-pat00010

[화학식 5][Formula 5]

Figure 112023055953174-pat00011
Figure 112023055953174-pat00011

[화학식 6][Formula 6]

Figure 112023055953174-pat00012
Figure 112023055953174-pat00012

상기 화학식 2 내지 화학식 6에서,In Formulas 2 to 6,

상기 Ra, Rb, Rc, Rd, Rf, Rg, Rh, Rk, Rl, 및 Rp에 대한 정의는 상기 화학식 1의 R2에 대한 정의와 같고,The definitions of R a , R b , R c , R d , R f , R g , R h , R k , R l , and R p are the same as those for R 2 in Formula 1,

상기 Re, Ri, Rj, Rm, Rn, Ro, Rq, Rr, Rs, 및 Rt에 대한 정의는 상기 화학식 1의 R1에 대한 정의와 같다.The definitions of R e , R i , R j , R m , R n , R o , R q , R r , R s , and R t are the same as those for R 1 in Formula 1 above.

상기 화학식 1로 표시되는 유기금속화합물은 4개의 X1 내지 X4 중 적어도 1개 이상이 -OC(=O)R2일 수 있고, 적어도 2개 이상이 -OC(=O)R2일 수 있고, 적어도 3개 이상이 -OC(=O)R2일 수 있고, 또는 4개 모두가 -OC(=O)R2일 수 있다. 이와 관련하여, 앞서 서술한 바와 같이, 주석 원자와 -OC(=O)R2간의 결합은 주석 원자와 -OR1간의 결합 보다 극자외선 노광 시 결합이 해리되는데 더 많은 에너지를 필요로 하므로, 상기 유기금속화합물 내에 -OC(=O)R2 치환기의 개수가 증가할수록 상기 유기금속화합물을 포함하는 반도체 포토 레지스트용 조성물의 보관안정성은 더욱 향상될 수 있다. In the organometallic compound represented by Chemical Formula 1, at least one of four X 1 to X 4 may be -OC(=O)R 2 , and at least two of them may be -OC(=O)R 2 . And, at least three or more may be -OC(=O)R 2 , or all four may be -OC(=O)R 2 . In this regard, as described above, since the bond between the tin atom and -OC(=O)R 2 requires more energy to dissociate during EUV exposure than the bond between the tin atom and -OR 1 , the above As the number of -OC(=O)R 2 substituents in the organometallic compound increases, the storage stability of the composition for semiconductor photoresist including the organometallic compound may be further improved.

상기 반도체 포토 레지스트용 조성물은 반도체 포토 레지스트용 조성물 100 중량%를 기준으로, 상기 화학식 1로 표현되는 유기금속화합물 0.01 중량% 이상, 0.05 중량% 이상, 0.1 중량% 이상, 0.5 중량% 이상, 1.0 중량% 이상, 2.0 중량% 이상, 3,0 중량% 이상, 4.0 중량% 이상, 또는 5.0 중량% 이상 및 30 중량% 이하, 28 중량% 이하, 25 중량% 이하, 23 중량% 이하, 20 중량% 이하, 18 중량% 이하, 15 중량% 이하, 13 중량% 이하, 또는 10 중량% 이하일 수 있고, 이들에 제한되지 않는다. 일 구현예에 따른 반도체 포토 레지스트 조성물이 상기 화학식 1로 표시되는 유기금속화합물을 상기 범위로 포함함으로써 상기 조성물로부터 포토 레지스트 형성시 코팅 등의 공정을 용이하게 할 수 있고, 포토 레지스트의 패턴형성성을 향상시킬 수 있다.The composition for semiconductor photoresist contains 0.01 wt% or more, 0.05 wt% or more, 0.1 wt% or more, 0.5 wt% or more, 1.0 wt% or more of the organometallic compound represented by Chemical Formula 1, based on 100 wt% of the semiconductor photoresist composition. % or more, 2.0 wt% or more, 3,0 wt% or more, 4.0 wt% or more, or 5.0 wt% or more and 30 wt% or less, 28 wt% or less, 25 wt% or less, 23 wt% or less, 20 wt% or less , 18 wt% or less, 15 wt% or less, 13 wt% or less, or 10 wt% or less, but is not limited thereto. Since the semiconductor photoresist composition according to an embodiment includes the organometallic compound represented by Chemical Formula 1 in the above range, processes such as coating can be facilitated when forming a photoresist from the composition, and pattern formation of the photoresist can be improved. can improve

일 구현예에 따른 반도체 레지스트 조성물에 포함되는 용매는 유기용매일 수 있으며, 일 예로, 방향족 화합물류(예를 들어, 자일렌, 톨루엔), 알콜류(예를 들어, 4-메틸-2-펜탄올, 4-메틸-2-프로판올, 1-부탄올, 메탄올, 이소프로필 알콜, 1-프로판올), 에테르류(예를 들어, 아니솔, 테트라하이드로푸란), 에스테르류(n-부틸 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트), 케톤류(예를 들어, 메틸 에틸 케톤, 2-헵타논), 이들의 혼합물 등을 포함할 수 있으나, 이에 한정되는 것은 아니다.The solvent included in the semiconductor resist composition according to an embodiment may be an organic solvent, and for example, aromatic compounds (eg, xylene, toluene), alcohols (eg, 4-methyl-2-pentanol) , 4-methyl-2-propanol, 1-butanol, methanol, isopropyl alcohol, 1-propanol), ethers (e.g., anisole, tetrahydrofuran), esters (n-butyl acetate, propylene glycol mono methyl ether acetate, ethyl acetate, ethyl lactate), ketones (eg, methyl ethyl ketone, 2-heptanone), mixtures thereof, and the like, but are not limited thereto.

일 구현예에서, 상기 반도체 레지스트 조성물은 상기한 유기금속 화합물과 용매 외에, 추가로 수지를 더 포함할 수 있다. In one embodiment, the semiconductor resist composition may further include a resin in addition to the organic metal compound and the solvent.

상기 수지로는 하기 그룹 1에 나열된 방향족 모이어티를 적어도 하나 이상 포함하는 페놀계 수지일 수 있다. The resin may be a phenolic resin containing at least one of the aromatic moieties listed in Group 1 below.

[그룹 1][Group 1]

Figure 112023055953174-pat00013
Figure 112023055953174-pat00013

상기 수지는 중량평균분자량이 500 내지 20,000일 수 있다.The resin may have a weight average molecular weight of 500 to 20,000.

상기 수지는 상기 반도체 레지스트용 조성물의 총 함량에 대하여 0.1 중량% 내지 50 중량%로 포함될 수 있다.The resin may be included in an amount of 0.1 wt% to 50 wt% based on the total amount of the composition for semiconductor resist.

상기 수지가 상기 함량 범위로 함유될 경우, 우수한 내식각성 및 내열성을 가질 수 있다.When the resin is contained in the above content range, it may have excellent corrosion resistance and heat resistance.

한편, 일 구현예에 따른 반도체 레지스트용 조성물은 전술한 유기금속 화합물과 용매, 및 수지로 이루어지는 것이 바람직하다. 다만, 전술한 구현예에 따른 반도체 레지스트용 조성물은 경우에 따라 첨가제를 더 포함할 수 있다. 상기 첨가제의 예시로는 계면활성제, 가교제, 레벨링제, 또는 이들의 조합을 들 수 있다.Meanwhile, the composition for a semiconductor resist according to one embodiment is preferably composed of the above-described organometallic compound, a solvent, and a resin. However, the composition for a semiconductor resist according to the above-described embodiment may further include an additive according to circumstances. Examples of such additives include surfactants, crosslinking agents, leveling agents, or combinations thereof.

계면활성제는 예컨대 알킬벤젠설폰산 염, 알킬피리디늄 염, 폴리에틸렌글리콜, 제4 암모늄 염, 또는 이들의 조합을 사용할 수 있으나, 이에 한정되는 것은 아니다.As the surfactant, for example, an alkylbenzenesulfonic acid salt, an alkylpyridinium salt, polyethylene glycol, a quaternary ammonium salt, or a combination thereof may be used, but is not limited thereto.

가교제는 예컨대 멜라민계 가교제, 치환요소계 가교제, 또는 폴리머계 가교제 등을 들 수 있으나, 이에 한정되는 것은 아니다. 적어도 2개의 가교형성 치환기를 갖는 가교제로, 예를 들면, 메톡시메틸화 글리코루릴, 부톡시메틸화 글리코루릴, 메톡시메틸화 멜라민, 부톡시메틸화 멜라민, 메톡시메틸화 벤조구아나민, 부톡시메틸화 벤조구아나민, 메톡시메틸화요소, 부톡시메틸화요소, 또는 메톡시메틸화 티오요소 등의 화합물을 사용할 수 있다.The crosslinking agent may include, for example, a melamine-based crosslinking agent, a substituted urea-based crosslinking agent, or a polymer-based crosslinking agent, but is not limited thereto. crosslinking agents having at least two crosslinking substituents, for example methoxymethylated glycoluril, butoxymethylated glycoluril, methoxymethylated melamine, butoxymethylated melamine, methoxymethylated benzoguanamine, butoxymethylated benzoguanamine , Compounds such as methoxymethylated urea, butoxymethylated urea, or methoxymethylated thiourea can be used.

레벨링제는 인쇄시 코팅 평탄성을 향상시키기 위한 것으로, 상업적인 방법으로 입수 가능한 공지의 레벨링제를 사용할 수 있다.The leveling agent is for improving coating flatness during printing, and a known leveling agent available commercially may be used.

상기 이들 첨가제의 사용량은 원하는 물성에 따라 용이하게 조절될 수 있으며, 생략될 수도 있다.The amount of these additives used can be easily adjusted according to desired physical properties and may be omitted.

또한 상기 반도체 레지스트용 조성물은 기판과의 밀착력 등의 향상을 위해 (예컨대 반도체 레지스트용 조성물의 기판과의 접착력 향상을 위해), 접착력 증진제로서 실란 커플링제를 첨가제로 더 사용할 수 있다. 상기 실란 커플링제는 예컨대, 비닐트리메톡시실란, 비닐트리에톡시실란, 비닐 트리클로로실란, 비닐트리스(β-메톡시에톡시)실란; 또는 3-메타크릴옥시프로필트리메톡시실란, 3-아크릴옥시프로필트리메톡시실란, p-스티릴 트리메톡시실란, 3-메타크릴옥시프로필메틸디메톡시실란, 3-메타크릴옥시프로필메틸디 에톡시실란; 트리메톡시[3-(페닐아미노)프로필]실란 등의 탄소-탄소 불포화 결합 함유 실란 화합물 등을 사용할 수 있으나, 이에 한정되는 것은 아니다.In addition, the composition for semiconductor resist may further use a silane coupling agent as an additive to improve adhesion to a substrate (for example, to improve adhesion of the composition for semiconductor resist to a substrate). Examples of the silane coupling agent include vinyltrimethoxysilane, vinyltriethoxysilane, vinyltrichlorosilane, and vinyltris(β-methoxyethoxy)silane; Or 3-methacryloxypropyltrimethoxysilane, 3-acryloxypropyltrimethoxysilane, p-styryl trimethoxysilane, 3-methacryloxypropylmethyldimethoxysilane, 3-methacryloxypropylmethyldi ethoxysilane; A carbon-carbon unsaturated bond-containing silane compound such as trimethoxy[3-(phenylamino)propyl]silane may be used, but is not limited thereto.

상기 반도체 포토 레지스트용 조성물은 높은 종횡비(aspect ratio)를 가지는 패턴을 형성해도 패턴 무너짐이 발생하지 않을 수 있다. 따라서, 예를 들어, 5nm 내지 100nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 80nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 70nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 50nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 40nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 30nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 20nm의 폭을 가지는 미세 패턴을 형성하기 위하여, 5nm 내지 150nm 파장의 광을 사용하는 포토 레지스트 공정, 예를 들어, 5nm 내지 100nm 파장의 광을 사용하는 포토 레지스트 공정, 예를 들어, 5nm 내지 80nm 파장의 광을 사용하는 포토 레지스트 공정, 예를 들어, 5nm 내지 50nm 파장의 광을 사용하는 포토 레지스트 공정, 예를 들어, 5nm 내지 30nm 파장의 광을 사용하는 포토 레지스트 공정, 예를 들어, 5nm 내지 20nm 파장의 광을 사용하는 포토 레지스트 공정에 사용할 수 있다. 따라서, 일 구현예에 따른 반도체 포토 레지스트용 조성물을 이용하면, 약 13.5nm 파장의 EUV 광원을 사용하는 극자외선 리소그래피를 구현할 수 있다. The composition for semiconductor photoresist may not cause pattern collapse even when a pattern having a high aspect ratio is formed. Therefore, for example, a fine pattern having a width of 5 nm to 100 nm, for example, a fine pattern having a width of 5 nm to 80 nm, for example, a fine pattern having a width of 5 nm to 70 nm, for example, a fine pattern having a width of 5 nm to 80 nm. A fine pattern having a width of 50 nm, for example, a fine pattern having a width of 5 nm to 40 nm, for example, a fine pattern having a width of 5 nm to 30 nm, for example, a fine pattern having a width of 5 nm to 20 nm To form, a photoresist process using light of a wavelength of 5 nm to 150 nm, for example, a photoresist process using light of a wavelength of 5 nm to 100 nm, for example, a photoresist process using light of a wavelength of 5 nm to 80 nm , For example, a photoresist process using light with a wavelength of 5 nm to 50 nm, for example, a photoresist process using light with a wavelength of 5 nm to 30 nm, for example, a photoresist using light with a wavelength of 5 nm to 20 nm can be used in the process. Accordingly, extreme ultraviolet lithography using an EUV light source having a wavelength of about 13.5 nm can be implemented by using the composition for a semiconductor photoresist according to one embodiment.

한편, 다른 일 구현예에 따르면, 상술한 반도체 포토 레지스트용 조성물을 사용하여 패턴을 형성하는 방법이 제공될 수 있다. 일 예로, 제조된 패턴은 포토 레지스트 패턴일 수 있다. Meanwhile, according to another embodiment, a method of forming a pattern using the above-described composition for semiconductor photoresist may be provided. For example, the fabricated pattern may be a photoresist pattern.

일 구현예에 다른 패턴 형성 방법은 기판 위에 식각 대상 막을 형성하는 단계, 상기 식각 대상 막 위에 전술한 반도체 레지스트용 조성물을 적용하여 포토 레지스트 막을 형성하는 단계, 상기 포토 레지스트 막을 패터닝하여 포토 레지스트 패턴을 형성하는 단계 및 상기 포토 레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함한다. Another pattern forming method according to an embodiment includes forming a film to be etched on a substrate, forming a photoresist film by applying the composition for semiconductor resist on the film to be etched, and patterning the photoresist film to form a photoresist pattern. and etching the etch target layer using the photoresist pattern as an etch mask.

이하, 상술한 반도체 레지스트용 조성물을 사용하여 패턴을 형성하는 방법에 대하여 도 1 내지 5를 참고하여 설명한다. 도 1 내지 도 5는 본 발명에 따른 반도체 레지스트용 조성물을 이용한 패턴 형성 방법을 설명하기 위한 단면도들이다. Hereinafter, a method of forming a pattern using the above-described composition for semiconductor resist will be described with reference to FIGS. 1 to 5 . 1 to 5 are cross-sectional views for explaining a pattern formation method using a composition for semiconductor resist according to the present invention.

도 1을 참조하면, 우선 식각 대상물을 마련한다. 상기 식각 대상물의 예로서는 반도체 기판(100) 상에 형성되는 박막(102)일 수 있다. 이하에서는 상기 식각 대상물이 박막(102)인 경우에 한해 설명한다. 상기 박막(102)상에 잔류하는 오염물 등을 제거하기 위해 상기 박막(102)의 표면을 세정한다. 상기 박막(102)은 예컨대 실리콘 질화막, 폴리실리콘막 또는 실리콘 산화막일 수 있다.Referring to FIG. 1 , first, an object to be etched is prepared. An example of the object to be etched may be the thin film 102 formed on the semiconductor substrate 100 . Hereinafter, only the case where the object to be etched is the thin film 102 will be described. The surface of the thin film 102 is cleaned to remove contaminants remaining on the thin film 102 . The thin film 102 may be, for example, a silicon nitride film, a polysilicon film, or a silicon oxide film.

이어서, 세정된 박막(102)의 표면상에 레지스트 하층막(104)을 형성하기 위한 레지스트 하층막 형성용 조성물을 스핀 코팅방식을 적용하여 코팅한다. 다만, 일 구현예가 반드시 이에 한정되는 것은 아니고, 공지된 다양한 코팅 방법, 예를 들어 스프레이 코팅, 딥 코팅, 나이프 엣지 코팅, 프린팅법, 예컨대 잉크젯 프린팅 및 스크린 프린팅 등을 이용할 수도 있다.Subsequently, a composition for forming a resist underlayer film for forming a resist underlayer film 104 is coated on the surface of the cleaned thin film 102 by applying a spin coating method. However, one embodiment is not necessarily limited thereto, and various known coating methods such as spray coating, dip coating, knife edge coating, and printing methods such as inkjet printing and screen printing may be used.

상기 레지스트 하층막 코팅과정은 생략할 수 있으며 이하에서는 상기 레지스트 하층막을 코팅하는 경우에 대해 설명한다.The process of coating the resist underlayer film may be omitted. Hereinafter, the case of coating the resist underlayer film will be described.

이후 건조 및 베이킹 공정을 수행하여 상기 박막(102) 상에 레지스트 하층막(104)을 형성한다. 상기 베이킹 처리는 약 100 내지 약 500℃에서 수행하고, 예컨대 약 100℃ 내지 약 300℃에서 수행할 수 있다. Thereafter, a resist underlayer film 104 is formed on the thin film 102 by performing a drying and baking process. The baking treatment may be performed at about 100 to about 500°C, for example, at about 100°C to about 300°C.

레지스트 하층막(104)은 기판(100)과 포토 레지스트 막(106) 사이에 형성되어, 기판(100)과 포토 레지스트 막(106)의 계면 또는 층간 하드마스크(hardmask)로부터 반사되는 조사선이 의도되지 않은 포토 레지스트 영역으로 산란되는 경우 포토 레지스트 선폭(linewidth)의 불균일 및 패턴 형성성을 방해하는 것을 방지할 수 있다. The resist underlayer film 104 is formed between the substrate 100 and the photoresist film 106 so that radiation reflected from an interface between the substrate 100 and the photoresist film 106 or from an interlayer hardmask is not intended. It is possible to prevent non-uniformity of photoresist linewidth and disturbance of pattern formability in the case of scattering into undesirable photoresist regions.

도 2를 참조하면, 상기 레지스트 하층막(104) 위에 상술한 반도체 레지스트용 조성물을 코팅하여 포토 레지스트 막(106)을 형성한다. 상기 포토 레지스트 막(106)은 기판(100) 상에 형성된 박막(102) 위에 상술한 반도체 레지스트용 조성물을 코팅한 후 열처리 과정을 통해 경화한 형태일 수 있다.Referring to FIG. 2 , a photoresist film 106 is formed by coating the above-described composition for semiconductor resist on the resist underlayer film 104 . The photoresist film 106 may be formed by coating the above-described composition for semiconductor resist on the thin film 102 formed on the substrate 100 and then curing it through a heat treatment process.

보다 구체적으로, 반도체 레지스트용 조성물을 사용하여 패턴을 형성하는 단계는, 상술한 반도체 레지스트용 조성물을 박막(102)이 형성된 기판(100) 상에 스핀 코팅, 슬릿 코팅, 잉크젯 프린팅 등으로 도포하는 공정 및 도포된 반도체 레지스트용 조성물을 건조하여 포토 레지스트 막(106)을 형성하는 공정을 포함할 수 있다. More specifically, the step of forming a pattern using the composition for semiconductor resist is a process of applying the above-described composition for semiconductor resist on the substrate 100 on which the thin film 102 is formed by spin coating, slit coating, inkjet printing, etc. and drying the applied composition for semiconductor resist to form the photoresist film 106 .

반도체 레지스트용 조성물에 대해서는 이미 상세히 설명하였으므로, 중복 설명은 생략하기로 한다. Since the composition for semiconductor resist has already been described in detail, redundant description will be omitted.

이어서, 상기 포토 레지스트 막(106)이 형성되어 있는 기판(100)을 가열하는 제1 베이킹 공정을 수행한다. 상기 제1 베이킹 공정은 약 80℃내지 약 120℃의 온도에서 수행할 수 있다.Subsequently, a first baking process of heating the substrate 100 on which the photoresist film 106 is formed is performed. The first baking process may be performed at a temperature of about 80 °C to about 120 °C.

도 3을 참조하면, 상기 포토 레지스트 막(106)을 선택적으로 노광한다. Referring to FIG. 3 , the photoresist film 106 is selectively exposed.

일 예로, 상기 노광 공정에서 사용할 수 있는 광의 예로는 활성화 조사선도 i-line(파장 365nm), KrF 엑시머 레이저(파장 248nm), ArF 엑시머 레이저(파장 193nm) 등의 단파장을 가지는 광 뿐만 아니라, EUV(Extreme UltraViolet; 파장 13.5nm), E-Beam(전자빔)등의 고에너지 파장을 가지는 광 등을 들 수 있다. For example, examples of the light usable in the exposure process include light having a short wavelength such as i-line (wavelength 365 nm), KrF excimer laser (wavelength 248 nm), and ArF excimer laser (wavelength 193 nm) as well as EUV (wavelength 193 nm). Extreme UltraViolet (wavelength 13.5nm), light having a high energy wavelength such as E-Beam (electron beam), etc. may be mentioned.

보다 구체적으로, 일 구현예에 따른 노광용 광은 5nm 내지 150nm 파장 범위를 가지는 단파장 광일 수 있으며, EUV(Extreme UltraViolet; 파장 13.5nm), E-Beam(전자빔)등의 고에너지 파장을 가지는 광일 수 있다. More specifically, light for exposure according to an embodiment may be short-wavelength light having a wavelength range of 5 nm to 150 nm, and may be light having a high energy wavelength such as EUV (Extreme Ultraviolet; wavelength 13.5 nm) or E-Beam (electron beam). .

포토 레지스트 막(106) 중 노광된 영역(106b)은 유기금속 화합물간의 축합 등 가교 반응에 의해 중합체를 형성함에 따라 포토 레지스트 막(106)의 미노광된 영역(106a)과 서로 다른 용해도를 갖게 된다. The exposed region 106b of the photoresist film 106 has a different solubility from the unexposed region 106a of the photoresist film 106 as a polymer is formed by a crosslinking reaction such as condensation between organometallic compounds. .

이어서, 상기 기판(100)에 제2 베이킹 공정을 수행한다. 상기 제2 베이킹 공정은 약 90℃ 내지 약 200℃의 온도에서 수행할 수 있다. 상기 제2 베이킹 공정을 수행함으로 인해, 상기 포토 레지스트 막(106)의 노광된 영역(106b)은 현상액에 용해가 어려운 상태가 된다. Subsequently, a second baking process is performed on the substrate 100 . The second baking process may be performed at a temperature of about 90 °C to about 200 °C. As a result of performing the second baking process, the exposed region 106b of the photoresist layer 106 becomes difficult to dissolve in a developing solution.

도 4에는, 현상액을 이용하여 상기 미노광된 영역에 해당하는 포토 레지스트 막(106a)을 용해시켜 제거함으로써 형성된 포토 레지스트 패턴(108)이 도시되어 있다. 구체적으로, 2-햅타논(2-heptanone) 등의 유기 용매를 사용하여 상기 미노광된 영역에 해당하는 포토 레지스트 막(106a)을 용해시킨 후 제거함으로써 상기 네가티브 톤 이미지에 해당하는 포토 레지스트 패턴(108)이 완성된다. 4 shows a photoresist pattern 108 formed by dissolving and removing the photoresist film 106a corresponding to the unexposed area using a developing solution. Specifically, the photoresist pattern corresponding to the negative tone image ( 108) is completed.

앞서 설명한 것과 같이, 일 구현예에 따른 패턴 형성 방법에서 사용되는 현상액은 유기 용매 일 수 있다. 일 구현예에 따른 패턴 형성 방법에서 사용되는 유기 용매의 일 예로, 메틸에틸케톤, 아세톤, 사이클로헥사논, 2-햅타논 등의 케톤 류, 4-메틸-2-프로판올, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올 등의 알코올 류, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤 등의 에스테르 류, 벤젠, 자일렌, 톨루엔 등의 방향족 화합물, 또는 이들의 조합을 들 수 있다.As described above, the developer used in the pattern formation method according to an embodiment may be an organic solvent. Examples of the organic solvent used in the pattern formation method according to the embodiment include ketones such as methyl ethyl ketone, acetone, cyclohexanone, and 2-heptanone, 4-methyl-2-propanol, 1-butanol, isopropanol, Alcohols such as 1-propanol and methanol, esters such as propylene glycol monomethyl ether acetate, ethyl acetate, ethyl lactate, n-butyl acetate and butyrolactone, aromatic compounds such as benzene, xylene and toluene, or these A combination of

다만, 일 구현예에 따른 포토 레지스트 패턴이 반드시 네가티브 톤 이미지로 형성되는 것에 제한되는 것은 아니며, 포지티브 톤 이미지를 갖도록 형성될 수도 있다. 이 경우, 포지티브 톤 이미지 형성을 위해 사용될 수 있는 현상제로는 테트라에틸암모늄 하이드록사이드, 테트라프로필암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드 또는 이들의 조합과 같은 제4 암모늄 하이드록사이드 조성물 등을 들 수 있다.However, the photoresist pattern according to one embodiment is not necessarily limited to being formed as a negative tone image, and may be formed to have a positive tone image. In this case, as a developer that can be used for forming a positive tone image, a quaternary ammonium hydroxide composition such as tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide or a combination thereof, and the like can be heard

앞서 설명한 것과 같이, i-line(파장 365nm), KrF 엑시머 레이저(파장 248nm), ArF 엑시머 레이저(파장 193nm) 등의 파장을 가지는 광뿐만 아니라, EUV(Extreme UltraViolet; 파장 13.5nm), E-Beam(전자빔)등의 고에너지를 가지는 광 등에 의해 노광되어 형성된 포토 레지스트 패턴(108)은 5nm 내지 100nm 두께의 폭을 가질 수 있다. 일 예로, 상기 포토 레지스트 패턴(108)은, 5nm 내지 90nm, 5nm 내지 80nm, 5nm 내지 70nm, 5 nm 내지60 nm, 10nm 내지 50nm, 10nm 내지 40nm, 10nm 내지 30nm, 10nm 내지 20nm 두께의 폭으로 형성될 수 있다.As described above, EUV (Extreme UltraViolet; wavelength 13.5nm), E-Beam as well as light having wavelengths such as i-line (wavelength 365nm), KrF excimer laser (wavelength 248nm), and ArF excimer laser (wavelength 193nm) The photoresist pattern 108 formed by exposure to high-energy light such as (electron beam) may have a width of 5 nm to 100 nm. For example, the photoresist pattern 108 has a thickness of 5 nm to 90 nm, 5 nm to 80 nm, 5 nm to 70 nm, 5 nm to 60 nm, 10 nm to 50 nm, 10 nm to 40 nm, 10 nm to 30 nm, and 10 nm to 20 nm. It can be.

한편, 상기 포토 레지스트 패턴(108)은 약 50nm 이하, 예를 들어 40nm 이하, 예를 들어 30nm 이하, 예를 들어 25nm 이하의 반피치(half-pitch) 및, 약 10nm 이하, 약 5nm 이하의 선폭 거칠기을 갖는 피치를 가질 수 있다.On the other hand, the photoresist pattern 108 has a half-pitch of about 50 nm or less, for example, 40 nm or less, for example, 30 nm or less, for example, 25 nm or less, and a line width of about 10 nm or less, about 5 nm or less. It may have a pitch with roughness.

이어서, 상기 포토 레지스트 패턴(108)을 식각 마스크로 하여 상기 레지스트 하층막(104)을 식각한다. 상기와 같은 식각 공정으로 유기막 패턴(112)이 형성된다. 형성된 상기 유기막 패턴(112) 역시 포토 레지스트 패턴(108)에 대응되는 폭을 가질 수 있다. Subsequently, the resist underlayer 104 is etched using the photoresist pattern 108 as an etching mask. The organic layer pattern 112 is formed through the above etching process. The formed organic layer pattern 112 may also have a width corresponding to that of the photoresist pattern 108 .

도 5를 참조하면, 상기 포토 레지스트 패턴(108)을 식각 마스크로 적용하여 노출된 박막(102)을 식각한다. 그 결과 상기 박막은 박막 패턴(114)으로 형성된다. Referring to FIG. 5 , the exposed thin film 102 is etched by applying the photoresist pattern 108 as an etching mask. As a result, the thin film is formed into a thin film pattern 114 .

상기 박막(102)의 식각은 예컨대 식각 가스를 사용한 건식 식각으로 수행할 수 있으며, 식각 가스는 예컨대 CHF3, CF4, Cl2, BCl3 및 이들의 혼합 가스를 사용할 수 있다.Etching of the thin film 102 may be performed by, for example, dry etching using an etching gas, and the etching gas may be, for example, CHF 3 , CF 4 , Cl 2 , BCl 3 or a mixture thereof.

앞서 수행된 노광 공정에서, EUV 광원을 사용하여 수행된 노광 공정에 의해 형성된 포토 레지스트 패턴(108)을 이용하여 형성된 박막 패턴(114)은 상기 포토 레지스트 패턴(108)에 대응되는 폭을 가질 수 있다. 일 예로, 상기 포토 레지스트 패턴(108)과 동일하게 5nm 내지 100nm의 폭을 가질 수 있다. 예를 들어, EUV 광원을 사용하여 수행된 노광 공정에 의해 형성된 박막 패턴(114)은 상기 포토 레지스트 패턴(108)과 마찬가지로 5nm 내지 90nm, 5nm 내지 80nm, 5nm 내지 70nm, 5nm 내지 60nm, 10nm 내지 50nm, 10nm 내지 40nm, 10nm 내지 30nm, 10nm 내지 20nm의 폭을 가질 수 있으며, 보다 구체적으로 20nm 이하의 폭으로 형성될 수 있다.In the previously performed exposure process, the thin film pattern 114 formed using the photoresist pattern 108 formed by the exposure process performed using the EUV light source may have a width corresponding to the photoresist pattern 108. . For example, it may have the same width as the photoresist pattern 108 of 5 nm to 100 nm. For example, the thin film pattern 114 formed by an exposure process performed using an EUV light source has a thickness of 5 nm to 90 nm, 5 nm to 80 nm, 5 nm to 70 nm, 5 nm to 60 nm, and 10 nm to 50 nm, similarly to the photoresist pattern 108. , 10nm to 40nm, 10nm to 30nm, may have a width of 10nm to 20nm, more specifically may be formed with a width of 20nm or less.

이하, 상술한 반도체 포토 레지스트용 조성물의 제조에 관한 실시예를 통하여 본 발명을 더욱 상세하게 설명하도록 한다. 그러나 하기 실시예들에 의하여 본 발명의 기술적 특징이 한정되는 것은 아니다. Hereinafter, the present invention will be described in more detail through examples related to the preparation of the above-described composition for semiconductor photoresist. However, the technical features of the present invention are not limited by the following examples.

실시예Example

합성예 1Synthesis Example 1

100mL의 둥근 바닥 플라스크에 테트라페닐주석(Tetraphenyltin)을 5g (12mmol) 넣고, 아세트산(Acetic acid) 11.0mL를 첨가한다. 24 시간 동안 가열 환류하고, 아세트산(Acetic acid)을 진공 증류하여 하기 화학식 7의 화합물을 80%의 수율로 얻는다.Put 5g (12mmol) of tetraphenyltin in a 100mL round bottom flask, and add 11.0mL of acetic acid. After heating under reflux for 24 hours, acetic acid is vacuum distilled to obtain the compound of Formula 7 in a yield of 80%.

[화학식 7][Formula 7]

Figure 112023055953174-pat00014
Figure 112023055953174-pat00014

합성예 2Synthesis Example 2

100mL의 둥근 바닥 플라스크에 테트라페닐주석(Tetraphenyltin)을 5g (12mmol) 넣고, 프로피온산(Propionic acid) 14.4mL를 첨가한다. 48 시간 동안 가열 환류하고, 프로피온산(Propionic acid)을 진공 증류하여 하기 화학식 8의 화합물을 80%의 수율로 얻는다.Put 5g (12mmol) of tetraphenyltin in a 100mL round bottom flask, and add 14.4mL of propionic acid. After heating under reflux for 48 hours, propionic acid is vacuum distilled to obtain the compound of Formula 8 in a yield of 80%.

[화학식 8][Formula 8]

Figure 112023055953174-pat00015
Figure 112023055953174-pat00015

합성예 3Synthesis Example 3

100mL의 둥근 바닥 플라스크에 테트라페닐주석(Tetraphenyltin)을 5g (12mmol) 넣고, 부티르산(Butyric acid) 17.6mL를 첨가한다. 48 시간 동안 가열 환류하고, 부티르산(Butyric acid)을 진공 증류하여 하기 화학식 9의 화합물을 80%의 수율로 얻는다.Put 5g (12mmol) of tetraphenyltin in a 100mL round bottom flask, and add 17.6mL of butyric acid. After heating under reflux for 48 hours, butyric acid is vacuum distilled to obtain the compound of Formula 9 in a yield of 80%.

[화학식 9][Formula 9]

Figure 112023055953174-pat00016
Figure 112023055953174-pat00016

합성예 4Synthesis Example 4

100mL의 둥근 바닥 플라스크에 테트라페닐주석(Tetraphenyltin)을 5g (12mmol) 넣고, 아크릴산(acrylic acid) 13.2mL를 첨가한다. 48 시간 동안 가열 환류하고, 아크릴산(acrylic acid)을 진공 증류하여 하기 화학식 10의 화합물을 40%의 수율로 얻는다. Put 5g (12mmol) of tetraphenyltin in a 100mL round bottom flask, and add 13.2mL of acrylic acid. After heating under reflux for 48 hours, acrylic acid is vacuum distilled to obtain the compound of Formula 10 in a yield of 40%.

[화학식 10][Formula 10]

Figure 112023055953174-pat00017
Figure 112023055953174-pat00017

합성예 5Synthesis Example 5

100mL의 둥근 바닥 플라스크에 테트라페닐주석(Tetraphenyltin)을 5g (12mmol) 넣고, 메타크릴산(methacrylic acid) 16.2mL를 첨가한다. 48 시간 동안 가열 환류하고, 메타크릴산(methacrylic acid)을 진공 증류하여 하기 화학식 11의 화합물을 40%의 수율로 얻는다. Put 5g (12mmol) of tetraphenyltin in a 100mL round bottom flask and add 16.2mL of methacrylic acid. After heating under reflux for 48 hours, methacrylic acid is vacuum distilled to obtain the compound of Formula 11 in a yield of 40%.

[화학식 11][Formula 11]

Figure 112023055953174-pat00018
Figure 112023055953174-pat00018

합성예 6Synthesis Example 6

100mL의 둥근 바닥 플라스크에 테트라페닐주석(Tetraphenyltin)을 5g (12mmol) 넣고, 포름산(formic acid) 7.2mL를 첨가한다. 48 시간 동안 가열 환류하고, 포름산(formic acid)을 진공 증류하여 하기 화학식 12의 화합물을 40%의 수율로 얻는다. Put 5g (12mmol) of tetraphenyltin in a 100mL round bottom flask, and add 7.2mL of formic acid. After heating under reflux for 48 hours, formic acid was vacuum distilled to obtain the compound of Formula 12 in a yield of 40%.

[화학식 12][Formula 12]

Figure 112023055953174-pat00019
Figure 112023055953174-pat00019

합성예 7Synthesis Example 7

염화 주석(Tin(IV) chloride) (5g, 26.4mmol)에 무수 펜탄을 가하고, 온도를 0℃로 낮춘다. 디에틸아민(7.1 mL, 105.5 mmol)을 천천히 적가한 후, 이어서 t-BuOH(10 mL, 105.5 mmol)을 첨가하고 상온에서 1시간 교반한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 13로 표시되는 화합물을 40%의 수율로 얻는다. Anhydrous pentane was added to Tin(IV) chloride (5 g, 26.4 mmol), and the temperature was lowered to 0°C. After slowly adding diethylamine (7.1 mL, 105.5 mmol) dropwise, t-BuOH (10 mL, 105.5 mmol) was added thereto, followed by stirring at room temperature for 1 hour. When the reaction is complete, the mixture is filtered, concentrated, and vacuum dried to obtain a compound represented by Formula 13 in a yield of 40%.

[화학식 13][Formula 13]

Figure 112023055953174-pat00020
Figure 112023055953174-pat00020

합성예 8Synthesis Example 8

염화 주석(Tin(IV) chloride) (5g, 26.4mmol)에 무수 펜탄을 가하고, 온도를 0℃로 낮춘다. 디에틸아민(7.1 mL, 105.5 mmol)을 천천히 적가한 후, 이어서 (아이소프로필 알코올(Isopropyl alcohol) (8.1mL, 105.5mmol)을 첨가하고 상온에서 1시간 교반한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 14로 표시되는 화합물을 40%의 수율로 얻는다.Anhydrous pentane was added to Tin(IV) chloride (5 g, 26.4 mmol), and the temperature was lowered to 0°C. After slowly adding diethylamine (7.1 mL, 105.5 mmol) dropwise, (Isopropyl alcohol (8.1 mL, 105.5 mmol)) was added and stirred at room temperature for 1 hour. After the reaction was completed, filter and concentrate. Vacuum drying to obtain a compound represented by the following formula (14) in a yield of 40%.

[화학식 14][Formula 14]

Figure 112023055953174-pat00021
Figure 112023055953174-pat00021

합성예 9Synthesis Example 9

염화 주석(Tin(IV) chloride) (5g, 26.4mmol)에 무수 펜탄을 가하고, 온도를 0℃로 낮춘다. 디에틸아민(7.1mL, 105.5mmol)을 천천히 적가한 후, 이어서 에탄올(Ethanol) (6.2mL, 105.5mmol)을 첨가하고 상온에서 1시간 교반한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 15로 표시되는 화합물을 40%의 수율로 얻는다.Anhydrous pentane was added to Tin(IV) chloride (5 g, 26.4 mmol), and the temperature was lowered to 0°C. After slowly adding diethylamine (7.1mL, 105.5mmol) dropwise, ethanol (6.2mL, 105.5mmol) was then added and stirred at room temperature for 1 hour. When the reaction is complete, the mixture is filtered, concentrated, and vacuum dried to obtain a compound represented by Formula 15 in a yield of 40%.

[화학식 15][Formula 15]

Figure 112023055953174-pat00022
Figure 112023055953174-pat00022

합성예 10Synthesis Example 10

100mL의 둥근 바닥 플라스크에 테트라페닐주석(Tetraphenyltin)을 5g (12mmol) 넣고, 톨루엔(Toluene) 10 mL를 넣어 교반한다. 에탄올(Ethanol) 1.3 mL를 첨가하고, 12 시간 동안 가열 환류한 후, 프로피온산(Propionic acid)을 2.6mL 넣어 24 시간 가열 환류한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 16로 표시되는 화합물을 50%의 수율로 얻는다.Put 5g (12mmol) of tetraphenyltin in a 100mL round bottom flask, add 10mL of toluene, and stir. After adding 1.3 mL of ethanol and heating under reflux for 12 hours, add 2.6 mL of propionic acid and heat under reflux for 24 hours. When the reaction is complete, the mixture is filtered, concentrated, and vacuum dried to obtain a compound represented by Formula 16 in a yield of 50%.

[화학식 16][Formula 16]

Figure 112023055953174-pat00023
Figure 112023055953174-pat00023

합성예 11Synthesis Example 11

100mL의 둥근 바닥 플라스크에 테트라페닐주석(Tetraphenyltin)을 5g (12mmol) 넣고, 톨루엔(Toluene) 10mL를 넣어 교반한다. 에탄올(Ethanol) 2.6mL를 첨가하고, 12 시간 동안 가열 환류한 후, 프로피온산(Propionic acid)을 1.8mL 넣어 24 시간 가열 환류한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 17로 표시되는 화합물을 50%의 수율로 얻는다. Put 5g (12mmol) of tetraphenyltin in a 100mL round bottom flask, add 10mL of toluene, and stir. After adding 2.6mL of ethanol and heating under reflux for 12 hours, 1.8mL of propionic acid was added and heated under reflux for 24 hours. When the reaction is complete, the mixture is filtered, concentrated, and vacuum dried to obtain a compound represented by Formula 17 in a yield of 50%.

[화학식 17][Formula 17]

Figure 112023055953174-pat00024
Figure 112023055953174-pat00024

비교합성예 1Comparative Synthesis Example 1

디부틸주석 디클로라이드(Dibutyltin dichloride, 10 g, 33 mmol)를 30mL의 에테르에 녹인 후, 1M의 수산화나트륨(NaOH) 수용액 70mL를 첨가한 다음 1시간 동안 교반한다. 교반 후, 생성된 고체를 여과하고 탈이온수 25 mL로 3 회 세척한 다음, 100℃에서 감압 건조를 수행하여, 하기 화학식 18로 표시되는 중량평균 분자량 1,500 g/mol의 유기금속 화합물을 얻었다. After dissolving dibutyltin dichloride (10 g, 33 mmol) in 30 mL of ether, 70 mL of 1M sodium hydroxide (NaOH) aqueous solution was added, followed by stirring for 1 hour. After stirring, the resulting solid was filtered, washed three times with 25 mL of deionized water, and dried under reduced pressure at 100° C. to obtain an organometallic compound having a weight average molecular weight of 1,500 g/mol represented by Formula 18 below.

[화학식 18][Formula 18]

Figure 112023055953174-pat00025
Figure 112023055953174-pat00025

실시예 1 내지 11Examples 1 to 11

합성예 1 내지 11에서 얻어진 화학식 7 내지 화학식 17로 표시되는 화합물을 각각 4-methyl-2-pentane에 1 wt%의 농도로 녹이고, 0.1㎛ PTFE (폴리테트라플루오로에틸렌) 시린지 필터(syringe filter)로 여과하여, 실시예 1 내지 11에 해당하는 포토레지스트 조성물을 제조한다.The compounds represented by Chemical Formulas 7 to 17 obtained in Synthesis Examples 1 to 11 were dissolved in 4-methyl-2-pentane at a concentration of 1 wt%, respectively, and 0.1 μm PTFE (polytetrafluoroethylene) syringe filter By filtering, photoresist compositions corresponding to Examples 1 to 11 were prepared.

네이티브-산화물 표면을 가지는 직경 4인치의 원형 실리콘 웨이퍼를 박막 코팅용 기재로 사용하고, 상기 박막의 코팅 전에 UV 오존 클리닝 시스템에서 10분간 처리한다. 처리된 기재 상에 상기 실시예 1 내지 실시예 11에 따른 반도체 포토 레지스트용 조성물을 1500rpm에서 30초간 스핀코팅하고, 100 ℃에서 120초 소성 (적용 후 소성, post-apply bake, PAB)하여 포토 레지스트 박막을 형성한다. A circular silicon wafer with a diameter of 4 inches having a native-oxide surface was used as a substrate for thin film coating, and was treated in a UV ozone cleaning system for 10 minutes before coating the thin film. On the treated substrate, the composition for semiconductor photoresist according to Examples 1 to 11 was spin-coated at 1500 rpm for 30 seconds, and baked at 100 ° C. for 120 seconds (post-apply bake, PAB) to obtain a photoresist form a thin film

코팅 및 베이킹 후 필름의 두께는 편광계측법(ellipsometry)을 통해 측정하였으며, 측정된 두께는 약 25nm였다.The thickness of the film after coating and baking was measured through ellipsometry, and the measured thickness was about 25 nm.

실시예 12Example 12

합성예 1에서 얻어진 화학식 7로 표시되는 화합물 3 wt%와 합성예 7에서 얻어진 화학식 13로 표시되는 화합물을 2 wt%를 동시에 4-methyl-2-pentane에 녹이고, 0.1㎛ PTFE (폴리테트라플루오로에틸렌) 시린지 필터(syringe filter)로 여과하여, 실시예 12에 해당하는 포토 레지스트 조성물을 제조한다.3 wt% of the compound represented by Formula 7 obtained in Synthesis Example 1 and 2 wt% of the compound represented by Formula 13 obtained in Synthesis Example 7 were simultaneously dissolved in 4-methyl-2-pentane, and 0.1 μm PTFE (polytetrafluorocarbon ethylene) by filtering with a syringe filter to prepare a photoresist composition corresponding to Example 12.

네이티브-산화물 표면을 가지는 직경 4인치의 원형 실리콘 웨이퍼를 박막 코팅용 기재로 사용하고, 상기 박막의 코팅 전에 UV 오존 클리닝 시스템에서 10분간 처리한다. 처리된 기재 상에 상기 실시예 12에 따른 반도체 포토 레지스트용 조성물을 1500rpm에서 30초간 스핀코팅하고, 100 ℃에서 120초 소성 (적용 후 소성, post-apply bake, PAB)하여 포토 레지스트 박막을 형성한다. A circular silicon wafer with a diameter of 4 inches having a native-oxide surface was used as a substrate for thin film coating, and was treated in a UV ozone cleaning system for 10 minutes before coating the thin film. On the treated substrate, the composition for semiconductor photoresist according to Example 12 was spin-coated at 1500 rpm for 30 seconds, and baked at 100 ° C. for 120 seconds (post-apply bake, PAB) to form a photoresist thin film. .

코팅 및 베이킹 후 필름의 두께는 편광계측법(ellipsometry)을 통해 측정하였으며, 측정된 두께는 약 25nm였다.The thickness of the film after coating and baking was measured through ellipsometry, and the measured thickness was about 25 nm.

비교예 1 Comparative Example 1

상기 비교합성예 1에서 얻어진 화합물을 각각 자일렌(xylene)에 2 wt%의 농도로 녹여 사용한 것을 제외하고는, 상기 실시예와 동일하게 하여, 비교예 1에 따른 반도체 포토 레지스트용 조성물 및 이를 포함하는 포토레지스트 박막을 제조하였다. 코팅 및 베이킹 후 필름의 두께는 약 20nm였다.Except for dissolving the compounds obtained in Comparative Synthesis Example 1 in xylene at a concentration of 2 wt%, in the same manner as in Example 1, a semiconductor photoresist composition according to Comparative Example 1 and including the same A photoresist thin film was prepared. The thickness of the film after coating and baking was about 20 nm.

평가 1: 해상도, 라인 에지 거칠기(LER)Evaluation 1: Resolution, Line Edge Roughness (LER)

원형 실리콘 웨이퍼 상에 상기 코팅 방법에 의해 상기 실시예 1 내지 12, 비교예 1에 따른 필름을 에너지 및 포커스를 달리하여 18 내지 36nm의 line/space 패턴을 형성하도록 극자외선에 노출시킨다. 노광 후 180℃에서 120 초 간 소성(Post-exposure bake, PEB)하고, 이어서 2-헵타논(2-heptanone)이 담긴 페트리디쉬에 60 초 간 담갔다가 꺼낸 후, 동일 용제로 10초 간 씻어 비노출된 코팅 부분을 제거한다. 최종적으로, 150℃에서 5 분 간 소성한 후, SEM(scanning electron microscopy)에 의해 패턴 이미지를 얻는다. SEM 이미지로부터 확인된 최고 해상도, 라인 에지 거칠기(LER)를 하기 평가 기준에 따라 평가하고 그 결과를 하기 표 1에 표시한다.The films according to Examples 1 to 12 and Comparative Example 1 are exposed to extreme ultraviolet rays to form line/space patterns of 18 to 36 nm by varying energy and focus by the coating method on a circular silicon wafer. After exposure, bake at 180℃ for 120 seconds (Post-exposure bake, PEB), then immerse in a petri dish containing 2-heptanone for 60 seconds, take it out, and wash with the same solvent for 10 seconds to avoid exposure. Remove the coated part. Finally, after firing at 150° C. for 5 minutes, a pattern image is obtained by scanning electron microscopy (SEM). The highest resolution, line edge roughness (LER) identified from the SEM images was evaluated according to the following evaluation criteria, and the results are shown in Table 1 below.

- 한계 해상도- limit resolution

○: 18nm 이하○: 18 nm or less

X: 18nm 초과X: greater than 18 nm

- 라인거칠기(LER)- Line roughness (LER)

○: 2.5nm 이하○: 2.5 nm or less

X: 2.5nm 초과X: greater than 2.5 nm

평가 2: 보관안정성Evaluation 2: Storage stability

상기 실시예 1 내지 12, 비교예 1에 따른 포토 레지스트 조성물을 상온 (0℃ 내지 30℃) 조건에서 특정기간 방치 시 침전이 발생되는 정도를 육안으로 관찰 후, 보관안정성 기준을 하기 평가 기준에 따라 평가하고 그 결과를 하기 표 1에 표시한다.After visually observing the degree of precipitation when the photoresist compositions according to Examples 1 to 12 and Comparative Example 1 were left at room temperature (0 ° C to 30 ° C) for a specific period of time, the storage stability criteria were determined according to the following evaluation criteria Evaluated and the results are shown in Table 1 below.

○: 1주 경과 후 침전 발생 또는 미 발생○: Precipitation occurs or does not occur after 1 week

X: 1 주 미만 침전 발생 X: occurrence of precipitation in less than 1 week

  해상도resolution LERLER 보관안정성Storage stability 실시예 1Example 1 실시예 2Example 2 실시예 3Example 3 실시예 4Example 4 실시예 5Example 5 실시예 6Example 6 실시예 7Example 7 실시예 8Example 8 실시예 9Example 9 실시예 10Example 10 실시예 11Example 11 실시예 12Example 12 비교예 1Comparative Example 1 XX XX XX

상기 표 1을 참조하면, 실시예 1 내지 실시예 12에 따른 반도체 포토 레지스트용 조성물을 이용한 포토 레지스트 박막은 해상도, 라인 에지 거칠기(LER), 보관안정성 특성이 모두 우수하게 나타남을 확인할 수 있다. 반면, 카르복실기 또는 알콕시기가 치환되지 않은 화합물을 포함하는 비교예 1에 따른 반도체 포토 레지스트용 조성물을 이용한 포토 레지스트 박막은 해상도, 라인 에지 거칠기(LER)가 각각 18nm, 2.5nm를 초과하여 그 결과가 우수하지 못함을 알 수 있고, 1주 미만의 기간 동안 침전이 발생하여 보관안정성도 좋지 못함을 알 수 있다.Referring to Table 1, it can be confirmed that the photoresist thin films using the semiconductor photoresist compositions according to Examples 1 to 12 exhibit excellent resolution, line edge roughness (LER), and storage stability characteristics. On the other hand, the photoresist thin film using the composition for semiconductor photoresist according to Comparative Example 1 containing a compound in which a carboxyl group or an alkoxy group is not substituted has excellent resolution and line edge roughness (LER) exceeding 18 nm and 2.5 nm, respectively. It can be seen that it cannot be done, and precipitation occurs for a period of less than 1 week, indicating poor storage stability.

앞에서, 본 발명의 특정한 실시예가 설명되고 도시되었지만 본 발명은 기재된 실시예에 한정되는 것이 아니고, 본 발명의 사상 및 범위를 벗어나지 않고 다양하게 수정 및 변형할 수 있음은 이 기술의 분야에서 통상의 지식을 가진 자에게 자명한 일이다. 따라서, 그러한 수정예 또는 변형예들은 본 발명의 기술적 사상이나 관점으로부터 개별적으로 이해되어서는 안되며, 변형된 실시예들은 본 발명의 특허청구범위에 속한다 하여야 할 것이다.In the foregoing, although specific embodiments of the present invention have been described and shown, the present invention is not limited to the described embodiments, and it is common knowledge in the art that various modifications and variations can be made without departing from the spirit and scope of the present invention. It is self-evident to those who have Therefore, such modifications or variations should not be individually understood from the technical spirit or viewpoint of the present invention, and modified embodiments should fall within the scope of the claims of the present invention.

100: 기판 102: 박막
104: 포토 레지스트 하층막 106: 포토 레지스트 막
106b: 노광된 영역 106a: 미노광된 영역
108: 포토 레지스트 패턴 112: 유기막 패턴
114: 박막 패턴
100: substrate 102: thin film
104: photoresist underlayer film 106: photoresist film
106b: exposed area 106a: unexposed area
108: photoresist pattern 112: organic film pattern
114: thin film pattern

Claims (12)

하기 화학식 1로 표시되는 유기금속화합물 및 용매를 포함하는 반도체 포토 레지스트용 조성물:
[화학식 1]
Figure 112023055953174-pat00026

상기 화학식 1에서,
X1 내지 X4는 각각 독립적으로 -OR1 또는 -OC(=O)R2이고,
상기 X1 내지 X4 중 적어도 1개 이상은 -OC(=O)R2이고,
상기 R1은 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,
R2는 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이다.
A composition for a semiconductor photoresist comprising an organometallic compound represented by Formula 1 and a solvent:
[Formula 1]
Figure 112023055953174-pat00026

In Formula 1,
X 1 to X 4 are each independently -OR 1 or -OC(=O)R 2 ;
At least one of X 1 to X 4 is -OC(=O)R 2 ,
R 1 is a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, or a substituted or unsubstituted C2 to C20 alkynyl group. A ringed C6 to C30 aryl group, or a combination thereof;
R 2 is hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C2 to C20 alkynyl group, An unsubstituted C6 to C30 aryl group, or a combination thereof.
제1항에서,
R1은 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합이고,
R2는 수소, 치환 또는 비치환된 C1 내지 C8 알킬기, 치환 또는 비치환된 C3 내지 C8 사이클로알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알카이닐기, 치환 또는 비치환된 C6 내지 C20의 아릴기, 또는 이들의 조합인 반도체 포토 레지스트용 조성물.
In paragraph 1,
R 1 is a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, or a substituted or unsubstituted C2 to C8 alkynyl group A C6 to C20 aryl group, or a combination thereof,
R 2 is hydrogen, a substituted or unsubstituted C1 to C8 alkyl group, a substituted or unsubstituted C3 to C8 cycloalkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, a substituted or unsubstituted C2 to C8 alkynyl group, A composition for a semiconductor photoresist comprising an unsubstituted C6 to C20 aryl group, or a combination thereof.
제1항에서,
R1은 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합이고,
R2는 수소, 메틸기, 에틸기, 프로필기, 부틸기, 아이소프로필기, tert-부틸기, 2,2-디메틸프로필기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기, 에테닐기, 프로페닐기, 부테닐기, 에타이닐기, 프로파이닐기, 부타이닐기, 페닐기, 톨릴기, 크실렌기, 벤질기, 또는 이들의 조합인 반도체 포토 레지스트용 조성물.
In paragraph 1,
R 1 is methyl group, ethyl group, propyl group, butyl group, isopropyl group, tert-butyl group, 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group, pro A phenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or a combination thereof;
R 2 is hydrogen, methyl group, ethyl group, propyl group, butyl group, isopropyl group, tert-butyl group, 2,2-dimethylpropyl group, cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, ethenyl group , A propenyl group, a butenyl group, an ethynyl group, a propynyl group, a butynyl group, a phenyl group, a tolyl group, a xylene group, a benzyl group, or a combination thereof.
제1항에서,
상기 화학식 1로 표시되는 화합물은 하기 화학식 2로 표시되는 화합물, 하기 화학식 3으로 표시되는 화합물, 하기 화학식 4로 표시되는 화합물, 하기 화학식 5로 표시되는 화합물 또는 이들의 조합을 포함하는 반도체 포토 레지스트용 조성물:
[화학식 2]
Figure 112023055953174-pat00027

[화학식 3]
Figure 112023055953174-pat00028

[화학식 4]
Figure 112023055953174-pat00029

[화학식 5]
Figure 112023055953174-pat00030

상기 화학식 2 내지 화학식 5에서,
Ra, Rb, Rc, Rd, Rf, Rg, Rh, Rk, Rl, 및 Rp는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이고,
Re, Ri, Rj, Rm, Rn, 및 Ro는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알카이닐기, 치환 또는 비치환된 C6 내지 C30의 아릴기, 또는 이들의 조합이다.
In paragraph 1,
The compound represented by Formula 1 is for semiconductor photoresists including a compound represented by Formula 2, a compound represented by Formula 3, a compound represented by Formula 4, a compound represented by Formula 5, or a combination thereof Composition:
[Formula 2]
Figure 112023055953174-pat00027

[Formula 3]
Figure 112023055953174-pat00028

[Formula 4]
Figure 112023055953174-pat00029

[Formula 5]
Figure 112023055953174-pat00030

In Formulas 2 to 5,
R a , R b , R c , R d , R f , R g , R h , R k , R l , and R p are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, substituted or unsubstituted A C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof,
R e , R i , R j , R m , R n , and R o are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, or a substituted or unsubstituted C2 to C20 cycloalkyl group. A C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof.
삭제delete 제1항에서,
상기 X1 내지 X4 중 적어도 3개 이상이 -OC(=O)R2인 반도체 포토 레지스트용 조성물.
In paragraph 1,
At least three or more of the X 1 to X 4 are -OC(=O)R 2 The composition for a semiconductor photoresist.
제1항에서,
반도체 포토 레지스트용 조성물 100 중량%를 기준으로, 상기 화학식 1로 표시되는 유기금속화합물 0.01 내지 30중량%를 포함하는 반도체 포토 레지스트용 조성물.
In paragraph 1,
A composition for a semiconductor photoresist comprising 0.01 to 30% by weight of the organometallic compound represented by Formula 1, based on 100% by weight of the composition for a semiconductor photoresist.
제1항에서,
상기 조성물은 계면활성제, 가교제, 레벨링제, 또는 이들의 조합의 첨가제를 더 포함하는 반도체 포토 레지스트용 조성물.
In paragraph 1,
The composition for a semiconductor photoresist further comprising an additive of a surfactant, a crosslinking agent, a leveling agent, or a combination thereof.
기판 위에 식각 대상 막을 형성하는 단계;
상기 식각 대상 막 위에 제1항 내지 제4항, 제6항 내지 제8항 중 어느 한 항에 따른 반도체 포토 레지스트용 조성물을 적용하여 포토 레지스트 막을 형성하는 단계;
상기 포토 레지스트 막을 패터닝하여 포토 레지스트 패턴을 형성하는 단계; 및
상기 포토 레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함하는 패턴 형성 방법.
forming a film to be etched on the substrate;
forming a photoresist layer by applying the composition for a semiconductor photoresist according to any one of claims 1 to 4 and 6 to 8 on the etch target layer;
patterning the photoresist layer to form a photoresist pattern; and
and etching the etch target layer using the photoresist pattern as an etch mask.
제9항에서,
상기 포토 레지스트 패턴을 형성하는 단계는 5nm 내지 150nm 파장의 광을 사용하는 패턴 형성 방법.
In paragraph 9,
The forming of the photoresist pattern is a pattern forming method using light having a wavelength of 5 nm to 150 nm.
제9항에서,
상기 기판과 상기 포토 레지스트 막 사이에 형성되는 레지스트 하층막을 제공하는 단계를 더 포함하는 패턴 형성 방법.
In paragraph 9,
The pattern forming method further comprises providing a resist underlayer film formed between the substrate and the photoresist film.
제9항에서,
상기 포토 레지스트 패턴은 5nm 내지 100nm의 폭을 가지는 패턴 형성 방법.
In paragraph 9,
Wherein the photoresist pattern has a width of 5 nm to 100 nm.
KR1020200008180A 2020-01-21 2020-01-21 Semiconductor resist composition and method of forming patterns using the composition KR102555497B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200008180A KR102555497B1 (en) 2020-01-21 2020-01-21 Semiconductor resist composition and method of forming patterns using the composition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200008180A KR102555497B1 (en) 2020-01-21 2020-01-21 Semiconductor resist composition and method of forming patterns using the composition

Publications (2)

Publication Number Publication Date
KR20210094420A KR20210094420A (en) 2021-07-29
KR102555497B1 true KR102555497B1 (en) 2023-07-12

Family

ID=77127774

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200008180A KR102555497B1 (en) 2020-01-21 2020-01-21 Semiconductor resist composition and method of forming patterns using the composition

Country Status (1)

Country Link
KR (1) KR102555497B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102598259B1 (en) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 Semiconductor photoresist composition and method of forming patterns using the composition

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9499698B2 (en) * 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
JP6805244B2 (en) * 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation Patterning Compositions, Precursors and Patterning of Organic Tin Oxide Hydroxides
KR102606844B1 (en) * 2019-04-30 2023-11-27 삼성에스디아이 주식회사 Semiconductor resist composition and method of forming patterns using the composition

Also Published As

Publication number Publication date
KR20210094420A (en) 2021-07-29

Similar Documents

Publication Publication Date Title
KR102296813B1 (en) Semiconductor resist composition, and method of forming patterns using the composition
KR102573327B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102606844B1 (en) Semiconductor resist composition and method of forming patterns using the composition
JP7025474B2 (en) Composition for semiconductor photoresist and pattern formation method using it
KR102619719B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20220088011A (en) Semiconductor photoresist composition, method for preparing thereof and method of forming patterns using the composition
KR102577300B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102555497B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR20210044591A (en) Semiconductor resist composition, and method of forming patterns using the composition
KR102573328B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102577299B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240008692A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102538092B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102480432B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102586112B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102678333B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102586099B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102671848B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102586110B1 (en) Semiconductor photoresist composition, and method of forming patterns using the composition
KR20220155111A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20230160087A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20230166367A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240040479A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240063601A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240038462A (en) Semiconductor photoresist composition and method of forming patterns using the composition

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant