KR20210110575A - 코딩된 안테나 어레이 - Google Patents

코딩된 안테나 어레이 Download PDF

Info

Publication number
KR20210110575A
KR20210110575A KR1020217018285A KR20217018285A KR20210110575A KR 20210110575 A KR20210110575 A KR 20210110575A KR 1020217018285 A KR1020217018285 A KR 1020217018285A KR 20217018285 A KR20217018285 A KR 20217018285A KR 20210110575 A KR20210110575 A KR 20210110575A
Authority
KR
South Korea
Prior art keywords
signals
antennas
antenna
incident
received
Prior art date
Application number
KR1020217018285A
Other languages
English (en)
Other versions
KR102565520B1 (ko
Inventor
조엘 리거
Original Assignee
오시아 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 오시아 인크. filed Critical 오시아 인크.
Publication of KR20210110575A publication Critical patent/KR20210110575A/ko
Application granted granted Critical
Publication of KR102565520B1 publication Critical patent/KR102565520B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L1/00Arrangements for detecting or preventing errors in the information received
    • H04L1/02Arrangements for detecting or preventing errors in the information received by diversity reception
    • H04L1/06Arrangements for detecting or preventing errors in the information received by diversity reception using space diversity
    • H04L1/0618Space-time coding
    • H04L1/0637Properties of the code
    • H04L1/0668Orthogonal systems, e.g. using Alamouti codes
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/08Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the receiving station
    • H04B7/0837Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the receiving station using pre-detection combining
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/29Combinations of different interacting antenna units for giving a desired directional characteristic
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/69Spread spectrum techniques
    • H04B1/707Spread spectrum techniques using direct sequence modulation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/06Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station
    • H04B7/0613Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J11/00Orthogonal multiplex systems, e.g. using WALSH codes
    • H04J11/0023Interference mitigation or co-ordination
    • H04J11/0026Interference mitigation or co-ordination of multi-user interference
    • H04J11/003Interference mitigation or co-ordination of multi-user interference at the transmitter
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J13/00Code division multiplex systems
    • H04J13/0007Code type
    • H04J13/004Orthogonal
    • H04J13/0048Walsh
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/01Equalisers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/32Carrier systems characterised by combinations of two or more of the types covered by groups H04L27/02, H04L27/10, H04L27/18 or H04L27/26
    • H04L27/34Amplitude- and phase-modulated carrier systems, e.g. quadrature-amplitude modulated carrier systems
    • H04L27/36Modulator circuits; Transmitter circuits
    • H04L27/365Modulation using digital generation of the modulated carrier (not including modulation of a digitally generated carrier)
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/32Carrier systems characterised by combinations of two or more of the types covered by groups H04L27/02, H04L27/10, H04L27/18 or H04L27/26
    • H04L27/34Amplitude- and phase-modulated carrier systems, e.g. quadrature-amplitude modulated carrier systems
    • H04L27/38Demodulator circuits; Receiver circuits
    • H04L27/389Demodulator circuits; Receiver circuits with separate demodulation for the phase and amplitude components
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/32Carrier systems characterised by combinations of two or more of the types covered by groups H04L27/02, H04L27/10, H04L27/18 or H04L27/26
    • H04L27/34Amplitude- and phase-modulated carrier systems, e.g. quadrature-amplitude modulated carrier systems
    • H04L27/38Demodulator circuits; Receiver circuits
    • H04L27/3818Demodulator circuits; Receiver circuits using coherent demodulation, i.e. using one or more nominally phase synchronous carriers
    • H04L27/3827Demodulator circuits; Receiver circuits using coherent demodulation, i.e. using one or more nominally phase synchronous carriers in which the carrier is recovered using only the demodulated baseband signals
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/32Carrier systems characterised by combinations of two or more of the types covered by groups H04L27/02, H04L27/10, H04L27/18 or H04L27/26
    • H04L27/34Amplitude- and phase-modulated carrier systems, e.g. quadrature-amplitude modulated carrier systems
    • H04L27/38Demodulator circuits; Receiver circuits
    • H04L27/3818Demodulator circuits; Receiver circuits using coherent demodulation, i.e. using one or more nominally phase synchronous carriers
    • H04L27/3836Demodulator circuits; Receiver circuits using coherent demodulation, i.e. using one or more nominally phase synchronous carriers in which the carrier is recovered using the received modulated signal or the received IF signal, e.g. by detecting a pilot or by frequency multiplication

Abstract

코딩된 안테나 어레이들 및 연관된 방법들, 장치들 및 시스템들이 개시된다. 클라이언트 디바이스들에 의해 송신된 신호들은 안테나 어레이에서의 복수의 안테나들 또는 안테나 엘리먼트들에서 수신된다. 수신된 신호들은 직교 코드들 및 의사 난수 시퀀스들과 같은 코드들을 사용하여 코딩되며, 이들 하에서, 코드들이 개별적인 수신된 신호들의 추출을 가능하게 하도록 선택된다. 코딩된 신호들은 그 다음, 공유된 수신기 회로부를 사용하여 프로세싱되는 결합된 코딩된 파형을 형성하도록 결합된다. 공유된 수신기 회로부는 수신된 신호들을 코딩하는데 사용된 코드들을 사용하여 각각의 안테나에서 수신된 신호들을 추출하도록 구성된다. 다수의 클라이언트 디바이스들의 사용이 또한 지원되며 여기서 수신기 회로부는 또한, 개별적인 클라이언트 시스템들로부터 수신된 신호들을 필터링하고 각각의 안테나에서 수신된 신호들의 위상 및 크기를 계산하도록 구성된다. 신호 위상 및 크기는 무선 전력 송신 시스템에 의해 클라이언트들로의 전력의 무선 송신에 사용될 수 있다.

Description

코딩된 안테나 어레이
본 발명의 분야는 일반적으로 무선 통신에 관한 것이며, 보다 구체적으로는, 그러나 비배타적으로, 코딩된 안테나 어레이들 및 연관된 회로부에 관한 것이다.
무선 통신의 사용은 현재의 환경에 아주 흔한 일이다. 모바일 폰에서부터 태블릿으로 무선 접속 컴퓨터들로 IoT (Internet of Things) 디바이스들에 이르기까지 유선 세계로 다시 돌아가는 것은 어렵다. 각각의 새로운 세대의 모바일 통신 기법 (예를 들어, 3G, 4G, 및 이어서 다가오는 5G) 에서, 이용가능한 대역폭이 극적으로 증가하였다. 유사한 이득들이 다른 무선 기법들, 이를 테면, IEEE 802.11, aka, Wi-Fi 를 사용하여 이루어졌고, 이는 모바일 폰, 태블릿, 랩톱, 노트북, 및 다른 유형의 컴퓨팅 디바이스들에 대해 널리 사용된다. 다른 무선 기법들은 Bluetooth, WiMAX, Satellite, 및 ZigBee 를 포함한다.
무선 통신의 기본 양태는 안테나들 및 연관된 신호 프로세싱 회로부이다. 안테나들은 여러 변조 방식을 사용하여 (입사하는 무선 파들로서 수신되는) 아날로그 무선 신호들을 송신 및 수신하는데 사용된다. 이들 방식들은 이들에 제한되지 않는, TDMA (Time-Division Multiple Access), CDMA (Code-Division Multiple Access), DSSS (Direct-Sequence Spread Spectrum FHSS( Frequency-Hopping Spread Spectrum) 및 OFDMA (Orthogonal Frequency-Division Multiple Access) 를 포함한다. 이들 기법들의 변형들 및/또는 조합들, 이를 테면 DS-CDMA (Direct-Sequence Code Division Multiple Access) 가 또한 구현될 수도 있다. 일반적으로 송신기 및 수신기로 지칭되는 별도의 송신기 및 수신기 회로부는 송신된 신호들을 생성하고 수신된 신호들을 프로세싱하는데 사용된다. 트랜시버로 지칭되는 단일의 컴포넌트에서 송신기 및 수신기 기능들을 구현하기 위하여 회로부를 통하는 것이 또한 일반적이다.
안테나 기술들은 또한, 최근 몇년에 진보를 경험하고 있다. 예를 들어, 소위 "스마트" 안테나들은 공간 신호 정보, 이를 테면, 도달 방향을 식별하는데 사용되고, 타겟 디바이스의 안테나 빔을 추적하고 로케이팅하기 위해 빔포밍 벡터들을 계산하는데 사용되는 지능형 신호 프로세싱을 갖는 안테나 어레이들이다.
일부 종래 기술 또는 관련 시스템 및 이들의 연관된 제약들의 본원에 제공된 예들은 예시적이고 비배타적인 것으로서 의도된다. 종래의 또는 기존의 시스템들의 다른 제약들은 다음의 상세한 설명을 읽을 때 당해 기술 분야의 당업자에게 명백하게 될 것이다.
본원의 전술한 양태들 및 많은 수반되는 장점들은, 첨부된 도면들을 참조하여, 이하 상세한 설명을 참조하여 보다 잘 이해되는 바와 같이 보다 용이하게 이해될 것이며, 도면들에서, 동일한 참조 번호들은 달리 나타내지 않으면 다양한 뷰들 전반에 걸쳐 동일한 부분들을 규정한다.
도 1 은 하나의 실시형태에 따라 코딩된 결합된 파형 Y 를 생성하는 방식으로 안테나 어레이에 의해 수신된 신호들의 프로세싱을 예시하는 다이어그램이다.
도 2 는 하나의 실시형태에 따라 이후 개별적인 안테나들에서 그리고 각각의 클라이언트로부터 수신된 신호들을 추출될 수 있게 하는 방식으로 다수의 클라이언트들로부터 수신된 신호들이 코딩되고 프로세싱되는 방식을 나타내는 시스템 다이어그램이다.
도 3a 및 도 3b 는 8-비트 왈시 코드들의 예시적인 세트들을 도시한다.
도 4a 는 네개의 개별적인 안테나들에서 수신된 네개의 입사 파형들을 예시하는 그래프를 도시한다.
도 4b 는 이들이 코딩된 후에 도 4b 의 네개의 입사 파형들을 예시하는 그래프를 도시한다.
도 4c 는 도 4b 의 네개의 코딩된 파형들을 추가하는 것에 의해 생성된 결합된 파형을 예시하는 그래프를 도시한다.
도 5a 및 도 5b 는 결합된 파형의 IQ 복조에 의해 생성된 기저대역 IQ 결합된 신호들을 예시하는 그래프들이고, 여기서 I 및 Q 성분들은 도 5a 에서 단일 그래프에 도시되고 도 5b 에 별개의 그래프들에 도시된다.
도 6 은 도 5 의 기저대역 IQ 결합된 신호들에 도 4b 에서의 코드들을 적용하는 것에 의한 결과의 네개의 디코딩된 기저대역 신호의 I 및 Q 성분들을 예시하는 그래프이다.
도 7 은 하나의 실시형태에 따라, 예시적인 24 GHz 시스템 구현을 위한 블록도이다.
도 8a 는 하나의 실시형태에 따라, 위상-재구성가능 안테나 (800) 의 블록도이다.
도 8b 는 하나의 실시형태에 따라 1-비트 위상 시프터 또는 N-비트 위상 시프터를 포함하는 안테나/회로 블록의 블록도이다.
도 8c 는 하나의 실시형태에 따라 믹서 또는 이미지-리젝트 믹서를 사용한 안테나/회로 블록을 예시하는 블로도이다.
도 8d 는 하나의 실시형태에 따라 탭 포인트를 사용하여 180도 스위칭하는 안테나/회로 블록을 예시하는 블록도이다.
도 8e 는 하나의 실시형태에 따라 풀 RF 프론트-엔드 믹서를 포함하는 안테나/회로 블록을 예시하는 블록이다.
도 8f 는 하나의 실시형태에 따라 풀 RF 프론트-엔드 및 위상 시프터를 포함하는 안테나/회로 블록을 예시하는 블로도이다.
도 9 는 하나의 실시형태에 따라 도 8a 의 위상 재구성가능 안테나들의 어레이를 사용하는 예시적인 24 GHz 시스템 구현에 대한 블록도이다.
도 10 은 하나의 실시형태에 따라 도 8c 의 안테나/블록 회로들의 어레이를 사용하는 예시적인 24 GHz 시스템 구현에 대한 블록도이다.
도 11 은 안테나들에서 수신된 입사 신호들을 코딩하는데 사용되는 회로부가 안테나들과 병치되는 안테나/회로 블록들의 어레이를 예시하는 다이어그램이다.
도 12 는 안테나들에서 수신된 입사 신호들을 코딩하는데 사용되는 안테나 어레이 및 회로부가 안테나들로부터 분리되는 일 실시형태를 예시하는 다이어그램이다.
도 13 은 일부 실시형태들에 따라 무선 전력 전달 환경 내에서 하나 이상의 무선 전력 송신 시스템들로부터 여러 무선 디바이스들로의 무선 전력 전달을 예시하는 예시적인 무선 전력 전달 환경을 도시한다.
도 14 는 일부 실시형태들에 따라 무선 전력 전달을 개시하기 위한 무선 수신기 클라이언트와 무선 전력 송신 시스템 사이의 예시의 동작들을 나타내는 시퀀스 다이어그램이다.
도 15 는 일부 실시형태들에 따라 무선 전력 송신 시스템의 예시적인 컴포넌트들을 나타내는 블록도를 도시한다.
도 16 은 일부 실시형태들에 따라 무선 전력 수신기 클라이언트의 예시적인 컴포넌트들을 나타내는 블록도를 도시한다.
도 17a 및 도 17b 은 일부 실시형태들에 따라 예시적인 다중경로 무선 전력 전달 환경을 예시하는 다이어그램을 나타낸다.
도 18 은 하나의 실시형태에 따라 코딩된 안테나 어레이를 사용하여 WPTS 에 의해 수행되는 동작들을 예시하는 플로우차트 (1800) 이다.
코딩된 안테나 어레이들 및 연관된 방법들, 장치들 및 시스템들의 실시형태들이 본원에 개시된다. 다음의 설명에서, 다수의 특정 세부사항들이 본 발명의 철저한 이해를 제공하기 위해 기술된다. 그러나, 당업자는 본 발명이 하나 이상의 특정 세부사항 없이, 또는 다른 방법, 컴포넌트들, 재료 등으로 실시될 수 있다는 것을 인식할 것이다. 다른 예들에서, 잘 알려진 구조들, 재료들, 또는 동작들은 본 발명의 양태들을 모호하게 하는 것을 피하기 위해 상세히 도시되거나 설명되지 않는다.
본 명세서에서 "일 실시형태" 또는 "실시형태" 에 대한 참조는 그 실시형태와 관련하여 설명된 특정한 특징, 구조, 또는 특성이 본 발명의 적어도 일 실시형태에 포함되는 것을 의미한다. 따라서, 본 명세서 전체의 곳곳에 기재된 어구 "일 실시형태에서" 또는 "실시형태에서" 는 모두 반드시 동일한 실시형태를 참조하는 것은 아니다. 또한, 특정 특징들, 구조들 또는 특성들은 하나 이상의 실시형태들에서 임의의 적절한 방식으로 결합될 수도 있다.
명확성을 위해, 본 명세서의 도면들에서의 개별 컴포넌트들은 또한 특정 참조 번호에 의해서가 아니라 도면들에서의 그들의 라벨들에 의해 지칭될 수 있다. 또한, (특정 컴포넌트들에 대해 반대되는) 특정 유형의 컴포넌트들을 지칭하는 도면 부호들 "통상적인" 을 의미하는 "(typ)"가 뒤따르는 도면 부호로 도시될 수 있다. 이들 컴포넌트들의 구성은 존재할 수 있지만 단순성 및 명료성을 위해 도면들에 달리 도시되지 않은 유사한 컴포넌트들 또는 별도의 도면 번호들로 라벨링되지 않은 다른 유사한 컴포넌트들의 전형일 것이라는 것이 이해될 것이다. 반대로, "(typ)"는 컴포넌트, 엘리먼트 등 등이 통상적으로 그것의 개시된 기능, 구현, 목적 등에 사용된다는 것을 의미하는 것으로 간주되지 않는다.
본원에서 사용되는 용어들은 본 발명의 문맥 내에서 그리고 각각의 용어가 사용되는 특정 문맥에서 일반적으로 당업계에서 통상적으로 사용되는 의미를 갖는다. 본 개시를 설명하는데 사용되는 특정 용어들은 본 개시 내용의 설명에 관한 추가적인 지침을 실시자에게 제공하기 위해 아래에서 또는 본원의 다른 곳에서 논의된다. 편의상, 예를 들어 이탈릭체 및/또는 인용 부호를 사용하여 특정 용어들이 강조될 수 있다. 강조 표시의 사용은 용어의 범위와 의미에 영향을 주지 않고; 용어의 범위와 의미는 강조 표시되는지 여부에 관계없이 동일한 컨텍스트에서 동일하다. 동일한 것이 하나 보다 많은 방식으로 말할 수 있다는 것이 이해될 것이다.
결과적으로, 대안적인 언어 및 동의어들은 본원에서 논의된 용어들 중 임의의 하나 이상에 대해 사용될 수 있고, 또한 용어가 본원에서 설명되거나 논의되지 않는지 여부에 따라 배치되는 임의의 특별한 중요성도 없다. 특정 용어에 대한 동의어가 제공된다. 예를 들어, 용어 신호들 및 파형들은 본원에서 상호 교환 가능하게 사용된다. 하나 이상의 동의어 반복은 다른 동의어의 사용을 배제하지 않는다. 본원에서 논의된 임의의 용어들의 예들을 포함하는, 본 명세서에서 임의의 예들의 사용은 단지 예시적인 것이며, 본 개시 또는 임의의 예시적인 용어의 범위 및 의미를 추가로 제한하도록 의도되지 않는다. 마찬가지로, 본 개시는 본 명세서에 주어진 다양한 실시형태들로 제한되지 않는다.
본 개시의 범위를 더 제한하고자 의도함이 없이, 본 개시의 실시형태들에 따른 기기, 장치, 방법 및 이들의 관련 결과의 예들은 아래 주어진다. 제목 또는 부제목은 독자의 편의를 위해 예에서 사용될 수 있으며, 이는 본 발명의 범위를 제한하지 않는다. 달리 정의하지 않으면, 본원에 사용하는 모든 기술 및 과학 용어는 본 개시 내용이 속하는 분야의 숙련된 자들 중 한명이 통상적으로 이해하는 것과 동일한 의미를 갖는다. 상충되는 경우, 정의를 포함하는 본 명세서가 통제할 것이다.
코드 안테나 어레이
본원에 개시된 실시형태들의 양태들에 따르면, 다수의 안테나들이 수신기에 의해 수행된 수신기 회로부 및 신호 프로세싱 동작들을 공유할 수 있는 신규의 방식들을 제시하며, 이러한 방식으로 신호 프로세싱이 각각의 안테나에서 수신된 개별적인 신호들을 수학적으로 연산할 수 있다. 수신기 회로부를 공유하는 것은 각각의 안테나에 대해 별개의 수신기들을 요구하는 현재의 기술에 비해 수개의 이점을 제공한다. 이점들은 비용 절감 및 타이밍 동기 곤란함의 단순화를 포함한다. 비용 및 단순화 이점들은 또한 수신기 회로부를 공유하는 안테나들의 수로 스케일화한다.
코딩된 안테나 어레이에 의해 수행된 방법의 양태들 하에서, 클라이언트 디바이스 등에 의해 송신된 신호들은 안테나 어레이에서의 안테나들 또는 안테나 엘리먼트들에서 수신된다. 일부 실시형태들에서, 신호들은 직교 코드들을 사용하여 코딩되고, 직교 코드들 하에서, 주어진 수신된 신호를 코딩하는데 사용된 직교 코드는 다른 수신된 신호들을 코딩하는데 사용된 직교 코드들의 각각에 직교한다. 다른 실시형태들에서, 신호들은 의사난수 (PN) 시퀀스들을 사용하여 코딩된다. 코딩된 신호들은 그 다음, 아래 보다 자세하게 설명될 바와 같이, 공유된 수신기 회로부를 사용하여 프로세싱되는 결합된 코딩된 파형을 형성하도록 결합된다.
도 1 은 하나의 실시형태에 따라 코딩된 결합된 파형 Y 를 생성하는 방식으로 안테나 어레이에 의해 수신된 신호들을 프로세싱하는 양태들을 나타낸다. 도시된 바와 같이, N 개의 안테나들 (102) 을 포함하는 안테나 어레이 (100) 에서의 안테나들은 클라이언트 디바이스 (107) 의 안테나 (106) 로부터 송신된 무선 신호들 (104) 을 수신한다. 무선 신호들 (104) 이 공간 다이버시티를 갖기 때문에, 각각의 안테나 (102) 는
Figure pct00001
에 의해 묘사된 바와 같이 안테나 어레이 (100) 에서 다른 안테나들에서 수신된 무선 신호들과는 상이한 위상 (시간에서) 을 가질 수도 있는 개별적인 신호 (S) 를 수신한다. 안테나들 1, 2, 3, 및 N 에서 수신된 신호들은 신호들 (S1, S2, S3, 및 SN) 로서 각각 도시된다.
예시된 실시형태들 하에서, 각각의 안테나에서 수신된 신호 (S1, S2, S3, … SN) 는 개별적인 코드들 (C1, C2, C3, … CN) 로, 믹서들 (108) 에서 코딩되어, 코딩된 신호들 (C1 S1, C2 S2, C3 S3, … CN SN) 을 형성한다. 코딩된 신호들은 그 다음, 가산기 (110) 로 도시된 바와 같이, 함께 가산되거나 또는 달리 결합되어, 결합된 코딩된 파형 Y 을 형성한다. 아래 더 자세하게 설명된 바와 같이, 코드들 (C1, C2, C3, … CN) 이 직교 코드들일 때, 각각의 신호 (S1, S2, S3, …, SN) 는 결합된 파형 Y 으로부터 추출될 수 있고, 이에 의해 추출된 신호는 오리지널 신호에 매칭한다.
하나의 실시형태에서, 이 방식이 최적으로 작동하기 위하여, 식 세트 1 로 도시된 바와 같이, C1, C2, C3, …, CN 이 선택되고 CN 과 CM 의 내적은 N ≠ M 에서는 0 이고, N = M 일 때 1 이다:
Figure pct00002
즉, 같지 않은 인덱스들을 갖는 (즉, M ≠ N) 인 임의의 두개의 코드들은 직교한다. 이러한 특성을 갖는 하나의 이러한 코드 세트는 왈시 (Walsh) 또는 하다마드 (Hadamard) 코드로 불린다. (무선 통신에서, 왈시 코드는 종종 하다마드 코드로 불리고 그 역도 성립하며-여기서 왈시 및 하다마드의 어느 것이 실제 오리지네이터인지에 대한 일부 불일치가 존재한다.) 이 특성들을 사용하여, 모든 신호들은 하나의 신호 경로 Y 로 결합될 수 있다:
Figure pct00003
S1, S2, S3, …, SN 는 대응하는 코드와의 스칼라 곱에 의해 결합된 파형 Y 으로부터 추출될 수 있다.
Figure pct00004
상술한 것은 다음 식들에 의해 표현될 수 있다,
Figure pct00005
또는 더 간결하게,
Figure pct00006
여기서 n 은 신호이고, 코드 인덱스들 및 Sn 은 Y 및 Cn 의 내적이다.
도 2 의 시스템 (200) 을 참조하여 보면, 하나의 실시형태에서, 상술한 접근 방식이 다음의 방식으로 구현된다. 시스템 (200) 하에서, 복수의 클라이언트 디바이스들 (또한 본원에서는 클라이언트들로서 지칭됨) j, k, … y 는 개별적인 안테나들 (202, 204, 및 206) 로부터 신호들 (Sj, Sk, 및 Sy) 을 송신하고, 이 신호들은 안테나 어레이 (100) 에서 안테나들 1, 2, 3, … N 에 의해 수신된다. 각각의 클라이언트 j, k, … y 는 유사한 신호 프로세싱 및 송신기 회로부를 포함하지만; 그러나 별개의 주파수, 타임슬롯 또는 코드가 각각의 클라이언트에 배정되고, 이는 그 클라이언트의 신호들 Sj, Sk 및 Sy 을 송신하는데 사용되어, 각각의 클라이언트 신호가 아래 설명된 바와 같이 다른 클라이언트 신호들로부터 필터링될 수 있다.
안테나들 1, 2, 3, … N 의 각각에서 클라이언트들 j, k, … y 의 각각으로부터 수신된 신호들의 파형들은 서로 중첩되어 입사 신호들 (S1, S2, S3, …, SN) 을 형성한다. 각각의 입사 신호 (S) 는 입사 신호 (S1) 에 대해 S1 = Sj1 + Sk1 … + Sy1 로 나타낸 바와 같이, 클라이언트들 j, k, … y 각각으로부터 수신된 신호 파형들의 중첩 결합을 포함한다. 위에 언급된 바와 같이, 주어진 클라이언트로부터의 송신에 대해, 상이한 안테나들에서 수신된 신호는 안테나 어레이 및 신호 전파 고려요건들에서 안테나들의 물리적 레이아웃에 따라 위상 및/또는 크기에서 미소하게 상이할 수도 있다. 따라서, 주어진 클라이언트 디바이스로부터 안테나들 1, 2, 3, … N 에서 수신된 신호들에 대한 도면의 특징부에서의 참조들은 클라이언트 k 에 대해 신호들 (Sk1, Sk2, Sk3, …, SkN) 과 같이 클라이언트의 인덱스들에 더하여 첨부된 안테나 번호를 갖는다.
도 1 에 대하여 위에 설명된 것과 동일한 방식으로, 각각의 입사 신호 (S1, S2, S3, …, SN) 는 믹서 (108) 에서 개별적인 코드 (C1, C2, C3, …, CN) 로 코딩되며, 코딩된 신호들 (C1 S1, C2 S2, C3 S3, … CN SN) 은 가산기들 (110) 을 통하여 결합된 코딩된 파형 Y 으로 결합된다. 신호들의 코딩은 각각의 코드 (C1, C2, C3, …, CN) 에 대해 비트 시퀀스에 기초하여 입사 신호에 대한 무선 주파수 (RF) 파형을 1 또는 -1 (즉, 0 또는 180 도 위상 시프트) 로 곱하는 것으로 이어진다. 직교 또는 PN 시퀀스들을 포함하는 코드들의 사용은 간섭을 최소화하면서, 주어진 안테나에서 수신된 입사 신호가 안테나 어레이에서의 다른 안테나들에서 수신된 입사 신호들과 결합될 수 있게 한다.
직교 코드들 및 PN 시퀀스들은 또한 수신기 노드 (208) 에 의해 수행된 동작들을 통하여 결합된 코딩된 파형 Y 으로부터 입사 신호들 (S1, S2, S3, …, SN) 이 추출될 수 있게 한다. 결합된 코딩된 파형 Y 는 수신기 노드 (208) 에 대한 입력으로서 제공되며, 여기서, 하향 변환 블록 (210) 에서 중간 신호로 하향 변환된 다음, IQ 복조기 (212) 에서 프로세싱되어 중간 신호의 동위상 성분 I(t) 및 직각 성분 Q(t) 을 복원하며, 이에 의해 결합된 코딩된 파형 Y 의 복소 표현을 포함하는 기저대역 신호를 생성한다. 수신기 노드 (208) 의 회로부 및/또는 임베디드 로직은 그 다음, 복소 표현을 안테나의 코드 (C1, C2, C3, …, CN) 로 곱하여 입사 신호들 (S1, S2, S3, …, SN) 을 추출할 수 있다. 이는 Cm 블록 (214) 과 믹서 (216) 에 의해 도시된 바와 같이, 코드 (Cm) 로 IQ 복조기 (212) 에 의해 출력된 복소 표현을 곱하는 것으로 나타내어진다. 이 예에서, 블록 (218m) 으로 나타나는 신호 (Sm) 는 안테나에서 수신된 입사 신호를 코딩하기 위해 코드 (Cm) 를 사용하였던 안테나에 대응한다. 이와 유사한 방식으로, 다른 코드들 (C1, C2, C3, …, CN) 은 신호들 (S1, S2, S3, …, SN) 을 추출하는데 사용될 수 있다.
수신기 노드 (208) 에 추가로 나타낸 바와 같이, 입사 신호들 (S1, S2, S3, …, SN) 이 추출될 때 추출된 신호가 적용가능 세트의 필터들에 의해 통과되거나 또는 달리 프로세싱되어, 각각의 클라이언트로부터 주어진 안테나에서 수신된 개별 신호들을 분리한다. 도 2 에 나타낸 예에서, 클라이언트 필터 ('k') 는 클라이언트 k 로부터 안테나들 1, 2, 3, … N 에서 수신된 개별적인 입사 신호들 (S1, S2, S3, …, SN) 의 IQ 신호 성분들을 분리하는데 사용된다. 이들 필터링된 신호들은 Sk1, Sk2, Sk3, 및 SkN 로 라벨링된다.
일반적으로, 사용된 필터의 유형은 주어진 시스템에서 클라이언트들에 의해 송신된 개별 신호들을 고유하게 식별하는데 사용되는 방식에 기초할 수도 있다. 예를 들어, 하나의 실시형태에서, 상이한 주파수 또는 톤이 각각의 클라이언트에 의해 사용되고, 대응하는 주파수 대역통과 필터들은 각각의 클라이언트에 대한 신호들을 필터링하는데 사용될 수 있다. 다른 방시에서, 클라이언트들에는 별개의 타임 슬롯들이 배정될 수도 있고, 이 타임슬롯 동안에, 이들은 비콘 신호 등을 송신한다. 다른 방식들, 이를 테면, 각각의 클라이언트 송신들을 고유의 코드로 인코딩하는 것이 사용될 수 있고, 여기서 대응하는 필터들이 각각의 클라이언트에 사용된 코드에 기초하여 상이한 클라이언트들에 의해 송신되는 신호들을 분리하는데 사용된다. 당해 기술에 알려진 다른 기법들이 유사한 방식으로 사용될 수도 있다.
일단 클라이언트 신호들이 분리되면, 신호들 (Sk1, Sk2, Sk3, 및 SkN) 은 그 다음, (그 클라이언트로부터 안테나들 1, 2, 3, … N 각각에서 수신되는) 신호들의 위상 및 크기를 결정하기 위해 추가로 프로세싱될 수 있다. 진폭 및 위상을 추출하기 위하여, 주어진 시점에서의 기저대역의 동위상 성분 I(t) 및 직각 성분 Q(t) 은 다음과 같이 사용될 수 있다:
Figure pct00007
크기는 다음으로서 계산될 수 있다,
Figure pct00008
위상은 다음으로서 계산될 수 있다,
Figure pct00009
위에 설명된 바와 같이, 무선 파들을 포함하는 입사 신호들은 각각의 안테나에 도달되고, 이들 신호들은 비트들의 시퀀스로 구성되는 고유의 코드로 코딩된다. 비트 시퀀스가 '1'들과 '-1'들로 구성되도록 이진수 '0' 을 '-1' 로 대체하는 것이 통상의 실시인 것을 제외하면 비트 시퀀스들은 이진수 비트 시퀀스와 유사하다. 코딩은 무선 주파수 (RF) 파형을 1 또는 -1 (즉, 0 또는 180 도 위상 시프트) 로 곱하는 것으로 이어진다. 적절한 코드들, 이를 테면, 직교 코드 및 PN 시퀀스들의 사용은 입사 신호가 간섭을 최소화하면서 다른 안테나 엘리먼트들에서 수신된 입사 신호들과 결합되게 한다. 위에 논의된 바와 같이, 하나의 실시형태에서, 코드들은 직교 코드들이다. 사용될 수도 있는 직교 코드의 일 예는 왈시 코드들 (aka Hadamard 코드들) 이다. Walsh 및 Hadamard 코드들은잘 알려진 기법들, 이를 테면, Haramard 생성자 매트릭스를 사용하여 생성될 수 있다.
직교 코드의 길이는 통상적으로, 구현될 고유 직교 코드들의 수의 함수이다. 여러 실시형태 하에서, 안테나 또는 안테나 엘리먼트들의 수 N 는 10 내지 100의 범위일 수도 있고, N 이 10 미만일 때의 구성들이 또한 구현될 수 있음을 주지한다. 일부 실시형태들에서, N 은 64 이상이다. N=8 인 방식으로 구현될 수 있는 8-비트 Walsh 코드의 세트들의 예들은 도 3a 및 도 3b 에 도시된다. 유사한 방식으로 더 긴 Walsh 코드들이 생성되어 사용될 수도 있고 코드의 길이 (len) 는 N 이다.
도 4a 내지 도 4c, 도 5, 및 도 6 은 도 2 에 예시된 프로세스의 여러 스테이지들을 사용하여 입사 신호들이 어떻게 프로세싱되는지의 간략한 일 예를 예시한다. 프로세스는 도 4a 로 시작하며, 이는 네개의 개별적인 안테나들에서 수신된 네개의 입사 파형들을 예시하는 그래프 (400a) 를 도시한다. 다이어그램의 하부 부분에 도시된 바와 같이, 입사 파형들은 입사 신호들 (S1, S2, S3, 및 S4) 로 또한 지칭된다.
도 4b 는 개별적인 코드들 (C1, C2, C3, 및 C4) 로 네개의 입사 신호들 (S1, S2, S3, 및 S4) 을 코딩하는 것 및 이에 의해 코딩된 신호들 (C1 S1, C2 S2, C3 S3, 및 C4 S4) 로 이어지는 것에 의해 획득된 네개의 코딩된 파형들을 도시한다.
위에 설명된 방식에서, 코딩된 신호들은 결합되어 공통 신호 경로를 공유하여, 수신기/신호 프로세싱 하드웨어의 단일 세트가 다수의 안테나들 또는 다수의 안테나 엘리먼트들로부터 수신된 신호들을 프로세싱할 수 있게 된다. 이는 도 4c 에 예시되어 있으며, 여기서, 코딩된 신호들 (C1 S1, C2 S2, C3 S3, 및 C4 S4) 은 코딩된 결합된 파형 Y 을 형성하는데 추가된다.
코딩된 신호들이 결합된 후, 일부 실시형태들에서, 결합된 파형은 중간 신호로 하향 변환된다. 다른 실시형태들에서, 중간 신호로의 하향 변환은 사용되지 않을 수도 있다. 일반적으로, 결합된 파형은 믹서와 같이 당해 기술에 잘 알려진 신호 프로세싱 회로부 및 기법들을 사용하여 하향 변환될 수도 있다.
다음으로, 결합된 코딩된 파형 (또는 하향 변환이 수행되지 않으면 결합된 코딩된 파형 자체) 의 중간 신호 형태는 동위상 및 직각 성분들 I(t) 및 Q(t) 를 포함하는 결합된 파형의 복소 표현을 생성하는데 IQ 복조기를 사용하여 프로세싱된다. 복소 표현의 예들은 도 5a 및 도 5b 에 도시된다.
임베디드 로직 및/또는 임베디드 소프트웨어를 사용하여, 각각의 안테나에서 수신된 개별 신호들이 추출될 수 있다. (입사 신호들에서의 잠재적인 결함들이 없이) 입사 신호들 및 추출된 신호들이 동일하게 되도록 직교 코드들 (예를 들어, Walsh 코드들) 을 사용하여, 각각의 안테나에 대한 입사 신호들이 추출될 수 있다. PN 시퀀스들을 포함하는 코드들, 이를 테면, CDMA 모바일 무선 시스템들에 의해 사용된 "Gold" 코드들이 또한 사용될 수도 있다.
위에 설명된 바와 같이, 개별적인 안테나들에 대한 입사 신호들은 IQ 복조기에 의해 출력된 복소 표현으로 입사 신호들을 코딩하는데 사용한 동일 코드들을 적용하는 것에 의해 추출된다. 도 6 에 도시된 바와 같이, 이는 개별적인 입사 신호들 (S1, S2, S3, 및 S4) 과 동일한 I 및 Q 성분들을 갖는 네개의 디코딩된 기저대역 신호들 (S1', S2', S3', 및 S4') 을 추출하는 것으로 이어진다.
위에 설명된 바와 같이, 각각의 안테나에서 각각의 클라이언트로부터 수신된 신호들의 위상이 또한 추출될 수 있다. 예를 들어, 하나의 실시형태에서, 안테나 엘리먼트 k 의 위상은 FFT 를 사용하여 하기와 같이 추출된다.
Figure pct00010
여기서 * 는 내적을 표기한다. 도 6 에서, 'I' 그래프 (600) 에서의 점선들은 코드의 길이에 걸쳐 평균 위상을 나타낸다.
추출된 신호들의 크기는 또한 이를 테면, 위의 식 7 을 사용하여 계산될 수 있다. 디코딩된 기저대역 신호들의 평균 크기 (S1', S2', S3', 및 S4') 는 'Q' 그래프 (602) 에서의 점선들에 의해 예시된다.
도 7 은 하나의 실시형태에 따라, 예시적인 24 GHz 시스템 구현을 위한 블록도 (700) 이다. 앞에서와 같이, 안테나 어레이 (100) 는 N 개의 안테나들 (또는 안테나 엘리먼트들)(102) 을 포함하고, 각각의 안테나는 개별적인 입력 신호 S (즉, S1, S2, S3 … SN) 를 수신한다. 입사 신호들을 코딩하기 위한 회로부의 블록은 각각의 안테나 (또는 안테나 엘리먼트)(102) 와 연관된다. 본원에 사용된 바와 같이, 안테나 또는 안테나 엘리먼트 및 연관된 자신의 회로부 블록은 "안테나/회로 블록"으로 지칭된다. 도 7 에 도시된 바와 같이, 실시형태는 N 개의 안테나/회로 블록들 (704) 의 어레이 (702) 를 포함한다.
각각의 안테나/회로 블록 (704) 은 안테나 (102), 1-비트 위상 시프터 (706) 및 PN 코드 블록 (708) 을 포함한다. 묘사된 바와 같이, 안테나/회로 블록 (704) 은 가산기 (710) 를 더 포함한다. 옵션으로서, 도 7 에 도시된 가산기들 (710) 은 안테나/회로 블록들 (704) 로부터 분리된 회로부로서 고려될 수도 있다.
예시된 실시형태에서, 각각의 입력 신호는 고유의 PN 시퀀스 (702)(PN1, PN2, PN3, … PNN) 를 갖는 코드로 코딩된다. PN 시퀀스들은 ON 코드 블록들 (708) 로 구현될 수도 있고 여기서, 각각의 PN 코드 블록은 PNn 으로 라벨링되며, 여기서, 아래첨자 'n'은 안테나/회로 블록의 연관된 안테나에 대응한다. 1-비트 위상 시프터 (704) 는 PN 시퀀스에서의 현재 비트가 1 또는 -1 인지의 여부에 따라 PN 시퀀스에서의 비트 값에 응답하여 위상 0 또는 180 도를 시프트시키는데 사용된다. 이 방식으로, 1-비트 위상 시프터는 고유 코드를 갖도록 입사 신호를 인코딩할 수 있고 그 특정 안테나에 대한 입사 신호가 결합된 코딩된 파형 Y 로부터 위에 설명된 방식으로 추출될 수 있게 한다.
각각의 1-비트 위상 시프터 (704) 에 의해 출력된 코딩된 신호는 가산기 (710) 를 통하여 가산되어 결합된 코딩된 파형 Y 을 형성한다. 결합된 코딩된 파형 Y 은 그 다음 수신기 노드 (712) 에 의해 프로세싱된다. 믹서 (714) 에서, 결합된 코딩된 파형 Y 는 대략 22 GHz 의 주파수를 사용하여 중간 신호로 하향 변환된다. 하향 변환된 신호는 그 다음, 2.4 GHz IQ 복조기 (716) 로 공급되어, 중간 신호의 동위상 성분 I(t) 및 직각 성분 Q(t) 을 재구성하여, 결합된 코딩된 파형의 복소 표현을 생성한다. 2.4 GHz IQ 복조기 (716) 에 의해 출력되는 결합된 코딩된 파형의 복소 표현은 블록 (718) 에서의 디지털 신호 프로세서 (DSP) 또는 다른 임베디드 로직을 사용하여 프로세싱된다.
일반적으로, DSP 는 잘 알려진 원리들을 사용하여 시스템에 사용된 특정 송신 방식에 맞추어진 디지털 신호 프로세싱 동작들을 수행하도록 프로그래밍되거나 또는 달리 구성될 수 있다. 이는 본원에 설명된 입사 신호 추출 기능을 포함한다. DSP 는 또한 신호 위상 및 크기 값들을 계산하는데 사용될 수도 있다. DSP 에 더하여, 임베디드 로직의 다른 형태들이 사용될 수 있고, 이를 테면, 이들에 제한되지 않지만, 프로그래밍된 로직 컴포넌트들, 이를 테면, FPGA (Field Programmable Gate Array) 또는 ASIC (Application Specific Integrated Circuit) 이 사용될 수도 있다. 임베디드 소프트웨어 또는 펌웨어를 구동하는 임베디드 프로세서는 또한, 입사 신호 추출 동작을 수행하고/수행하거나 신호 위상 및 크기 값들을 계산하는데 사용될 수도 있다. 여러 유형들의 프로세서는 범용 프로세서, 프로세서 엔진들, 마이크로컨트롤러 등을 포함하는 이러한 임베디드 프로세서에 사용될 수도 있다. 일부 실시형태들에서, 신호들은 FFT들을 사용하여 프로세싱된다.
이전 도면들에 도시된 안테나 엘리먼트들/회로부들에 더하여, 여러 다른 구성들은 유사한 기능들을 구현하는데 사용될 수도 있다. 예를 들어, 대안적인 안테나 엘리먼트들 및 연관된 회로부의 비제한적인 예들은 도 8a 내지 도 8f 에 도시된다.
도 8a 는 위상 재구성가능 안테나 (800) 의 일 실시형태를 도시한다. 안테나 엘리먼트 (802) 는 예를 들어 0 과 180 도 위상 시프트를 스위칭하도로 구성되는 PIN 또는 버랙터 다이오드(들) (804) 을 포함한다. 의사랜덤 코드 블록 (806) 은 이것이 PIN 다이오드 (804) 를 통과한 후에 안테나 엘리먼트 (802) 에 의해 수신된 입사 신호를 코딩하는데 시용된다.
도 8b 는 1-비트 위상 시프터 또는 N-비트 위상 시프터를 포함하는 안테나/회로 블록 (810) 의 일 실시형태를 도시하며, 이는 도 7 의 안테나/회로 블록 (704) 과 유사하다. 이 구성은 안테나 엘리먼트 (812), 1-비트 또는 N-비트 위상 시프터 (814) 및 의사랜덤 코드 블록 (806) 을 포함한다. 이 실시형태의 동작은 도 7 을 참조하여 위에 설명된 것과 유사하며, 도 7 에서의 1-비트 위상 시프터에 더하여 N-비트 위상 시프터를 사용하는 추가적인 옵션을 갖는다. 가산기 (816) 에 의해 도시된 바와 같이, 코딩된 그리고 위상 시프트된 신호는 도 7 에 도시되고 위에 논의된 것과 유사한 방식으로 다른 코딩된 그리고 위상 시프트된 신호들 (도시 생략) 에 가산된다.
도 8c 는 믹서 또는 이미지-리젝트 믹서를 사용한 안테나/회로 블록 (820) 의 실시형태를 예시하는 블로도이다. 안테나/회로 블록 (820) 은 안테나 엘리먼트 (812), 믹서 (822), 의사랜덤 코드 블록 (806) 및 가산기 (816) 를 포함한다. 입사 신호는 안테나 엘리먼트 (812) 에 의해 수신되고, 믹서 (822) 에서 의사랜덤 코드 (PNN) 로 코딩된다. [이미지-리젝트 믹서가 동작하는 방법을 설명한다]
도 8d 는 180 도를 탭-포인트를 사용하여 스위치하는 안테나/회로 블록 (830) 의 일 실시형태를 예시하는 블록도를 도시한다. 예시된 실시형태에서, 안테나마다 하나의 RF 프론트-엔드가 존재한다. 더욱 자세하게는, 안테나/회로 블록 (830) 은 안테나 엘리먼트 (832), 의사난수 (PNN) 블록 (834) 및 의사 난수의 역 (~PNN) 블록 (836) 및 양방향 스위치 (838) 를 포함한다. PNN 블록 (834) 및 ~PNN 블록 (836) 의 각각은 개별적인 탭 (840 및 842) 을 통하여 안테나 엘리먼트 (832) 에 의해 수신된 입사 신호 (S) 에 커플링된다. 대안의 구성 하에서, 안테나마다 하나의 RF 프론트 엔드 (예를 들어, 이를 테면 도 8d 에 도시된 바와 같음) 또는 RF 프론트-엔드마다 2N 개의 안테나들이 존재한다.
도 8e 는 풀 RF 프론트 엔드 및 믹서를 사용한 안테나/회로 블록 (850) 의 실시형태를 예시하는 블로도를 도시한다. 안테나/회로 블록 (850) 은 양방향 스위치 (852), 믹서 (854), PNN 블록 (856) 및 가산기 (858) 에 커플링된 안테나 엘리먼트 (812) 를 포함한다. 제어 회로부 (도시 생략) 는 양방향 스위치 (852) 의 방향을 제어하는데 사용된다. 수신기로서 동작할 때, 안테나 엘리먼트 (812) 에서 수신된 입사 신호는 우측 브랜치로 후속하며, 믹서 (854) 에서 직교 PNN 코드와 믹싱되고, 여기서, 믹서 (854) 의 출력은 가산기 (858) 에서, 상이한 안테나 엘리먼트들 (도시 생략) 에서 수신된 다른 신호들에 가산된다.
도 8f 는 풀 RF 프론트-엔드 및 위상 시프터를 포함하는 안테나/회로 블록 (860) 의 실시형태를 예시하는 블로도를 도시한다. 안테나/회로 블록 (860) 은 양방향 스위치 (852), 위상 시프터 (862), PNN 블록 (864), 및 가산기 (866) 에 커플링된 안테나 엘리먼트 (812) 를 포함한다. 앞에서와 같이, 제어 회로부 (도시 생략) 는 양방향 스위치 (852) 의 방향을 제어하는데 사용된다. 수신기로서 동작할 때, 안테나 엘리먼트 (812) 에서 수신된 입사 신호는 양방향 스위치 (852) 의 우측 브랜치를 후속하며 위상 시프터 (862) 에서 위상 시프트되며, 이는 또한, PNN 블록 (864) 으로부터 직교 PN 코드를 수신한다. 위상 시프터 (862) 의 출력은 가산기 (866) 에서 상이한 안테나 엘리먼트들 (도시 생략) 에서 수신된 다른 신호들에 가산된다.
도 8a 내지 도 8f 에 예시된 안테나/회로 블록들의 각각은 예를 들어 코딩된 신호를 형성 또는 달리 생성하기 위해 코드로 안테나에서 수신된 입사 신호를 코딩하도록 구성된다. 이에 따라, 이들 안테나/회로 블록들의 각각은 코딩된 신호를 형성하기 위해 직교 코드 또는 PN 시퀀스로 입사 신호를 코딩하기 위한 수단을 포함한다. 도 8a 내지 도 8f 에 도시된 코드들이 PN 블록들로서 도시되어 있지만, Walsh 코드들과 같은 직교 코드들이 이들 실시형태들에서 PN 시퀀스들로서 대체될 수도 있음이 이해된다.
도 8a 내지 도 8f 에 도시된 안테나/회로 블록들의 각각은 또한 도 7 에 대하여 위에 도시된 것과 유사한 방식으로 안테나 어레이에서 구현될 수 있다. 예를 들어, 도 9 및 10 은 각각 도 8a 의 위상 재구성가능 안테나 (800) 및 도 8c 의 안테나/회로 블록 (820) 에 대응하는 안테나/회로 블록들을 구현하는 안테나 어레이들을 포함하는 시스템들을 도시한다.
보다 자세하게는, 도 9 는 N 개의 위상 재구성가능 안테나들 (8001, 8002, 8003, … 800N) 을 갖는 안테나/회로 블록 어레이 (902) 를 포함하는 시스템 (900) 을 도시한다. 입사 신호들은 각각의 안테나 1, 2, 3, … N 에 의해 수신되고 개별적인 의사랜덤 시퀀스들 시퀀스 (806)(PN1, PN2, PN3, … PNN) 을 사용하여 코딩된다. 이는 개별적인 코딩된 신호들 (C1·S1, C2·S2, C3·S3, … C4·SN) 을 생성하고, 이는 결합 파형 Y 를 형성하기 위해 가산기들 (904) 을 사용하여 가산된다. 결합된 코딩된 파형 Y 은 그 다음 도 7 에 대하여 위에 설명된 것과 유사한 방식으로 수신기 노드 (712) 에 의해 프로세싱된다.
도 10 은 N 개의 안테나/회로 블록 (8201, 8202, 8203, … 820N) 을 갖는 안테나/회로 블록 어레이 (1002) 을 포함하는 시스템 (1000) 을 도시하며, 각각의 블록은 믹서 또는 이미지 리젝트 믹서를 포함하고 도 8c 의 안테나/블록 (820) 과 유사한 방식으로 구성된다. 입사 신호들은 각각의 안테나 1, 2, 3, … N 에 의해 수신되며, 믹서 (822) 를 사용하여 개별적인 의사랜덤 시퀀스들 시퀀스 (806)(PN1, PN2, PN3, … PNN) 를 사용하여 코딩된다. 믹서들 (822) 로부터 출력된 코딩된 신호는 가산기 (816) 를 통하여 가산되어 결합된 코딩된 파형 Y 을 형성한다. 결합된 코딩된 파형 Y 은 그 다음 도 7 에 대하여 위에 설명된 것과 유사한 방식으로 수신기 노드 (712) 에 의해 프로세싱된다.
일반적으로, 안테나/회로 블록에 대하여 본원에 설명된 회로부는 안테나에서 병치될 수도 있거나 또는 안테나로부터 분리될 수도 있다. 이들 두개의 옵션들을 예시하는 예시적인 아키텍처들 (1100 및 1200) 의 실시형태들은 도 11 및 도 12 에 도시된다.
아키텍처 (1100) 는 안테나/회로 블록 (810) 의 2차원 어레이를 포함하고, 각각은 도 8b 에 도시된 것과 유사한 구성을 갖는다. 도 8a 및 도 8c 내지 도 8f 에 예시된 다른 안테나/회로 블록들의 각각이 유사한 방식으로 구현될 수도 있기 때문에 이는 단지 일 예에 불과하다. 일부 실시형태들에서, 어레이에서의 안테나들은 고정된 피치 (즉, 간격) 을 갖는 한편, 다른 실시형태들에서, 안테나들은 상이한 간격을 사용하여 배열되거나 또는 일부 로우들 또는 컬럼들이 인접하는 로우들 또는 컬럼들에 대하여 스태거링된 방식으로 배열된다.
아키텍처 (1200) 는 안테나 어레이 (1202) 및 회로 블록 어레이 (1204) 에 의해 도시된 바와 같이 안테나들, 및 안테나들에서 수신된 입사 신호들을 코딩하는데 사용된 회로부를 분리한다. 예시된 예에서, 회로 블록 어레이 (1204) 는 회로 블록들 (810a) 의 어레이를 포함하고, 각각의 회로 블록 (810a) 은 도 8b 의 안테나/회로 블록 (810) 에 도시된 것과 유사한 회로부를 포함한다. 도 8c 내지 도 8f 에서의 안테나/회로 블록들에 대하여 도시된 것과 유사한 회로부를 포함하는 회로 블록들은 동일한 방식으로 구현될 수도 있다.
무선 전력 송신 시스템에서의 코딩된 안테나 어레이의 구현
본원에 설명된 본 발명의 실시형태들은 여러 유형의 무선 시스템으로 구현될 수도 있다. 일부 실시형태들에서, 이는 무선 전력 송신 시스템들 (WPTS) 에서의 구현을 포함한다. 일반적으로, 위에 설명되고 예시된 기법들은 개별 클라이언트들로부터 안테나 어레이에서의 상이한 안테나들에서 수신된 신호들의 위상 및 크기를 추출 및/또는 계산하는데 사용될 수도 있다. 아래 설명된 바와 같이, 위상 및 크기 정보는 그 다음, 개별 클라이언트들을 향하여 전력 송신 신호들을 디렉팅하는데 사용될 수 있다.
이것이 어떻게 구현될 수 있는지의 보다 나은 이해를 위하여, WPTS 의 동작의 개요가 이하 제시된다. 도 13 은 일부 실시형태들에 따라 무선 전력 전달 환경 (1300) 내에서 하나 이상의 WPTS (1301a-n; 또한, "무선 전력 전달 시스템들", "안테나 어레이 시스템들" 및 "무선 충전기들"로 지칭됨) 로부터 여러 무선 디바이스들 (1302a-n) 로의 무선 전력 전달을 예시하는 예시적인 무선 전력 전달 환경 (1300) 을 포함하는 블록도를 도시한다. 보다 구체적으로, 도 13 은 무선 전력 및/또는 데이터가 하나 이상의 무선 전력 수신기 클라이언트들 (1303a-1303n; 또한 본원에서 "클라이언트들" 및 "무선 전력 수신기들"로서 지칭됨) 을 갖는 이용가능한 무선 디바이스들 (1302a-1302n) 로 전달될 수 있는 예시의 무선 전력 전달 환경 (1300) 을 예시한다. 무선 전력 수신기 클라이언트들은 예를 들어 하나 이상의 무선 전력 송신 시스템들 (1301a-1301n) 로부터 무선 전력을 수신하고 프로세싱하도록 구성된다. 예시의 무선 전력 수신기 클라이언트 (1303) 의 컴포넌트들은 도 16 을 참조하여 보다 자세하게 도시되고 논의된다.
도 13 의 예에 도시된 바와 같이 무선 디바이스들 (1302a-1302n) 은 무선 게임 제어기 및 모바일 폰 디바이스를 포함한다. 그러나, 무선 디바이스들 (1302a-1302n) 은 전력을 필요로 하고 하나 이상의 통합된 전력 수신기 클라이언트 (1303a-1303n) 를 통하여 무선 전력을 수신가능한 임의의 디바이스 또는 시스템일 수도 있다. 본원에 논의된 바와 같이, 하나 이상의 통합된 전력 수신기 클라이언트들은 하나 이상의 무선 전력 송신 시스템들 (1301a-1301n) 로부터 전력을 수신하여 프로세싱하고 이들의 동작을 위하여 무선 디바이스들 (1302a-1302n)(또는 무선 디바이스들의 내부 배터리들) 에 전력을 제공한다.
각각의 무선 전력 송신 시스템 (1301) 은 다수의 안테나들 (1304a-n), 예를 들어, 무선 디바이스들 (1302) 로 무선 전력을 전달가능한 수백 또는 수천 개의 안테나들을 포함하는 안테나 어레이들을 포함할 수 있다. 일부 실시형태들에서, 안테나들은 적응적 위상조정 무선 주파수 (RF) 안테나들이다. 각각의 안테나에서 개별 클라이언트들로부터 수신된 신호들의 위상을 결정하기 위하여 위에 설명된 기법을 사용하여, 무선 전력 송신 시스템 (1301) 은 전력 수신기 클라이언트들 (1303) 로 코히어런트 전력 송신 신호를 전달하기 위한 적절한 위상들을 결정가능하다. 어레이는 서로에 대해 특정 위상에서 다수의 안테나들로부터 신호 (예를 들어, 연속파 또는 펄싱된 전력 송신 신호) 를 방출하도록 구성된다. 용어 "어레이" 의 사용은 임의의 특정 어레이 또는 구조로 안테나 어레이를 반드시 제한하는 것은 아님을 알아야 한다. 즉, 안테나 어레이는 특정 "어레이" 형태 또는 지오메트리로 구조화될 필요는 없다. 또한, 본원에 사용된 바와 같이, 사용될 수 있는 용어 "어레이" 또는 "어레이 시스템"은 신호 생성, 수신 및 송신을 위한 관련 및 주변 회로부, 이를 테면, 라디오들, 디지털 로직 및 모뎀을 포함한다. 일부 실시형태들에서, 무선 전력 송신 시스템 (1301) 은 하나 이상의 안테나들 또는 트랜시버들을 통한 데이터 통신을 위하여 임베디드 Wi-Fi 허브를 가질 수 있다.
무선 디바이스들 (1302) 은 하나 이상의 수신 전력 클라이언트들 (1303) 을 포함할 수 있다. 도 13 의 예에 도시된 바와 같이, 전력 전달 안테나들 (1304a-1304n) 이 도시된다. 전력 전달 안테나들 (1304a) 은 예를 들어 무선 전력 전달 환경에서 무선 라디어 주파수 전력의 전달을 제공하도록 구성된다. 일부 실시형태들에서, 하나 이상의 전력 전달 안테나들 (1304a-1304n) 은 대안적으로 또는 추가적으로 예를 들어 무선 전력 전달을 대신하여 또는 이에 더하여, 데이터 통신을 위하여 구성될 수 있다. 하나 이상의 데이터 통신 안테나들은 예를 들어 전력 수신기 클라이언트들 (1303a-1303n) 및/또는 무선 디바이스들 (1302a-1302n) 로부터 데이터 통신들을 수신하고 데이터 통신들을 전송하도록 구성된다. 일부 실시형태들에서, 데이터 통신 안테나들은 Bluetooth™, Wi-Fi™ (이들에 제한되는 것은 아닌 IEEE 802.11a, 802.11b, 802.11g, 802.11n, 802.11ac), ZigBee™ 등을 통하여 통신할 수 있다. 다른 데이터 통신 프로토콜들이 또한 가능하다.
각각의 전력 수신기 클라이언트 (1303a-1303n) 는 무선 전력 송신 시스템들 (1301a-1301n) 로부터 신호들을 수신하기 위한 하나 이상의 안테나들 (도시 생략) 을 포함한다. 이와 유사하게, 각각의 무선 전력 송신 시스템 (1301a-1301n) 은 서로에 대하여 특정 위상에서 연속 파 또는 이산 (펄스) 신호들을 방출가능한 하나 이상의 안테나들 및/또는 안테나 세트들을 갖는 안테나 어레이를 포함한다. 위에 논의된 바와 같이, 각각의 무선 전력 송신 시스템들 (1301a-1301n) 은 전력 수신기 클라이언트들 (1302a-1302n) 로 코히어런트 신호들을 전달하기 위해 적합한 위상들을 결정가능하다. 예를 들어, 일부 실시형태들에서, 코히어런트 신호들은 어레이의 각각의 안테나에서 수신된 비콘 (또는 캘리브레이션) 신호의 복소 컨쥬게이트를 연산하는 것에 의해 결정될 수 있어, 코히어런트 신호가 비콘 (또는 캘리브레이션) 신호를 송신하였던 특정 전력 수신기 클라이언트에 전력을 전달하기 위하여 위상조정되게 된다.
도 13 의 예에서 예시되지 않았지만, 무선 전력 송신 시스템 (1301) 및 전력 수신기 클라이언트들 (1303a-1303n) 은 각각 데이터 채널을 통하여 통신하기 위한 데이터 통신 모듈을 포함할 수 있다. 대안적으로 또는 추가적으로, 전력 수신기 클라이언트들 (1303a-1303n) 은 무선 디바이스들 (1302a-1302n) 로 디렉팅하여 기존의 데이터 통신 모듈들을 통하여 무선 전력 송신 시스템과 통신할 수 있다. 일부 실시형태들에서, 연속 파형으로서 본원에 주로 지칭되는 비콘 신호는 대안적으로 또는 추가적으로 변조된 신호의 형태를 취할 수 있다.
도 4 는 일 실시형태에 따라, 다중경로 무선 전력 전달에서 무선 전력 전달을 확립하기 위하여 무선 전력 전달 시스템 (예를 들어, WPTS (1301)) 과 무선 전력 수신기 클라이언트 (예를 들어, 무선 전력 수신기 클라이언트 (1303)) 사이의 예시의 동작들을 예시하는 시퀀스 다이어그램 (1400) 이다. 초기에, 통신은 무선 전력 송신 시스템 (1301) 과 전력 수신기 클라이언트 (1303) 사이에 확립된다. 초기 통신은 예를 들어, 무선 전력 송신 시스템 (1301) 의 하나 이상의 안테나들 (1304) 을 통하여 확립된 데이터 통신 링크일 수 있다. 논의된 바와 같이, 일부 실시형태들에서, 안테나들 (1304a-1304n) 의 하나 이상은 데이터 안테나들, 무선 전력 송신 안테나들, 또는 듀얼 목적 데이터/전력 안테나들일 수 있다. 여러 정보는 무선 전력 송신 시스템 (1301) 과 무선 전력 수신기 클라이언트 (1303) 사이에서 이 데이터 통신 채널을 통하여 교환될 수 있다. 예를 들어, 무선 전력 시그널링은 무선 전력 전달 환경에서 여러 클라이언트들 중에서 시간 슬라이싱될 수 있다. 이러한 경우들에서, 무선 전력 송신 시스템 (1301) 은 비콘 스케줄 정보, 예를 들어, 비콘 비트 스케줄 (Beacon Beat Schedule; BBS) 사이클, 전력 사이클 정보 등을 전송할 수 있어, 무선 전력 수신기 클라이언트 (1303) 가 그 비콘 신호들을 송신 (브로드캐스트) 할 때 및 전력에 대해 리스닝할 때 등을 알게 된다.
도 14 의 예를 계속 참조하면, 무선 전력 송신 시스템 (1301) 은 전력을 수신하기 위한 하나 이상의 무선 전력 수신기 클라이언트들을 선택하고 선택한 전력 수신기 클라이언트 (1303) 로 비콘 스케줄 정보를 전송한다. 무선 전력 송신 시스템 (1301) 은 또한 전력 송신 스케줄링 정보를 전송할 수 있어, 전력 수신기 클라이언트 (1303) 가 무선 전력 송신 시스템으로부터 무선 전력을 기대할 때 (예를 들어, 시간의 윈도우) 를 알게 된다. 전력 수신기 클라이언트 (1303) 는 그 다음, 비콘 스케줄 정보, 예를 들어, 비콘 비트 스케줄 (Beacon Beat Schedule; BBS) 사이클에 의해 나타낸 배정된 비콘 송신 윈도우 (또는 시간 슬라이스) 동안에 비콘 (또는 캘리브레이션) 신호를 생성하고 비콘을 브로드캐스트할 수 있다. 본원에 논의된 바와 같이, 무선 전력 수신기 클라이언트 (1303) 는 전력 수신기 클라이언트 (1303) 가 임베디드 무선 디바이스들 (1302) 의 근방에 있는 3차원 공간에서 방사 및 수신 패턴을 갖는 하나 이상의 안테나들 (또는 트랜시버들) 을 포함한다.
무선 전력 송신 시스템 (1301) 은 전력 수신기 클라이언트 (1303) 로부터 비콘을 수신하고 비콘 신호가 다수의 안테나들에서 수신된 위상 (또는 방향) 을 검출 및/또는 달리 측정한다. 무선 전력 송신 시스템 (1301) 은 그 다음, 대응하는 안테나들의 각각에서 수신된 비콘의 검출 또는 측정된 위상 (또는 방향) 에 기초하여 다수의 안테나들 (1304) 로부터 전력 수신기 클라이언트 (1303) 로 무선 전력을 전달한다. 일부 실시형태들에서, 무선 전력 송신 시스템 (1301) 은 비콘의 측정된 위상의 복소 컨쥬게이트를 결정하고, 비콘 신호가 전력 수신기 클라이언트 (1303) 로부터 수신되었던 동일한 경로를 통하여 전력 수신기 클라이언트 (1303) 로 무선 전력을 전달 및/또는 달리 디렉팅하기 위한 안테나들을 구성하는 송신 페이즈를 결정하도록 구성되는 복소 컨쥬게이트를 사용한다.
일부 실시형태들에서, 무선 전력 송신 시스템 (1301) 은 많은 안테나들을 포함하고; 안테나의 하나 이상은 전력 수신기 클라이언트 (1303) 로 전력을 전달하는데 사용된다. 본원에 설명된 코딩된 안테나 어레이 방식들을 사용하여, 무선 전력 송신 시스템 (1301) 은 비콘 신호들이 각각의 안테나에서 수신되는 위상들을 검출 및/또는 달리 결정 또는 측정할 수 있다. 많은 수의 안테나들은 무선 전력 송신 시스템 (1301) 의 각각의 안테나에서 수신된 비콘 신호의 상이한 위상들을 가져온다. 위에 논의된 바와 같이, 무선 전력 송신 시스템 (1301) 은 각각의 안테나에서 수신된 비콘 신호들의 복소 컨쥬게이트를 결정할 수 있다. 복소 컨쥬게이트를 사용하여, 하나 이상의 안테나들은 무선 전력 송신 시스템 (1301) 에서 많은 수의 안테나의 영향을 고려한 신호를 방출할 수 있다. 다시 말해, 무선 전력 송신 시스템 (1301) 은, 비콘의 파형을 반대 방향으로 대략적으로 재생성하는 하나 이상의 안테나들로부터 집성 신호를 생성하는 방식으로 하나 이상의 안테나들로부터 무선 전력 송신 신호를 방출할 수 있다. 달리 말하면, 무선 전력 송신 시스템 (1301) 은 비콘 신호가 무선 전력 송신 시스템 (1301) 에서 수신되는 동일한 경로들을 통해 클라이언트 디바이스로 무선 RF 전력을 전달할 수 있다. 이들 경로들은 환경 내의 반사 물체들 (1306) 을 이용할 수 있다. 추가적으로, 무선 전력 송신 신호들은 무선 전력 송신 신호들이 클라이언트 디바이스에 근접한 3차원 (3D) 공간에서 클라이언트 디바이스의 안테나 방사 및 수신 패턴과 총괄적으로 매칭하도록 무선 전력 송신 시스템 (1301) 으로부터 동시에 송신될 수 있다.
도시된 바와 같이, 비콘 (또는 캘리브레이션) 신호들은, 예를 들어, BBS 에 따라 전력 전달 환경 내의 전력 수신기 클라이언트들 (1303) 에 의해 주기적으로 송신될 수 있어, 무선 전력 송신 시스템 (1301) 은 무선 전력 전달 환경에서 전력 수신기 클라이언트들 (1303) 의 위치를 정보 유지할 수 있고/있거나 달리 추적할 수 있다. 무선 전력 송신 시스템에서 무선 전력 수신기 클라이언트로부터 비콘 신호들을 수신하고 이어서 그 특정 클라이언트로 디렉팅된 무선 전력에 응답하는 프로세스는 본원에서 역지향성 무선 전력 전달로 지칭된다.
또한, 본원에서 논의된 바와 같이, 무선 전력은 전력 스케줄 정보에 의해 정의된 전력 사이클들에서 전달될 수 있다. 무선 전력 전달을 개시하기 위해 요구되는 시그널링의 보다 상세한 예가 이제 도 15 를 참조하여 설명된다.
도 15 는 일 실시형태에 따라 무선 전력 송신 시스템 (1500) 의 예시적인 컴포넌트들을 나타내는 블록도를 도시한다. 도 15 의 예에 예시된 바와 같이, 무선 충전기 (1500) 는 안테나 어레이를 총괄적으로 포함하는 다수의 메자닌 보드들 및 마스터 버스 제어기 (master bus controller; MBC) 보드를 포함한다. MBC 는 제어 로직 (1510), 외부 데이터 인터페이스 (I/F)(1515), 외부 전력 인터페이스 (1520), 통신 블록 (1530) 및 프록시 (1540) 를 포함한다. 메자닌 (또는 안테나 어레이 보드 (1550)) 은 각각 다수의 안테나들 (1560a-1560n) 을 포함한다. 컴포넌트들의 일부 또는 전부는 일부 실시형태들에서 생략될 수 있다. 추가적인 컴포넌트들이 또한 가능하다. 예를 들어, 일부 실시형태들에서, 통신 블록 (1530) 또는 프록시(1540) 중 하나만이 포함될 수 있다.
제어 로직 (1510) 은 어레이 컴포넌트들에 제어 및 지능을 제공하도록 구성된다. 제어 로직 (1510) 은 하나 이상의 프로세서, FPGA, 메모리 유닛 등을 포함할 수 있고, 다양한 데이터 및 전력 통신을 디렉팅 및 제어할 수 있다. 통신 블록 (1530) 은 클록 동기화를 위한 기본 신호 클록과 같은 데이터 캐리어 주파수 상에서 데이터 통신들을 디렉팅할 수 있다. 데이터 통신들은 Bluetooth™, Wi-Fi™, ZigBee™ 등일 수 있으며, 이들의 조합들 또는 변형들을 포함한다. 마찬가지로, 프록시 (1540) 는 본원에서 논의된 바와 같이 데이터 통신들을 통해 클라이언트들과 통신할 수 있다. 데이터 통신들은, 이들에 제한되지 않는 Bluetooth™, Wi-Fi™, ZigBee™ 등일 수 있다. 다른 통신 프로토콜들이 가능하다.
일부 실시형태들에서, 제어 로직 (1510) 은 또한 IoT 디바이스들에 대한 데이터 집성을 용이하게 하고/하거나 달리 실행할 수 있다. 일부 실시형태들에서, 무선 전력 수신기 클라이언트들은 무선 전력 수신기 클라이언트가 임베디드 디바이스에 관한 IoT 정보를 액세스, 추적 및/또는 달리 획득할 수 있고, 그 IoT 정보를 데이터 접속을 통해 무선 전력 송신 시스템 (1500) 에 제공할 수 있다. 이 IoT 정보는 외부 데이터 인터페이스 (1515) 를 통해 데이터가 집성, 프로세싱 등이 될 수 있는 중앙 또는 클라우드 기반 시스템 (도시 생략) 에 제공될 수 있다. 예를 들어, 중앙 시스템은 지리적, 무선 전력 송신 시스템들, 환경들, 디바이스들 등에 걸친 다양한 트렌드들을 식별하기 위해 데이터를 프로세싱할 수 있다. 일부 실시형태들에서, 집성된 데이터 및/또는 트렌드 데이터는 원격 업데이트들 등을 통해 디바이스들의 동작을 개선하는데 사용될 수 있다. 대안적으로 또는 추가적으로, 일부 실시형태들에서, 집성된 데이터는 제3자 데이터 컨슈머들에게 제공될 수 있다. 이러한 방식으로, 무선 전력 송신 시스템은 IoTs 에 대한 게이트웨이 또는 인에이블러로서 동작한다. 비제한적인 예로서, IoT 정보는 무선 전력 수신기 클라이언트가 임베디드 디바이스의 능력들, 디바이스의 사용 정보, 디바이스의 전력 레벨들, 예를 들어 센서들을 통해 디바이스 또는 무선 전력 수신기 클라이언트 자체에 의해 획득된 정보 등을 포함할 수 있다.
외부 전력 인터페이스 (1520) 는 외부의 전력을 수신하고 여러 컴포넌트들에 전력을 제공하도록 구성된다. 일부 실시형태들에서, 외부 전력 인터페이스 (1520) 는 표준 외부 24 볼트 전력 공급 장치를 수용하도록 구성될 수 있다. 다른 실시형태들에서, 외부 전력 인터페이스 (1520) 는, 예를 들어, 다양한 컴포넌트들에 전력을 제공하기 위해 요구되는 12/24/48 볼트 DC 를 소싱하는 임베디드 DC 전력 공급 장치에 대한 120/240 볼트 AC 메인들일 수 있다. 대안적으로, 외부 전력 인터페이스는 요구되는 12/24/48 볼트 DC 를 소싱하는 DC 공급 장치일 수 있다. 대안 구성들이 또한 가능하다.
동작 시에, 무선 전력 송신 시스템 (1500) 을 제어하는 마스터 버스 제어기 (MBC) 는 전원 소스로부터 전력을 수신하고 활성화된다. 그 후, MBC 는 무선 전력 송신 시스템 상의 프록시 안테나 엘리먼트들을 활성화시키고, 프록시 안테나 엘리먼트들은 무선 전력 송신 시스템의 범위 내의 이용가능한 무선 수신기 클라이언트들을 식별하기 위해 디폴트 "디스커버리" 모드에 진입한다. 클라이언트가 발견될 때, 무선 전력 송신 시스템 상의 안테나 엘리먼트들은 파워 온, 열거, 및 (선택적으로) 캘리브레이션한다.
그 다음, MBC 는 스케줄링 프로세스 동안에 비콘 송신 스케줄링 정보 및 전력 송신 스케줄링 정보를 생성한다. 스케줄링 프로세스는 전력 수신기 클라이언트들의 선택을 포함한다. 예를 들어, MBC는 전력 송신을 위한 전력 수신기 클라이언트들을 선택하고, 선택된 무선 전력 수신기 클라이언트들에 대한 비콘 비트 스케줄 (Beacon Beat Schedule; BBS) 사이클 및 전력 스케줄 (Power Schedule; PS) 을 생성할 수 있다. 본원에 논의된 바와 같이, 전력 수신기 클라이언트들은 그들의 대응하는 특성들 및/또는 요건들에 기초하여 선택될 수 있다.
일부 실시형태들에서, MBC 는 또한 클라이언트 쿼리 테이블 (Client Query Table; CQT) 에서 쿼리된 상태를 가질 이용가능한 클라이언트들을 식별 및/또는 달리 선택할 수 있다. CQT 에 배치된 클라이언트는 "대기" 상태에 있는 (예를 들어, 요금을 받지 않는) 클라이언트이다. BBS 및 PS 는, 예를 들어, 배터리 상태, 현재 활동/사용, 클라이언트가 전력을 소진할 때까지 얼마나 더 오래 가질 수 있는지, 사용의 관점에서 우선순위 등과 같은 클라이언트들에 관한 중요한 정보에 기초하여 계산된다.
프록시 AE 는 BBS 를 모든 클라이언트에 브로드캐스트한다. 본원에서 논의되는 바와 같이, BBS 는 각각의 클라이언트가 비콘을 전송해야 하는 때를 나타낸다. 마찬가지로, PS 는 어레이가 언제 그리고 어느 클라이언트에 전력을 전송해야 하는지 그리고 언제 클라이언트들이 무선 전력을 청취해야 하는지를 나타낸다. 각각의 클라이언트는 자신의 비콘을 브로드캐스팅하고 BBS 및 PS에 따라 어레이로부터 전력을 수신하기 시작한다. 프록시는 클라이언트 쿼리 테이블을 동시에 쿼리하여 사용 가능한 다른 클라이언트의 상태를 체크할 수 있다. 일부 실시형태들에서, 클라이언트는 BBS 또는 CQT(예를 들어, 웨이트리스트) 에만 존재할 수 있지만, 양쪽에는 존재하지 않는다. 이전 단계에서 수집된 정보는 BBS 주기 및/또는 PS를 지속적으로 및/또는 주기적으로 업데이트한다.
도 16 은 일부 실시형태들에 따라 무선 전력 수신기 클라이언트의 예시적인 컴포넌트들을 나타내는 블록도이다. 도 16 의 예에 예시된 바와 같이, 수신기 (1600) 는 제어 로직 (1610), 배터리 (1620), IoT 제어 모듈 (1625), 통신 블록 (1630) 및 연관된 안테나(1670), 전력 미터 (1640), 정류기 (1650), 결합기 (1655), 비콘 신호 생성기 (1660), 비콘 코딩 유닛 (1662) 및 연관된 안테나 (1680), 및 정류기 (1650) 또는 비콘 신호 생성기 (1660) 를 하나 이상의 연관된 안테나들 (1690a-n) 에 접속하는 스위치 (1665) 를 포함한다. 컴포넌트들의 일부 또는 전부는 일부 실시형태들에서 생략될 수 있다. 예를 들어, 일부 실시형태들에서, 무선 전력 수신기 클라이언트는 그 자신의 안테나들을 포함하지 않지만, 대신에 무선 전력 수신기 클라이언트가 내장되는 무선 디바이스의 하나 이상의 안테나들 (예를 들어, Wi-Fi 안테나) 을 활용하고/하거나 달리 공유한다. 또한, 일부 실시형태들에서, 무선 전력 수신기 클라이언트는 데이터 송신 기능뿐만 아니라 전력/데이터 수신 기능성을 제공하는 단일 안테나를 포함할 수 있다. 추가적인 컴포넌트들이 또한 가능하다.
결합기 (1655) 는 수신기 (1600) 가 하나보다 많은 안테나를 갖는 경우에 전력 송신기로부터 수신된 전력 송신 신호들을 수신하고 결합한다. 결합기는 매칭된 조건을 유지하면서 출력 포트들 사이의 절연을 실현하도록 구성되는 임의의 결합기 또는 분할기 회로일 수 있다. 예를 들어, 결합기 (1655) 는 윌킨슨 전력 분배기 회로일 수 있다. 정류기 (1650) 는, 존재한다면, 결합기 (1655) 로부터 결합된 전력 송신 신호를 수신하며, 이는 충전을 위해 전력 미터 (1640) 를 통해 배터리 (1620) 로 공급된다. 다른 실시형태들에서, 각각의 안테나의 전력 경로는 그 자신의 정류기 (1650) 를 가질 수 있고, 정류기들로부터의 DC 전력은 전력 미터 (1640) 에 공급하기 전에 결합된다. 전력 미터 (1640) 는 수신된 전력 신호 강도를 측정할 수 있고, 이 측정을 제어 로직 (1610) 에 제공한다.
일부 실시형태들에서, 클라이언트 식별자 (ID) 모듈 (1615) 은 무선 전력 전달 환경에서 전력 수신기 클라이언트를 고유하게 식별할 수 있는 클라이언트 ID 를 저장한다. 예를 들어, ID 는 통신이 확립될 때 하나 이상의 무선 전력 송신 시스템들로 송신될 수 있다. 일부 실시형태들에서, 전력 수신기 클라이언트들은 또한 클라이언트 ID 에 기초하여 무선 전력 전달 환경에서 다른 전력 수신기 클라이언트들을 수신 및 식별가능할 수 있다.
도 17a 및 도 17b 은 일부 실시형태들에 따라 예시적인 다중경로 무선 전력 전달 환경 (1700) 을 예시하는 다이어그램을 나타낸다. 다중 경로 무선 전력 전달 환경 (1700) 은 하나 이상의 무선 전력 수신기 클라이언트들 (1703) 을 포함하는 무선 디바이스 (1702) 를 동작시키는 사용자를 포함한다. 무선 디바이스 (1702) 및 하나 이상의 무선 전력 수신기 클라이언트들 (1703) 은 각각, 도 13 의 무선 디바이스 (1302) 및 도 1 의 무선 전력 수신기 클라이언트 (1303) 또는 도 16 의 무선 전력 수신기 클라이언트 (1600) 일 수 있지만, 대안적인 구성들이 가능하다. 마찬가지로, 무선 전력 송신 시스템 (1701) 은 도 13 의 무선 전력 송신 시스템 (1301) 또는 도 15 의 무선 전력 송신 시스템 (1500) 일 수 있지만, 대안적인 구성들이 가능하다. 다중경로 무선 전력 전달 환경 (1700) 은 반사 물체들 (1706) 및 다양한 흡수 물체들, 예를 들어, 사용자들, 또는 인간들, 가구 등을 포함한다.
무선 디바이스 (1702) 는 무선 디바이스 (1302) 에 근접한 3차원 공간에서 방사 및 수신 패턴 (1710) 을 갖는 하나 이상의 안테나들 (또는 트랜시버들) 을 포함한다. 하나 이상의 안테나들 (또는 트랜시버들) 은 무선 디바이스 (1702) 및/또는 무선 전력 수신기 클라이언트 (도시 생략) 의 일부로서 전체적으로 또는 부분적으로 포함될 수 있다. 예를 들어, 일부 실시형태들에서, 무선 디바이스 (1702) 의 하나 이상의 안테나들, 예를 들어, Wi-Fi, Bluetooth 등이 무선 전력 수신을 위해 활용되고/되거나 달리 공유될 수 있다. 도 17a 및 도 17b 의 예에 도시된 바와 같이, 방사 및 수신 패턴 (1710) 은 1차 로브 및 다수의 사이드 로브를 갖는 로브 패턴을 포함한다. 다른 패턴들이 또한 가능하다.
무선 디바이스 (1702) 는 다수의 경로들을 통해 비콘 (또는 캘리브레이션) 신호를 무선 전력 송신 시스템 (1701) 에 송신한다. 본원에서 논의된 바와 같이, 무선 디바이스 (1702) 는, 무선 전력 송신 시스템에 의한 수신된 비콘 신호의 강도, 예를 들어, RSSI 가 방사 및 수신 패턴 (1710) 에 의존하도록, 방사 및 수신 패턴 (1710) 의 방향으로 비콘을 송신한다. 예를 들어, 비콘 신호들은 방사 및 수신 패턴 (1710) 에 널들 (nulls) 이 있는 곳에서 송신되지 않고, 비콘 신호들은 방사 및 수신 패턴 (1710) 의 피크들, 예를 들어, 1차 로브의 피크에서 가장 강하다. 도 17a 의 예에 도시된 바와 같이, 무선 디바이스 (1702) 는 다섯개의 경로들 (P1-P5) 을 통해 비콘 신호들을 송신한다. 경로들 (P4 및 P5) 은 반사 및/또는 흡수 물체 (1706) 에 의해 차단된다. 무선 전력 송신 시스템 (1701) 은 경로 (P1-P3) 를 통해 강도를 증가시키는 비콘 신호를 수신한다. 굵은 선은 더 강한 신호를 나타낸다. 일부 실시형태들에서, 비콘 신호들은, 예를 들어, 사용자에게 불필요한 RF 에너지 노출을 피하기 위해 이러한 방식으로 지향적으로 송신된다.
안테나의 기본적인 특성은 수신에 사용될 때의 안테나의 수신 패턴 (방향의 함수로서의 감도) 이 송신에 사용될 때의 안테나의 파-필드 방사 패턴과 동일하다는 것이다. 이는 전자기학에서의 상호성 정리의 결과이다. 도 17a 및 도 17b 의 예에 도시된 바와 같이, 방사 및 수신 패턴 (1710) 은 3차원 로브 형상이다. 그러나, 방사 및 수신 패턴 (1710) 은 유형 또는 유형들에 따라 임의의 수의 형상들, 예를 들어, 안테나 설계에서 사용되는 혼 안테나들, 단순한 수직 안테나 등일 수 있다. 예를 들어, 방사 및 수신 패턴 (1710) 은 다양한 지향성 패턴을 포함할 수 있다. 무선 전력 전달 환경에서 다수의 클라이언트 디바이스들 각각에 대해 임의의 수의 상이한 안테나 방사 및 수신 패턴들이 가능하다.
또한 도 17a 를 참조하여 보면, 무선 전력 송신 시스템 (1701) 은 다수의 안테나들 또는 트랜시버들에서 다수의 경로들 (P1-P3) 을 통해 비콘 (또는 캘리브레이션) 신호를 수신한다. 도시된 바와 같이, 경로들 (P2 및 P3) 은 직접 가시선 경로들이고, 경로 (P1) 는 비가시선 경로이다. 비콘 (또는 캘리브레이션) 신호가 무선 전력 송신 시스템 (1701) 에 의해 수신되면, 전력 송신 시스템 (1701) 은 비콘 (또는 캘리브레이션) 신호를 프로세싱하여 다수의 안테나들 각각에서 비콘 신호의 하나 이상의 수신 특성들을 결정한다. 예를 들어, 다른 동작들 중에서, 코딩된 안테나 어레이를 구현하는 무선 전력 송신 시스템 (1701) 은, 전술한 바와 같이, 비콘 신호가 다수의 안테나들 또는 트랜시버들 각각에서 수신되는 위상들을 측정할 수 있다.
무선 전력 송신 시스템 (1701) 은 대응하는 안테나 또는 트랜시버에서 측정된 바와 같은 비콘 (또는 캘리브레이션) 신호의 하나 이상의 수신 특성들에 기초하여 다수의 안테나들 각각에 대한 하나 이상의 무선 전력 송신 특성들을 결정 또는 측정하기 위해 다수의 안테나들 각각에서 비컨 신호의 하나 이상의 수신 특성들을 프로세싱한다. 비제한적인 예로서, 무선 전력 송신 특성들은 각각의 안테나 또는 트랜시버에 대한 위상 설정들, 송신 전력 설정들 등을 포함할 수 있다.
본원에서 논의되는 바와 같이, 무선 전력 송신 시스템 (1701) 은 무선 전력 송신 특성들을 결정하여, 안테나들 또는 트랜시버들이 일단 구성되면, 다수의 안테나들 또는 트랜시버들이 클라이언트 디바이스에 근접한 3차원 공간에서 클라이언트 방사 및 수신 패턴에 매칭하는 무선 전력 신호를 송신하도록 동작가능하도록 한다. 도 17b 는 경로들 (P1-P3) 을 통해 무선 디바이스 (1702) 로 무선 전력을 송신하는 무선 전력 송신 시스템 (1701) 을 예시한다. 유리하게는, 본원에서 논의된 바와 같이, 무선 전력 신호는 클라이언트 디바이스에 근접한 3차원 공간에서 클라이언트 방사 및 수신 패턴 (1710) 과 매칭한다. 달리 말하면, 무선 전력 송신 시스템은 무선 전력 수신기가 최대 이득을 갖는 방향, 예를 들어, 최대 무선 전력을 수신할 방향으로 무선 전력 신호들을 송신할 것이다. 그 결과, 무선 전력 수신기가 수신할 수 없는 방향들, 예를 들어, 널들 및 차단부들로 어떠한 신호들도 전송되지 않는다. 일부 실시형태들에서, 무선 전력 송신 시스템 (1701) 은 수신된 비콘 신호의 크기를 측정하고, 비콘이 임계값 미만이면, 무선 전력 송신 시스템은 그 경로를 통해 무선 전력을 전송하지 않을 것이다.
도 17a 및 도 17b 의 예에 도시된 세개의 경로들은 간략화를 위해 예시되며, 무선 전력 전달 환경에서 다른 팩터들 중에서 반사 및 흡수 물체들에 따라 임의의 수의 경로들이 무선 디바이스 (1702) 에 전력을 송신하기 위해 활용될 수 있다는 것이 인식된다.
역지향성 무선 전력 전달 환경에서, 무선 전력 수신기들은 무선 전력 송신 시스템의 안테나들의 어레이에 의해 수신되는 비콘 신호들을 생성하고 전송한다. 비콘은 입중계 신호의 방향성을 나타내며, 또한 출중계 신호의 방향성을 나타낸다. 본원에서 논의되는 바와 같이, 이러한 방향성 정보는 개별 무선 전력 수신기 클라이언트들 상에 에너지 (예를 들어, 전력 파 전달) 를 포커싱하기 위해 송신할 때 채택된다. 추가적으로, 방향성은 예를 들어, 디바이스 운동을 추적하는 것과 같은 다른 애플리케이션들을 용이하게 한다.
일부 실시형태들에서, 무선 전력 전달 환경에서의 무선 전력 수신기 클라이언트들은 RF 신호 강도 또는 임의의 다른 방법을 사용하여 결정된 거리와 페어링된 (임의의 극성에서) RF 신호의 3차원 입사각을 사용하여 무선 전력 송신 시스템에 의해 추적된다. 본원에서 논의된 바와 같이, 코딩된 안테나 방식은 안테나 어레이 내의 다수의 안테나들에서 비컨 신호에 대한 파면 입사각을 검출하기 위해 사용될 수 있다. 무선 전력 수신기 클라이언트까지의 거리는 다수의 어레이 세그먼트들로부터의 각도에 기초하여 결정될 수 있다. 대안적으로 또는 추가적으로, 무선 전력 수신기 클라이언트까지의 거리는 위의 식 7 에서와 같이 전력 계산들에 기초하여 결정될 수 있다.
본원에 설명된 코딩된 안테나 어레이 실시형태들의 양태들은 WPTS 에서 구현될 수 있다. 일부 실시형태들에서, 전력 송신에 사용되는 동일한 안테나들이 코딩된 안테나 어레이에 의해 사용된다. 대안적으로, 안테나들의 별개의 어레이들이 클라이언트들로부터 비컨들 또는 다른 신호들을 수신하고 전력 신호들을 클라이언트들로 송신하는데 사용될 수 있다. 예를 들어, 일 실시형태에서, 병치된 송신 및 수신 안테나들의 각각의 페어들이 안테나 어레이 내에 배열된다. 송신 및 수신 안테나들이 병치되어 있기 (매우 근접하여 있기) 때문에, 페어 내의 수신 안테나에 대한 입사 위상 및 크기 데이터는 페어 내의 송신 안테나를 사용하여 전력 신호들을 송신하기 위해 사용될 수 있다.
도 18 은 하나의 실시형태에 따라 코딩된 안테나 어레이를 사용하여 WPTS 에 의해 수행되는 동작들을 예시하는 플로우차트 (1800) 를 도시한다. 블록들 (1802-1820) 에서의 동작들은 도 2 의 코딩된 안테나 어레이와 관련하여 위에서 설명된 것과 유사하다. 이것은 블록 (1802) 에서 시작하며, 여기서 복수의 클라이언트 디바이스들로부터 송신된 신호는, 도 2 에 도시된 것과 유사하게, 어레이 내의 다수의 안테나 각각에서 수신된다. 블록 (1804) 에서, 각각의 안테나에서 수신된 신호들 (무선 파형들) 은, 개별적인 입사 신호를 형성하기 위해 중첩된다. 블록 (1806) 에서, 개별적인 입시 신호들이 개별적인 코딩된 신호들을 형성하도록 개별적인 코드를 사용하여 코딩된다. 그 후, 코딩된 신호들은 블록 (1808) 에서 결합된 파형 Y 로 결합된다.
선택적 블록 (1810) 에서, 결합된 파형은 중간 신호로 하향 변환된다. 송신측 상의 송신 신호들이 그들의 기저대역 신호들로부터 상향 변환되지 않는 일부 실시형태들에서, 블록 (1810) 의 동작은 사용되지 않을 수도 있다.
블록 (1812) 에서, 동위상 성분 I(t) 및 직각 성분 Q(t) 을 포함하는 결합된 파형의 복소 표현을 생성하기 위해 결합된 파형의 중간 신호 형태 상에서 IQ 복조가 수행된다. 선택적 블록 (1810) 이 사용되지 않는 경우에, IQ 복조가 하향 변환 없이 결합된 파형에 대해 수행된다.
블록 (1814) 에서, 개별적인 입사 신호들을 코딩하는데 사용된 코드들은 안테나들 각각에서 수신된 개별적인 입사 신호를 추출하기 위해 결합된 파형의 복소 표현에 적용된다. 그 다음, 추출된 입사 신호들은 각각의 안테나들에서 개별 WPTS 클라이언트 디바이스들로부터 수신된 신호들을 분리하기 위해 블록 (1816) 에서 필터링된다. 필터들은 WPTS 에 의해 채택되는 비콘 방식을 지원하도록 구성되어, 개별 클라이언트들로부터 수신된 신호들이 필터링될 수 있게 한다.
블록 (1818) 에서, 주어진 클라이언트 디바이스로부터 안테나들에서 수신된 신호들의 위상들은 계산 또는 달리 결정된다. 일 실시형태에서, 임베디드 로직은 위의 식 8 을 사용하여 위상 각도를 계산하기 위해 사용된다. 이와 유사하게, 블록 (1820) 에서, 주어진 클라이언트 디바이스로부터 안테나들에서 수신된 신호들의 크기들이 계산 또는 달리 결정된다. 일 실시형태에서, 임베디드 로직은 위의 식 7 을 사용하여 위상 각도를 계산하기 위해 사용된다.
이 시점에서, 개별 클라이언트들에 대한 안테나 어레이 내의 안테나들에 의해 수신된 입사 신호들의 위상 및 크기들이 결정되었다. 일부 실시형태들에서, 신호 위상 데이터는 전술한 방식으로 안테나들에서 수신된 입사 신호들의 위상들을 사용하여 개별 클라이언트들에 WPTS 전력 송신 신호들을 디렉팅함으로써 클라이언트 디바이스에 전력을 제공하는데 사용된다. 다른 실시형태들에서, 위상 및 신호 크기 데이터 모두는 안테나들에서 수신된 입사 신호들의 위상들 및 크기들을 사용하여 WPTS 전력 송신 신호들을 개별 클라이언트들에 디렉팅함으로써 클라이언트 디바이스에 전력을 제공하는데 사용된다.
일반적으로, 본원에 설명된 코드 안테나 어레이 장치 및 시스템의 원리들 및 교시들은 WPTS 외에 다양한 유형들의 시스템들에서 사용될 수 있다. 전술한 바와 같이, 수신기 회로를 공유하는 것은 비용 감소 및 타이밍 동기화를 단순화하는 단순화를 초래한다.
전술한 예들에서, 단일 수신기 노드는 안테나 어레이에 의해 수신된 신호들을 프로세싱하는데 사용된다. 그러나, 이는 단지 예시에 불과하며, 이에 한정되는 것은 아니다. 더 큰 안테나 어레이들에 대해, 다수의 수신기 노드들을 사용하여 안테나들에 의해 수신된 신호들을 그룹 별 기반으로 프로세싱하는 것이 바람직할 수도 있다. 예를 들어, 안테나 어레이가 1024개의 안테나 또는 안테나 엘리먼트들을 포함한다고 가정한다. 모든 1024개의 안테나들에 대해 단일 수신기 노드를 사용하기보다는, 안테나들의 그룹들로부터 수신된 신호들의 프로세싱은 각각의 그룹에 대한 별개의 수신기 노드를 사용하여 수행될 수 있다. 예를 들어, 4개의 수신기 노드들은 256개의 안테나들의 그룹들에 대해 사용될 수 있고, 8개의 수신기 노드들은 128개의 안테나들의 그룹들에 대해 사용될 수 있고, 16개의 수신기 노드들은 64개의 안테나들의 그룹들에 대해 사용될 수 있는 등이다.
일부 실시예들이 특정 구현들을 참조하여 설명되었지만, 다른 구현들이 일부 실시형태들에 따라 가능하다. 추가적으로, 도면들에 예시되고 그리고/또는 본원에 설명된 엘리먼트들 또는 다른 특징들의 배열 및/또는 순서는 예시되고 설명된 특정 방식으로 배열될 필요는 없다. 일부 실시형태들에 따라 많은 다른 배열들이 가능하다.
펌웨어 및/또는 소프트웨어는 일반적으로 비휘발성 메모리 및/또는 구동 유닛에 저장되고 실행 전에 휘발성 메모리 (예를 들어, RAM) 에 로딩된다. 실제로, 큰 프로그램에서, 전체 프로그램을 메모리에 저장하는 것이 가능하지 않을 수 있다. 그럼에도 불구하고, 소프트웨어가 실행되기 위해, 필요하다면, 그것은 프로세싱을 위해 적절한 컴퓨터 판독가능 로케이션으로 이동되고, 예시적인 목적들을 위해, 그 로케이션은 본원에서 메모리로 지칭된다는 것이 이해되어야 한다. 소프트웨어가 실행을 위해 메모리로 이동될 때에도, 프로세서는 통상적으로 소프트웨어와 연관된 값들을 저장하기 위해 하드웨어 레지스터들, 및 이상적으로 실행을 가속화하는 역할을 하는 로컬 캐시를 사용한다. 본원에서 사용되는 바와 같이, 소프트웨어 프로그램은 소프트웨어 프로그램이 "컴퓨터 판독 가능 매체에서 구현됨"으로 지칭될 때 임의의 알려진 또는 편리한 로케이션 (비휘발성 저장부로부터 하드웨어 레지스터들로) 에 저장되는 것으로 가정된다. 프로세서는 프로그램과 연관된 적어도 하나의 값이 프로세서에 의해 판독가능한 레지스터에 저장될 때 "프로그램을 실행하도록 구성되는 것"으로 고려된다.
이 상세한 설명들의 일부 부분들은 컴퓨터 메모리 내에서의 데이터에 대한 동작들의 알고리즘들 및 심볼 표현들의 관점에서 제시된다. 이들 알고리즘적 설명들 및 표현들은 그것들의 작업의 본질을 다른 통상의 기술자들에게 가장 효과적으로 전달하기 위해 데이터 프로세싱 기술분야에서 통상의 지식을 가진 자에 의해 사용되는 수단들이다. 알고리즘은 여기서 및 일반적으로, 원하는 결과로 이끄는 동작들의 자기-일관성있는 시퀀스인 것으로 사료된다. 동작들은 물리적 양들의 물리적 조작을 필요로 하는 것들이다. 통상적으로, 반드시 그러한 것은 아니지만, 이들 양들은 저장, 전달, 결합, 비교, 및 달리 조작되는 것이 가능한 전기 또는 자기 신호들의 형태를 취한다. 주로 일반적인 사용을 이유로, 이들 신호들을 비트들, 값들, 엘리먼트들, 심볼들, 문자들, 용어들, 수치들 등으로서 지칭하는 것이 때때로 편리함이 입증되었다.
그러나, 이러한 용어 및 유사한 용어는 모두 적절한 물리적 양들과 연관되어야 하고 이들 양들에 적용된 편리한 라벨들일 뿐이라는 것을 유념해야 한다. 다음의 논의로부터 명백한 바와 같이 특별히 달리 언급되지 않으면, 설명 전반에 걸쳐, "프로세싱하는 것" 또는 "산출하는 것" 또는 "계산하는 것" 또는 "결정하는 것" 또는 "디스플레이하는 것" 등과 같은 용어를 활용하는 논의들은 컴퓨터 시스템 또는 유사한 전자 컴퓨팅 디바이스의 액션 및 프로세스들을 지칭함이 인식되며, 이 컴퓨터 시스템 또는 유사한 전자 컴퓨팅 디바이스는 컴퓨터 시스템의 레지스터들 및 메모리들 내의 물리(전자)량들로서 표현된 데이터를 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 그러한 정보 저장, 전송 또는 디스플레이 디바이스들 내의 물리량들로서 유사하게 표현된 다른 데이터로 조작 및 변환한다.
본 명세서에서 제시된 알고리즘들 및 디스플레이들은 임의의 특정 컴퓨터 또는 다른 장치에 본질적으로 관련되지 않는다. 다양한 범용 시스템들이 본원의 교시들에 따른 프로그램들과 함께 사용될 수도 있거나, 또는 일부 실시형태들의 방법들을 수행하기 위해 더 특수화된 장치를 구성하는 것이 편리함을 입증할 수도 있다. 다양한 이들 시스템들에 대해 요구된 구조가 하기의 설명으로부터 나타난다. 또한, 본 기법은 특정한 프로그래밍 언어를 참조하여 설명되지 않으며, 따라서 다양한 실시형태들은 다양한 프로그래밍 언어들을 사용하여 구현될 수 있다.
대안적인 실시형태들에서, 머신은 독립형 디바이스로서 동작하거나 다른 머신들에 접속 (예를 들어, 네트워킹) 될 수 있다. 네트워킹된 환경에서, 머신은 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 머신의 용량으로 동작하거나 피어 투 피어 (또는 분산) 네트워크 환경에서 피어 머신으로서 동작할 수있다.
머신은 서버 컴퓨터, 클라이언트 컴퓨터, 개인용 컴퓨터 (PC), 태블릿 PC, 랩톱 컴퓨터, 셋톱 박스 (STB), 개인 휴대 정보 단말기 (PDA), 셀룰러 전화, iPhone, Blackberry, 프로세서, 전화, 웹 어플라이언스, 네트워크 라우터, 스위치 또는 브리지, 또는 그 머신에 의해 취해질 액션들을 특정하는 명령어들의 세트 (시퀀스 또는 그 외의 것) 를 실행할 수 있는 임의의 머신일 수 있다.
머신 판독가능 매체 또는 머신 판독가능 저장 매체가 예시적인 실시예에서 단일 매체인 것으로 도시되지만, 용어 "머신 판독가능 매체" 및 "머신 판독가능 저장 매체"는 명령들의 하나 이상의 세트들을 저장하는 단일 매체 또는 다수의 매체 (예를 들어, 중앙화된 또는 분산된 데이터베이스, 및/또는 연관된 캐시들 및 서버들) 를 포함하도록 취해져야 한다. 용어 "머신 판독가능 매체" 및 "머신 판독가능 저장 매체"는 또한 머신에 의한 실행을 위한 명령어들의 세트를 저장, 인코딩 또는 운반할 수 있고 머신으로 하여금 현재 개시된 기술 및 혁신의 방법론들 중 임의의 하나 이상을 수행하게 하는 임의의 매체를 포함하도록 취해질 것이다.
일반적으로, 본 발명의 실시형태들을 구현하기 위해 실행되는 루틴들은 운영 체제 또는 "컴퓨터 프로그램"으로 지칭되는 특정 애플리케이션, 컴포넌트, 프로그램, 오브젝트, 모듈 또는 명령들의 시퀀스의 일부로서 구현될 수 있다. 컴퓨터 프로그램들은 통상적으로 컴퓨터 내의 다양한 메모리 및 저장 디바이스들에서 다양한 시간들에서 설정된 하나 이상의 명령들을 포함하고, 그 명령들은, 컴퓨터 내의 하나 이상의 프로세싱 유닛들 또는 프로세서들에 의해 판독 및 실행될 때, 컴퓨터로 하여금 본 개시의 다양한 양태들을 수반하는 엘리먼트들을 실행하기 위한 동작들을 수행하게 한다.
또한, 실시형태들은 완전히 기능하는 컴퓨터들 및 컴퓨터 시스템들의 맥락에서 설명되었지만, 당업자는 다양한 실시형태들이 다양한 형태들의 프로그램 제품으로서 분배될 수 있고, 본 개시가 분배를 실제로 달성하기 위해 사용되는 머신 또는 컴퓨터 판독가능 매체의 특정 유형에 관계없이 동등하게 적용된다는 것을 인식할 것이다.
기계-판독가능 저장 매체, 기계-판독가능 매체, 또는 컴퓨터-판독가능 (저장) 매체의 추가적인 예들은, 무엇보다도, 휘발성 및 비휘발성 메모리 디바이스들, 플로피 및 다른 착탈식 디스크들, 하드 디스크 드라이브들, 광학 디스크들 (예를 들어, 컴팩트 디스크 판독-전용 메모리 (Compact Disk Read-Only Memory; CD ROMS), 디지털 다기능 디스크들 (Digital Versatile Disks; DVDs) 등), 및 디지털 및 아날로그 통신 링크들과 같은 송신 유형 매체들과 같은 기록가능 유형 매체들을 포함하지만, 이들로 제한되지 않는다.
일반적으로, 본원의 도면들에 도시된 회로, 로직 및 컴포넌트들은 또한, 이산 칩들, SoC들, 멀티-칩 모듈들, 및 다수의 네트워크 인터페이스들에 대한 지원을 포함하는 네트워킹/링크 인터페이스 칩들을 포함하는 다양한 유향들의 집적 회로들 (예를 들어, 반도체 칩들) 및 모듈들로 구현될 수 있다. 또한, 본원에서 사용되는 바와 같이, 다양한 동작들을 수행하기 위한 회로 및 로직은 임베디드 로직, 임베디드 프로세서들, 제어기들, 마이크로엔진들 중 하나 이상을 통해, 또는 그렇지 않으면 하드웨어, 소프트웨어, 및/또는 펌웨어의 임의의 조합을 사용하여 구현될 수 있다. 예를 들어, 다양한 로직 블록들 및/또는 회로에 의해 도시된 동작들은 ASIC들, FPGA들, IP 블록 라이브러리들을 포함하지만 이에 제한되지 않는 프로그래밍된 로직 게이트들 등을 사용하여, 또는 프로세서들, 프로세서 코어들, 제어기들, 마이크로컨트롤러들, 마이크로엔진들 등을 포함하는 하나 이상의 프로세싱 엘리먼트들 상에서 실행되는 소프트웨어 또는 펌웨어 명령들 중 하나 이상을 통해 실행될 수 있다.
문맥이 달리 명확하게 요구하지 않는 한, 명세서 및 청구범위 전체에서, '포함하다 (comprise)', '포함하는 (comprising)' 등의 단어는 배제적이거나 배타적인 의미와는 반대인 포괄적인 의미, 즉, "~ 을 포함하지만, 이에 제한되지 않는" 의 의미로 해석되어야 한다. 본원에서 사용되는 용어 "접속됨", "커플링됨" 또는 이들의 임의의 변형은 둘 이상의 엘리먼트들 사이의 직접적 또는 간접적인 임의의 접속 또는 커플링을 의미하며; 엘리먼트들 사이의 접속의 커플링은 물리적, 논리적 또는 이들의 조합일 수 있다. 또한, 본 출원에서 사용되는 경우, "본원", "위" 및 "아래" 라는 단어와 유사한 의미의 단어는 본 출원의 임의의 특정 부분이 아닌 본 출원의 전체를 지칭하는 것이다. 문맥이 허용하는 경우, 단수 또는 복수를 사용하는 상세설명 내의 단어들은 각각 복수 또는 단수를 포함할 수도 있다.
본원에 설명되고 예시된 모든 컴포넌트들, 특징들, 구조들, 특성들 등이 특정 실시형태 또는 실시형태들에 포함될 필요는 없다. 명세서에서 일 컴포넌트, 특징, 구조 또는 특성이 포함될 "수도 있거나", "수도 있었거나", 또는 "수 있다"고 나타내어지면, 예를 들어, 그 특정 컴포넌트, 특징, 구조 또는 특성이 포함되도록 요구되지는 않는다. 명세서 또는 청구항에서 "일" 또는 "하나의" 엘리먼트가 지칭되면, 이는 설명된 엘리먼트들 중 오직 하나가 존재함을 의미하지는 않는다. 명세서 또는 청구항이 "추가" 엘리먼트를 참조하는 경우, 이는 추가 엘리먼트 중 하나 보다 많은 것이 있음을 배제하지 않는다.
본 개시의 실시형태들에 대한 위의 상세한 설명은 본 개시를 상기 개시된 정확한 형태 또는 본 개시에서 언급된 특정 사용 분야로 배제하거나 제한하려는 것이 아니다. 본 개시의 특정 실시 예들 및 예들이 예시적인 목적으로 위에서 설명되었지만, 관련 기술 분야의 통상의 기술자가 인식할 수 있는 바와 같이, 본 개시의 범위 내에서 다양한 등가 수정이 가능하다. 예를 들어, 프로세스들 또는 블록들이 주어진 순서로 제시되지만, 대안적인 실시형태들은 단계들을 갖는 루틴들을 수행하거나, 또는 블록들을 갖는 시스템들을 상이한 순서로 채용할 수 있고, 일부 프로세스들 또는 블록들은 대안적인 또는 서브-조합들을 제공하기 위해 삭제, 이동, 추가, 세분화, 결합 및/또는 수정될 수 있다. 이러한 프로세스들 또는 블록들 각각은 다양한 상이한 방식들로 구현될 수 있다. 또한, 프로세스들 또는 블록들이, 종종, 시리즈로 수행되는 것으로 도시되지만, 이들 프로세스들 또는 블록들은 대신 병행하여 수행될 수 있거나, 상이한 시간들에서 수행될 수 있다. 또한, 본원에 언급된 임의의 특정 수들은 단지 예들에 불과하며: 대안적인 구현들은 상이한 값들 또는 범위들을 채택할 수 있다.
본원에 제공된 개시내용의 교시들은 반드시 전술한 시스템이 아닌 다른 시스템들에 적용될 수 있다. 전술한 다양한 실시형태들의 엘리먼트들 및 동작들은 추가 실시형태들을 제공하기 위해 결합될 수 있다.
첨부된 명세서에 열거될 수 있는 임의의 것을 포함하여, 상기 언급된 임의의 특허 및 출원 및 다른 참고문헌은 본원에 참조로 포함된다. 본 개시의 양태들은, 필요하다면, 본 개시의 또 다른 실시형태들을 제공하기 위해 위에서 설명된 다양한 참조들의 시스템들, 기능들, 및 개념들을 채용하도록 수정될 수 있다.
이들 및 다른 수정은 위의 상술한 설명의 관점에서 개시에 대해 이루어질 수 있다. 위의 설명은 본 개시의 특정 실시형태들을 설명하고, 고려되는 최상의 모드를 설명하지만, 상기 설명들이 텍스트에서 얼마나 상세하게 나타나든, 교시들은 많은 방식들로 실시될 수 있다. 시스템의 세부사항들은 그것의 구현 세부사항들에서 상당히 변할 수 있지만, 여전히 본 명세서에 개시된 주제에 의해 포함된다. 위에서 언급된 바와 같이, 본 개시내용의 특정 특징들 또는 양태들을 설명할 때 사용되는 특정 용어는 그 용어가 연관되는 본 개시내용의 임의의 특정 특성들, 특징들 또는 양태들로 제한되도록 본 명세서에서 재정의되고 있다는 것을 암시하기 위해 취해지지 않아야 한다. 일반적으로, 이하의 청구항들에서 사용되는 용어들은 상기 상세한 설명 부분이 이러한 용어들을 명시적으로 정의하지 않는 한, 본 명세서에 개시된 특정 실시형태로 본 발명을 제한하는 것으로 해석되어서는 안 된다. 따라서, 본 개시의 실제 범위는 개시된 실시형태들 뿐만 아니라 청구항들 하에서 개시를 실시하거나 구현하는 모든 동등한 방법들을 포함한다.
본 개시의 특정 양태들이 특정 청구항 형태들로 아래에 제시되지만, 본 발명자들은 임의의 수의 청구항 형태들로 본 개시의 다양한 양태들을 고려한다. 예를 들어, 본 개시내용의 단지 하나의 양태가 35 U.S.C. §112, ¶6 하의 수단 플러스 기능 청구항으로서 인용되어 있지만, 다른 양태들은 마찬가지로 수단과 기능식 청구항으로서, 또는 컴퓨터-판독가능 매체에 구현되는 것과 같은 다른 형태들로 구현될 수 있다. (35 U.S.C. §112, ¶6 하에서 처리되도록 의도된 모든 청구항들은 단어 "하기 위한 수단"으로 시작할 수도 있다.) 따라서, 출원인은 본 개시내용의 다른 양태들에 대해 이러한 추가적인 청구 형태들을 추구하기 위해 출원을 행한 후에 추가적인 청구들을 추가할 권리를 보유한다.
본 명세서에서 설명되는 구체적인 설명은 반드시 상술한 시스템에만 적용되는 것은 아니며, 다른 시스템에도 적용될 수 있다. 전술한 다양한 예들의 엘리먼트들 및 동작들은 본 발명의 추가적인 구현들을 제공하기 위해 결합될 수 있다. 본 발명의 일부 대안적인 구현들은 위에서 언급된 구현들에 대한 추가적인 엘리먼트들을 포함할 수 있을 뿐만 아니라, 더 적은 엘리먼트들을 포함할 수도 있다. 이들 및 다른 수정은 위의 상술한 설명의 점에서 본 발명에 대해 이루어질 수 있다. 위의 설명은 본 발명의 특정 예들을 설명하고, 고려되는 최상의 모드를 설명하지만, 본 발명이 텍스트에서 얼마나 상세하게 나타나든, 교시들은 많은 방식들로 실시될 수 있다. 시스템의 세부사항들은 그것의 특정 구현들에서 상당히 수정될 수 있지만, 여전히 본원에 개시된 본 발명에 의해 포함된다. 위에서 언급된 바와 같이, 본 개시내용의 특정 특징들 또는 양태들을 설명할 때 사용되는 특정 용어는 그 용어가 연관되는 본 개시내용의 임의의 특정 특성들, 특징들 또는 양태들로 제한되도록 본 명세서에서 재정의되고 있다는 것을 암시하기 위해 취해지지 않아야 한다. 일반적으로, 이하의 청구항들에서 사용되는 용어들은 상기 상세한 설명 부분이 이러한 용어들을 명시적으로 정의하지 않는 한, 본원에 개시된 특정 예들로 본 발명을 제한하는 것으로 해석되어서는 안 된다. 따라서, 본 발명의 실제 범위는 개시된 예뿐만 아니라 본 발명을 실시하거나 구현하는 모든 동등한 방법을 포함한다.
요약서에 기술된 것을 포함하는, 본 발명의 예시된 실시형태들의 상기 설명은, 본 발명을 한정하거나 개시된 정확한 형태들로 제한하려는 것이 아니다. 본 개시의 특정 실시형태들 및 실시예들이 예시적인 목적으로 위에서 설명되었지만, 관련 기술 분야의 통상의 기술자가 인식할 수 있는 바와 같이, 본 개시의 범위 내에서 다양한 등가 수정이 가능하다.
이들 및 다른 변경은 상기 상술한 설명의 관점에서 본 발명에 대해 이루어질 수 있다. 이하의 청구항들에서 사용되는 용어들은 본 명세서 및 도면에 기재된 특정 실시형태들로 본 발명을 한정하는 것으로 해석되어서는 안 된다. 오히려, 본 발명의 범위는 다음의 청구항들에 의해 전적으로 결정되며, 이는 청구항 해석의 확립된 교리에 따라 해석되어야 한다.

Claims (21)

  1. 방법으로서,
    복수의 안테나들의 각각에서, 클라이언트 디바이스로부터 송신된 개별적인 입사 신호를 수신하는 단계;
    복수의 코딩된 신호들을 형성하기 위해 개별적인 코드로, 상기 복수의 안테나들에서 수신된 상기 입사 신호들의 각각을 코딩하는 단계;
    상기 복수의 코딩된 신호들을 결합된 파형으로 결합하는 단계;
    동위상 성분 I(t) 및 직각 성분 Q(t) 을 포함하는 상기 결합된 파형의 복소 표현을 생성하기 위해 상기 결합된 파형의 IQ 복조를 수행하는 단계; 및
    상기 복수의 안테나들의 각각에서 수신된 상기 개별적인 입사 신호를 추출하기 위해 상기 입사 신호들을 코딩하는데 사용된 코드들을 상기 결합된 파형의 복소 표현에 적용하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    추출된 상기 입사 신호들의 프로세싱을 통하여, 상기 복수의 안테나들의 각각에서 수신된 상기 입사 신호의 위상을 결정하는 단계를 더 포함하는, 방법.
  3. 제 2 항에 있어서,
    상기 클라이언트 디바이스로의 전력 신호들의 무선 송신을 통하여 상기 클라이언트 디바이스에 전력을 제공하도록 결정되는 상기 입사 신호들의 위상들을 채택하는 단계를 더 포함하는, 방법.
  4. 제 2 항에 있어서,
    상기 추출된 입사 신호들의 프로세싱을 통하여, 상기 복수의 안테나들의 각각에서 수신된 상기 입사 신호의 크기를 결정하는 단계를 더 포함하는, 방법.
  5. 제 4 항에 있어서,
    상기 클라이언트 디바이스로의 전력 신호들의 무선 송신을 통하여 상기 클라이언트 디바이스에 전력을 제공하도록 결정되는 상기 입사 신호들의 위상들 및 크기들을 채택하는 단계를 더 포함하는, 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 코드들은 직교 코드들인, 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 코드들은 의사 난수 시퀀스들 (pseudorandom number sequences) 인, 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 결합된 파형을 중간 신호로 하향 변환하는 단계; 및
    상기 결합된 파형의 복소 표현을 생성하기 위해 상기 중간 신호의 IQ 복조를 수행하는 단계를 더 포함하는, 방법.
  9. 방법으로서,
    복수의 안테나들의 각각에서, 복수의 클라이언트 디바이스들로부터 송신되는 신호들을 수신하는 단계;
    각각의 안테나에 대해, 개별적인 입사 신호를 형성하기 위해 그 안테나에 의해 상기 복수의 클라이언트 디바이스들로부터 수신된 신호들을 중첩하는 단계;
    개별적인 코딩된 신호들을 형성하기 위해 개별적인 코드로, 상기 개별적인 입사 신호들의 각각을 코딩하는 단계;
    상기 개별적인 코딩된 신호들을 결합된 파형으로 결합하는 단계;
    동위상 성분 I(t) 및 직각 성분 Q(t) 을 포함하는 상기 결합된 파형의 복소 표현을 생성하기 위해 상기 결합된 파형의 IQ 복조를 수행하는 단계;
    상기 복수의 안테나들의 각각에 대해 상기 개별적인 입사 신호를 추출하기 위해 상기 개별적인 입사 신호들을 코딩하는데 사용된 코드들을 상기 결합된 파형의 복소 표현에 적용하는 단계; 및
    상기 복수의 안테나들의 각각에서 개별적인 클라이언트 디바이스들로부터 수신된 신호들을 분리하도록 추출되는 입사 신호들을 필터링하는 단계를 포함하는, 방법.
  10. 제 9 항에 있어서,
    주어진 클라이언트 디바이스에 대해 분리되었던 신호들의 프로세싱을 통하여, 그 클라이언트 디바이스로부터 상기 복수의 안테나들의 각각에서 수신되는 신호들의 개별적인 위상들을 결정하는 단계를 더 포함하는, 방법.
  11. 제 10 항에 있어서,
    주어진 클라이언트 디바이스에 대해 분리되었던 신호들의 프로세싱을 통하여, 그 클라이언트 디바이스로부터 상기 복수의 안테나들의 각각에서 수신되는 신호들의 개별적인 크기들을 결정하는 단계를 더 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 클라이언트 디바이스로의 전력 신호들의 무선 송신을 통하여 상기 클라이언트 디바이스에 전력을 제공하도록 결정되는 신호들의 위상들 및 크기들을 채택하는 단계를 더 포함하는, 방법.
  13. 제 9 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 코드들은 직교 코드들인, 방법.
  14. 제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 코드들은 의사 난수 시퀀스들인, 방법.
  15. 제 9 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 결합된 파형을 중간 신호로 하향 변환하는 단계; 및
    상기 결합된 파형의 복소 표현을 생성하기 위해 상기 중간 신호의 IQ 복조를 수행하는 단계를 더 포함하는, 방법.
  16. 장치로서,
    복수의 안테나들로서, 각각의 안테나는 복수의 클라이언트 디바이스들로부터 송신된 신호들을 수신하고, 수신된 상기 신호들을 중첩하여 입사 신호를 형성하도록 구성되는, 상기 복수의 안테나들;
    개별적인 코딩된 신호들을 형성하기 위해 개별적인 코드로, 개별적인 입사 신호들의 각각을 코딩하기 위한 수단;
    상기 개별적인 코딩된 신호들을 결합된 파형으로 결합하기 위한 수단;
    동위상 성분 I(t) 및 직각 성분 Q(t) 을 포함하는 상기 결합된 파형의 복소 표현을 생성하기 위해 상기 결합된 파형의 IQ 복조를 수행하기 위한 수단;
    상기 복수의 안테나들의 각각에 대해 상기 개별적인 입사 신호를 추출하기 위해 상기 개별적인 입사 신호들을 코딩하는데 사용된 코드들을 상기 결합된 파형의 복소 표현에 적용하기 위한 수단; 및
    상기 복수의 안테나들의 각각에서 개별적인 클라이언트 디바이스들로부터 수신된 신호들을 분리하도록 추출되는 입사 신호들을 필터링하기 위한 수단을 포함하는, 장치.
  17. 제 16 항에 있어서,
    주어진 클라이언트 디바이스에 대해 분리되었던 신호들에 대해, 그 클라이언트 디바이스로부터 상기 복수의 안테나들의 각각에서 수신되는 신호들의 개별적인 위상들을 계산하기 위한 수단을 더 포함하는, 장치.
  18. 제 17 항에 있어서,
    주어진 클라이언트 디바이스에 대해 분리되었던 신호들에 대해, 그 클라이언트 디바이스로부터 상기 복수의 안테나들의 각각에서 수신되는 신호들의 개별적인 크기들을 계산하기 위한 수단을 더 포함하는, 장치.
  19. 제 18 항에 있어서,
    무선 신호들의 송신을 통하여 클라이언트 디바이스들에 전력을 제공하기 위한 무선 전력 송신 시스템을 더 포함하고,
    상기 장치는 상기 클라이언트 디바이스로의 전력 신호들의 무선 송신을 통하여 상기 클라이언트 디바이스에 전력을 제공하도록 계산되는 신호들의 위상들 및 크기들을 채택하도록 구성되는, 장치.
  20. 제 16 항 내지 제 19 항 중 어느 한 항에 있어서,
    상기 코드들은 왈시 코드들 (Walsh codes) 또는 의사난수 시퀀스들인, 장치.
  21. 제 16 항 내지 제 20 항 중 어느 한 항에 있어서,
    상기 결합된 파형을 중간 신호로 하향 변환하는 다운-컨버터를 더 포함하고,
    상기 결합된 파형의 IQ 복조를 수행하기 위한 수단은 상기 결합된 파형의 복소 표현을 생성하기 위해 상기 중간 신호의 IQ 복조를 수행하는, 장치.
KR1020217018285A 2018-11-16 2019-11-12 코딩된 안테나 어레이 KR102565520B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/194,137 US10797746B2 (en) 2018-11-16 2018-11-16 Coded antenna array
US16/194,137 2018-11-16
PCT/US2019/060827 WO2020102131A1 (en) 2018-11-16 2019-11-12 Coded antenna array

Publications (2)

Publication Number Publication Date
KR20210110575A true KR20210110575A (ko) 2021-09-08
KR102565520B1 KR102565520B1 (ko) 2023-08-09

Family

ID=70726786

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217018285A KR102565520B1 (ko) 2018-11-16 2019-11-12 코딩된 안테나 어레이

Country Status (6)

Country Link
US (1) US10797746B2 (ko)
EP (1) EP3881094A4 (ko)
JP (1) JP7279161B2 (ko)
KR (1) KR102565520B1 (ko)
CN (1) CN113330325A (ko)
WO (1) WO2020102131A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230043031A (ko) * 2021-09-23 2023-03-30 애플 인크. 듀얼 포트 송신들에서 코딩된 신호들을 상관해제하기 위한 시스템들 및 방법들

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2764257C1 (ru) * 2020-10-08 2022-01-14 Федеральное государственное бюджетное образовательное учреждение высшего образования "Московский автомобильно-дорожный государственный технический университет (МАДИ) Способ кодирования и передачи цифровой информации
RU2757486C1 (ru) * 2020-11-20 2021-10-18 Федеральное государственное бюджетное образовательное учреждение высшего образования "Московский автомобильно-дорожный государственный технический университет (МАДИ)" Способ кодирования и передачи цифровой информации

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090103593A1 (en) * 2007-10-17 2009-04-23 Marcos Antonio Bergamo Array Antenna System and Spread Spectrum Beamformer Method
US20170331331A1 (en) * 2016-05-16 2017-11-16 Ossia Inc. Techniques For Calibrating Wireless Power Transmission Systems For Operation In Multipath Wireless Power Delivery Environments

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072770A (en) * 1997-03-04 2000-06-06 At&T Corporation Method and system providing unified DPSK-PSK signalling for CDMA-based satellite communications
US6333947B1 (en) * 1998-11-25 2001-12-25 Nortel Networks Limited Interference cancellation system and method and CDMA receiver including an interference cancellation circuit
US7406261B2 (en) * 1999-11-02 2008-07-29 Lot 41 Acquisition Foundation, Llc Unified multi-carrier framework for multiple-access technologies
KR100689399B1 (ko) 2002-05-17 2007-03-08 삼성전자주식회사 이동통신시스템에서 스마트 안테나의 순방향 송신빔 형성장치 및 방법
US8121020B1 (en) * 2002-10-08 2012-02-21 Urbain A. von der Embse QLM demodulation
JP2004158914A (ja) * 2002-11-01 2004-06-03 Sony Ericsson Mobilecommunications Japan Inc 受信装置
US7418053B2 (en) * 2004-07-30 2008-08-26 Rearden, Llc System and method for distributed input-distributed output wireless communications
JP2006253866A (ja) * 2005-03-09 2006-09-21 Toyota Central Res & Dev Lab Inc ダイバーシチ受信装置
US7834807B2 (en) * 2007-05-21 2010-11-16 Spatial Digital Systems, Inc. Retro-directive ground-terminal antenna for communication with geostationary satellites in slightly inclined orbits
US8681890B2 (en) * 2010-06-07 2014-03-25 Entropic Communications, Inc. Method and apparatus for real time multiplexing with receiver and antenna array elements
US9581681B2 (en) 2012-06-07 2017-02-28 Hrl Laboratories, Llc Method and apparatus for processing coded aperture radar (CAR) signals
US9647735B2 (en) * 2013-05-31 2017-05-09 Intel IP Corporation Hybrid digital and analog beamforming for large antenna arrays
US9490875B2 (en) * 2014-10-31 2016-11-08 Ossia Inc. Techniques for filtering multi-component signals
US9961705B2 (en) * 2014-12-02 2018-05-01 Ossia Inc. Techniques for encoding beacon signals in wireless power delivery environments
US10825417B2 (en) * 2015-04-10 2020-11-03 Ossia Inc. Wirelessly powered electronic display apparatuses
WO2016186998A1 (en) 2015-05-15 2016-11-24 Massachusetts Institute Of Technology Methods and apparatus for array-based compressed sensing
US10768265B2 (en) 2016-11-09 2020-09-08 Raytheon Company Systems and methods for direction finding using compressive sensing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090103593A1 (en) * 2007-10-17 2009-04-23 Marcos Antonio Bergamo Array Antenna System and Spread Spectrum Beamformer Method
US20170331331A1 (en) * 2016-05-16 2017-11-16 Ossia Inc. Techniques For Calibrating Wireless Power Transmission Systems For Operation In Multipath Wireless Power Delivery Environments

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230043031A (ko) * 2021-09-23 2023-03-30 애플 인크. 듀얼 포트 송신들에서 코딩된 신호들을 상관해제하기 위한 시스템들 및 방법들

Also Published As

Publication number Publication date
JP7279161B2 (ja) 2023-05-22
US10797746B2 (en) 2020-10-06
CN113330325A (zh) 2021-08-31
KR102565520B1 (ko) 2023-08-09
EP3881094A4 (en) 2022-07-27
WO2020102131A1 (en) 2020-05-22
EP3881094A1 (en) 2021-09-22
US20200162122A1 (en) 2020-05-21
JP2022507659A (ja) 2022-01-18

Similar Documents

Publication Publication Date Title
KR102565520B1 (ko) 코딩된 안테나 어레이
US20190334609A1 (en) Orbital angular momentum-based multiplexing with shared antenna elements
US20150236774A1 (en) Method and apparatus for processing feedback information in wireless communication system supporting beamforming
JP2019527519A (ja) 大規模多入力多出力通信システムにおけるビーム形成ブロードキャスト及び同期信号のためのシステム及び方法
CA2968202A1 (en) Efficient beam scanning for high-frequency wireless networks
US11895636B2 (en) Determination of beam configuration
JP2016119649A (ja) 混合ビームフォーミング技術における共通信号伝送方法および装置
CN105191170B (zh) 通信系统、基站、移动台以及接收质量测定方法
US20220345232A1 (en) Beam management and antenna calibration in mimo systems
JP2014531830A (ja) 複数のアンテナを有するネットワーク要素からの送信をビーム形成するための方法、およびそのネットワーク要素
US10804975B2 (en) Hybrid beamforming-based open-loop MIMO transmission method and apparatus therefor
WO2015096027A1 (en) Method and apparatus for transmission mode selection
JP7422738B2 (ja) 偏波情報共有のための方法
KR20210108398A (ko) 무선 전력 송신을 위한 타이밍 포착 모듈
US11509378B2 (en) Apparatus search for optimal directional beams
US20210083728A1 (en) Devices and methods for facilitating beamforming communications in wireless devices
CN107431516B (zh) 优化用户设备与基站之间的无线信道的设备和方法
WO2022141425A1 (en) Information transmission by circle selection and detection in coaxial multi-circle orbital angular momentum (oam) communication system
JP6532817B2 (ja) 干渉電力測定方法および干渉電力測定装置
CN115842612A (zh) 确定doa的方法、装置、存储介质及电子装置
CN107733603B (zh) 导频发送方法及装置
Chen et al. Integrated Sensing, Communication, and Powering (ISCAP): Towards Multi-functional 6G Wireless Networks
EP4351057A1 (en) Wireless communication method, apparatus and device
Moon et al. Fingerprint-Based Millimeter-Wave Beam Selection for Interference Mitigation in Beamspace Multi-User MIMO Communications

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant