KR20210048565A - 알루미늄 함유 막들을 이용한 갭충전 - Google Patents

알루미늄 함유 막들을 이용한 갭충전 Download PDF

Info

Publication number
KR20210048565A
KR20210048565A KR1020217011360A KR20217011360A KR20210048565A KR 20210048565 A KR20210048565 A KR 20210048565A KR 1020217011360 A KR1020217011360 A KR 1020217011360A KR 20217011360 A KR20217011360 A KR 20217011360A KR 20210048565 A KR20210048565 A KR 20210048565A
Authority
KR
South Korea
Prior art keywords
substrate surface
aluminum
feature
film
substrate
Prior art date
Application number
KR1020217011360A
Other languages
English (en)
Other versions
KR102649084B1 (ko
Inventor
마크 샐리
라크말 씨. 칼루타라지
제프리 더블유. 안디스
다쯔야 이. 사또
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210048565A publication Critical patent/KR20210048565A/ko
Application granted granted Critical
Publication of KR102649084B1 publication Critical patent/KR102649084B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

막을 증착시키기 위한 방법들은 기판 표면의 피쳐에 상향 방식으로 알루미늄 함유 갭충전 막을 증착시키는 단계를 포함한다. 기판은 피쳐에서의 막의 상향식 성장을 촉진하기 위해 알루미늄 함유 전구체, 반응물, 플루오린화제, 및 식각제에 임의의 횟수만큼 순차적으로 노출될 수 있다.

Description

알루미늄 함유 막들을 이용한 갭충전
본 개시내용은 일반적으로, 박막들을 증착시키는 방법들에 관한 것이다. 특히, 본 개시내용은 좁은 트렌치들을 충전하기 위한 갭충전(gap-fill) 프로세스들에 관한 것이다.
마이크로전자 디바이스 제조 시, 많은 응용들에서 보이드 생성 없이 대략 5:1 이상의 종횡비들(AR)을 갖는 좁은 트렌치들을 충전할 필요가 있다. 하나의 응용은 패터닝이다. 다른 응용들은 메모리 커패시터들(DRAM, 플래시 메모리, ReRAM, MIM 커패시터들), 스위칭 게이트들(트랜지스터)을 제어하는 데 사용되는 유전체 막, 광학 기능 막들(광학 격리) 및 전기 회로 구조를 지지하기 위한 기계적 막(더미 게이트)을 포함하지만 이에 제한되지 않는다.
산화알루미늄 및 다른 알루미늄 기재 막들, 예컨대, 질화알루미늄은 전형적인 유전체 막들, 예컨대, SiO, SiN, SiCN 및 Si에 비해 낮은 건식 식각률들을 갖는다.
갭충전을 위해 알루미늄 기재 막들을 활용할 수 있는 방법들이 필요한데, 이는, 증착된 그대로의 막이, 보이드 형성이 최소화되어 없는 고품질인 상향식 막 성장을 초래한다.
본 개시내용의 하나 이상의 실시예는 기판 표면의 피쳐에 알루미늄 함유 갭충전 막을 증착시키는 단계를 포함하는 처리 방법에 관한 것이고, 피쳐는 기판 표면으로부터 바닥 표면으로 소정 깊이만큼 연장되고, 적어도 하나의 피쳐는 제1 측벽 및 제2 측벽에 의해 한정된 폭을 갖는다. 막은 상향(bottom-up) 방식으로 증착된다.
본 개시내용의 추가적인 실시예들은, 기판 표면을 처리 챔버에 위치시키는 단계를 포함하는 처리 방법들에 관한 것이다. 기판 표면은 기판 표면 상에 적어도 하나의 피쳐를 갖는다. 적어도 하나의 피쳐는 바닥, 최상부 및 측벽들로 갭을 생성한다. 기판 표면은 기판 표면 상에 등각 알루미늄 함유 막을 형성하기 위해 알루미늄 함유 전구체 및 반응물에 노출된다. 기판 표면은 피쳐의 바닥에 비해 피쳐의 최상부에서 등각 알루미늄 함유 막을 우선적으로 식각하기 위해 플루오린화제 및 식각제에 노출된다. 기판 표면은 우선적 식각 후에 산화제에 선택적으로 노출된다. 전구체 및 반응물에 대한 순차적 노출에 후속하는, 플루오린화제 및 식각제 및 선택적인 산화제에 대한 노출은 피쳐의 갭을 상향식으로 충전한다.
본 개시내용의 추가의 실시예들은, 기판 표면을 갖는 기판을 복수의 섹션들을 포함하는 처리 챔버 내에 배치하는 단계를 포함하는 처리 방법들에 관한 것이고, 각각의 섹션은 인접한 섹션들로부터 가스 커튼에 의해 분리되고, 기판 표면은 최상부, 바닥, 측들, 및 10:1 이상의 종횡비를 갖는 적어도 하나의 피쳐를 갖는다. 기판 표면의 적어도 일부는 처리 챔버의 제1 섹션에서 제1 프로세스 조건에 노출되고, 제1 프로세스 조건은 알루미늄 함유 전구체를 포함한다. 기판 표면은, 가스 커튼을 통해 처리 챔버의 제2 섹션으로 측방향으로 이동된다. 기판 표면은 처리 챔버의 제2 섹션에서 제2 프로세스 조건에 노출되고, 제2 프로세스 조건은 알루미늄 함유 전구체로 막을 형성하기 위해 반응물을 포함하고, 막은 AlCxOyNz에 따른 종을 포함하고, 여기서 x, y 및 z는 독립적으로 0-3의 범위에 있다. 기판 표면은, 가스 커튼을 통해 처리 챔버의 제3 섹션으로 측방향으로 이동된다. 기판 표면은 처리 챔버의 제3 섹션에서 제3 프로세스 조건에 노출되고, 제3 프로세스 조건은 플루오린화제를 포함한다. 기판 표면은, 가스 커튼을 통해 처리 챔버의 제4 섹션으로 이동된다. 기판 표면은 처리 챔버의 제4 섹션에서 제4 프로세스 조건에 노출되고, 제4 프로세스 조건은 식각제를 포함한다. 기판 표면은, 가스 커튼을 통해 처리 챔버의 제5 섹션으로 선택적으로 이동된다. 기판 표면은 처리 챔버의 제5 섹션에서 제5 프로세스 조건에 선택적으로 노출되고, 제5 프로세스 조건은 산화제를 포함한다. 피쳐를 충전하기 위해, 기판 표면의 측방향 이동을 포함하여 제1 섹션, 제2 섹션, 제3 섹션, 제4 섹션, 및 선택적으로 제5 섹션에 대한 노출이 반복된다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 단면도를 도시하고;
도 2는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 부분 사시도를 도시하고;
도 3은 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시하고;
도 4는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버에서 사용하기 위한 쐐기 형상 가스 분배 조립체의 일부의 개략도를 도시하고;
도 5는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시하고;
도 6은 본 개시내용의 하나 이상의 실시예에 따른 기판 피쳐의 단면도를 도시하고;
도 7은 본 개시내용의 하나 이상의 실시예에 따른 상향식 갭충전 프로세스의 프로세스 흐름도를 도시하고;
도 8a 내지 8f는 본 개시내용의 하나 이상의 실시예에 따른 갭충전 프로세스를 도시하고;
도 9는 실시예에 따른 분자 관점에서의 식각 프로세스의 개략도이고;
도 10은, 본 개시내용의 하나 이상의 실시예에 따른, 두께(Å) 대 온도(℃)의 그래프를 도시하고;
도 11은, 본 개시내용의 하나 이상의 실시예에 따른, NF3 펄스(들)의 함수로서 Å 단위의 주기당 성장 및 식각의 그래프를 도시하고;
도 12는, 본 개시내용의 하나 이상의 실시예에 따른, TMA 펄스(들)의 함수로서 식각률(Å/주기)의 그래프를 도시하고;
도 13은, 본 개시내용의 하나 이상의 실시예에 따른, 주기들의 횟수의 함수로서 두께(Å)의 그래프를 도시하고;
도 14a-b는 대조 예로서 기능하는 트렌치 피쳐를 갖는 10:1 종횡비 구조의 주사 전자 현미경(SEM) 이미지들을 제공하고;
도 15a-b는 본 개시내용의 하나 이상의 실시예에 따라 다수의 주기들을 수행한 후에 트렌치 피쳐를 갖는 10:1 종횡비 구조의 주사 전자 현미경(SEM) 이미지들을 제공한다.
본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용은 이하의 설명에서 열거되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것을 이해해야 한다. 본 개시내용은 다른 실시예들이 가능하고, 다양한 방식들로 실시되거나 수행될 수 있다.
본원에서 사용되는 바와 같은 "기판"은, 그 상에서 제조 프로세스 동안 막 처리가 수행되는, 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 물질들, 예컨대, 규소, 산화규소, 변형된 규소, 절연체상 규소(SOI), 탄소 도핑된 산화규소들, 비정질 규소, 도핑된 규소, 게르마늄, 비화갈륨, 유리, 사파이어, 및 임의의 다른 물질들, 예컨대, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은, 제한 없이, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 연마, 식각, 환원, 산화, 히드록실화, 어닐링 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 자체의 표면에 대한 직접적인 막 처리에 추가하여, 개시된 막 처리 단계들 중 임의의 단계는 또한, 아래에 더 상세히 개시되는 바와 같이 기판 상에 형성되는 하부 층에 대해 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 나타내는 바와 같이 그러한 하부 층을 포함하도록 의도된다. 따라서, 예를 들어, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
산화알루미늄(AlO)이 패터닝 응용들에 사용된다. AlO은 전형적인 유전체 막들, 예컨대, SiO, SiN, SiCN 및 Si에 비해 낮은 건식 식각률을 갖는다. 그러므로, AlO은 기판의 피쳐의 상향식 갭충전의 목적들을 위해 다른 막들에 비해 유리한 식각 선택성을 갖는다. AlO에 추가적으로, 적합한 갭충전 막들은, 일반적으로 AlCxOyNz로 지칭되는, AlN, AlC, AlCN, 금속성 Al 등을 포함하지만 이에 제한되지 않는 종들을 포함하며, 여기서 x, y 및 z는 원자 종들의 특성에 따라 독립적으로 0-3의 범위에 있다. 관련 기술분야의 통상의 기술자에 의해 이해될 바와 같이, AlCxOyNz - x, y 및 z는 독립적으로 0-1의 범위에 있음 - 는 화학량론적인 것으로 의도되지 않고, 그보다는 원자 조성을 나타내는 것이다. 예를 들어, 화학량론적 막 Al2O3은 AlC0O1.5N0로 지칭될 수 있다. 그러한 막들은 또한, 화학량론 대신에 원자 조성으로, 즉, 탄화알루미늄, 산화알루미늄, 질화알루미늄, 산탄화알루미늄, 산질화알루미늄, 탄질화알루미늄 및/또는 산탄질화알루미늄으로 지칭될 수 있다.
본 개시내용의 하나 이상의 실시예는 알루미늄 함유 갭충전 막을 기판 상의 피쳐에 상향 방식으로 증착시키는 단계를 포함하는 처리 방법들에 관한 것이다. 이와 관련하여 사용되는 바와 같이, "상향 방식"이라는 용어는 막이 피쳐의 최상부에 비해 피쳐의 바닥에 우선적으로 증착된다는 것을 의미한다. 본원의 방법들은 비등각 식각에 관한 것으로, 식각률은 피쳐의 바닥에서보다 피쳐의 최상부에서 더 높다.
본 개시내용의 하나 이상의 실시예는, 막을 상향 방식으로 성장시키기 위해, 피쳐의 바닥에 비해 피쳐의 최상부를 우선적으로 식각하고 막 증착이 후속되는 방법들에 관한 것이다. 본 개시내용의 일부 실시예들은, 막을 이용해 5:1 또는 심지어 10:1보다 큰 종횡비들의 피쳐들을 유리하게 충전하는 방법들을 제공한다. 본 개시내용의 하나 이상의 실시예는, 높은 처리량 및 반복성을 갖는, 피쳐들을 갭충전하는 방법들을 유리하게 제공한다.
하나 이상의 실시예에 따르면, 방법은 화학 기상 증착(CVD) 프로세스를 사용한다. 그러한 실시예들에서, 기판 표면은 막의 형성 동안 제1 반응성 가스 및 제2 반응성 가스가 혼합되도록 제1 반응성 가스 및 제2 반응성 가스에 동시에 노출된다.
하나 이상의 실시예에 따르면, 방법은 원자 층 증착(ALD) 프로세스를 사용한다. 그러한 실시예들에서, 기판 표면은 전구체들(또는 반응성 가스들)에 순차적으로 또는 실질적으로 순차적으로 노출된다. 본 명세서 전반에 걸쳐 본원에서 사용되는 바와 같이, "실질적으로 순차적으로"는, 전구체 노출의 지속기간의 대부분이 공반응물에 대한 노출과 겹치지 않지만 약간의 겹침이 존재할 수 있다는 것을 의미한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은 기판 표면, 또는 기판 표면 상에 존재하는 종들과 반응할 수 있는 임의의 가스 종들을 지칭하는 데에 상호교환가능하게 사용된다.
하나 이상의 실시예에 따르면, 갭충전 방법은 원자 층 증착(ALD) 프로세스를 사용하여 수행된다. ALD 프로세스는, 이원(또는 더 고차의) 반응을 사용하여 물질의 단일 층이 증착되는 자기 제한적 프로세스이다. 개별 ALD 반응은 기판 표면 상의 모든 이용가능한 활성 부위들이 반응될 때까지 계속하여 이론적으로 자기 제한적이다. ALD 프로세스는 시간 도메인 또는 공간적 ALD에 의해 수행될 수 있다.
시간 도메인 프로세스에서, 처리 챔버 및 기판은 임의의 주어진 시간에 단일 반응성 가스에 노출된다. 예시적인 시간 도메인 프로세스에서, 처리 챔버는 금속 전구체가 기판 상의 이용가능한 부위들과 완전히 반응하는 것을 허용하기 위해 일시적으로 금속 전구체로 채워질 수 있다. 그 다음, 처리 챔버는, 제2 반응성 가스를 처리 챔버 내로 유동시키고 제2 반응성 가스가 기판 표면 또는 기판 표면 상의 물질과 완전히 반응하는 것을 허용하기 전에 전구체가 퍼징될 수 있다. 시간 도메인 프로세스는 임의의 주어진 시간에 처리 챔버에 오직 하나의 반응성 가스만이 존재하는 것을 보장함으로써 반응성 가스들의 혼합을 최소화한다. 임의의 반응성 가스 노출의 시작 시에, 반응성 종들의 농도가 영으로부터 최종 미리 결정된 압력에 이르는 지연이 존재한다. 유사하게, 반응성 종들 모두를 프로세스 챔버로부터 퍼징하는 데 지연이 존재한다.
공간적 ALD 프로세스에서, 기판은 단일 처리 챔버 내의 상이한 프로세스 영역들 사이에서 이동된다. 개별 프로세스 영역들 각각은, 인접한 프로세스 영역들로부터 가스 커튼에 의해 분리된다. 가스 커튼은 임의의 기상 반응들을 최소화하기 위해 반응성 가스들의 혼합을 방지하는 것을 돕는다. 상이한 프로세스 영역들을 통한 기판의 이동은 기판이, 상이한 반응성 가스들에 순차적으로 노출되는 것을 허용하면서 기상 반응들을 방지한다.
본 개시내용의 일부 실시예들은, 공간적 처리 챔버로 또한 지칭되는 배치 처리 챔버를 사용하는 막 증착 프로세스들에 관한 것이다. 도 1은, 주입기들 또는 주입기 조립체로 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 처리 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는, 처리 챔버에서 사용되는 임의의 유형의 가스 전달 디바이스이다. 가스 분배 조립체(120)는 서셉터 조립체(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은 가스들의 유동을 서셉터 조립체(140) 쪽으로 전달하기 위해 임의의 개수의 또는 다양한 개구부들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 도시된 실시예들에서 실질적으로 둥근 외측 에지(124)를 포함한다.
사용되는 가스 분배 조립체(120)의 특정 유형은 사용되는 특정 프로세스에 따라 변할 수 있다. 본 개시내용의 실시예들은, 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 유형의 처리 시스템과 함께 사용될 수 있다. 이원 반응에서, 복수의 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들) 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면 쪽으로 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 그리고 퍼지 가스 P 채널(들)을 통해 처리 영역 밖으로 이동한다.
일부 실시예들에서, 가스 분배 조립체(120)는 단일 주입기 유닛으로 이루어진 강성 고정 몸체이다. 하나 이상의 실시예에서, 가스 분배 조립체(120)는 도 2에 도시된 바와 같이, 복수의 개별 섹터들(예를 들어, 주입기 유닛들(122))로 구성된다. 단일편 몸체 또는 다중 섹터 몸체 중 어느 하나가, 설명된 본 개시내용의 다양한 실시예들과 함께 사용될 수 있다.
서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 위치된다. 서셉터 조립체(140)는 최상부 표면(141) 및 최상부 표면(141)의 적어도 하나의 함몰부(142)를 포함한다. 서셉터 조립체(140)는 또한, 바닥 표면(143) 및 에지(144)를 갖는다. 함몰부(142)는 처리되고 있는 기판들(60)의 형상 및 크기에 따라 임의의 적합한 형상 및 크기일 수 있다. 도 1에 도시된 실시예에서, 함몰부(142)는 웨이퍼의 바닥을 지지하기 위한 평평한 바닥을 갖지만; 함몰부의 바닥은 변할 수 있다. 일부 실시예들에서, 함몰부는 함몰부의 외측 둘레 에지 주위에 단차 영역들을 갖고, 단차 영역들은 웨이퍼의 외측 둘레 에지를 지지하도록 크기가 정해진다. 단차들에 의해 지지되는 웨이퍼의 외측 둘레 에지의 양은, 예를 들어, 웨이퍼의 두께 및 웨이퍼의 후면 상에 이미 존재하는 피쳐들의 존재에 따라 변할 수 있다.
일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 조립체(140)의 최상부 표면(141)의 함몰부(142)는 함몰부(142)에 지지된 기판(60)이 서셉터(140)의 최상부 표면(141)과 실질적으로 동일 평면 상에 있는 최상부 표면(61)을 갖도록 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면"이라는 용어는, 웨이퍼의 최상부 표면 및 서셉터 조립체의 최상부 표면이 ± 0.2 mm 내의 동일 평면이라는 것을 의미한다. 일부 실시예들에서, 최상부 표면들은 ± 0.15 mm, ± 0.10 mm 또는 ± 0.05 mm 내의 동일 평면이다.
도 1의 서셉터 조립체(140)는 서셉터 조립체(140)를 상승, 하강 및 회전시킬 수 있는 지지 기둥(160)을 포함한다. 서셉터 조립체는 지지 기둥(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 구성요소들을 포함할 수 있다. 지지 기둥(160)은 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 감소시켜 서셉터 조립체(140)를 적절한 위치로 이동시키는 주요 수단일 수 있다. 서셉터 조립체(140)는 또한, 미리 결정된 갭(170)을 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 생성하기 위해 서셉터 조립체(140)에 미세 조정들을 행할 수 있는 미세 조정 액추에이터들(162)을 포함할 수 있다.
일부 실시예들에서, 갭(170) 거리는 약 0.1 mm 내지 약 5.0 mm 범위, 또는 약 0.1 mm 내지 약 3.0 mm 범위, 또는 약 0.1 mm 내지 약 2.0 mm 범위, 또는 약 0.2 mm 내지 약 1.8 mm 범위, 또는 약 0.3 mm 내지 약 1.7 mm 범위, 또는 약 0.4 mm 내지 약 1.6 mm 범위, 또는 약 0.5 mm 내지 약 1.5 mm 범위, 또는 약 0.6 mm 내지 약 1.4 mm 범위, 또는 약 0.7 mm 내지 약 1.3 mm 범위, 또는 약 0.8 mm 내지 약 1.2 mm 범위, 또는 약 0.9 mm 내지 약 1.1 mm 범위, 또는 약 1 mm이다.
도면들에 도시된 처리 챔버(100)는 서셉터 조립체(140)가 복수의 기판들(60)을 유지할 수 있는 캐러셀형 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별 주입기 유닛들(122)을 포함할 수 있고, 각각의 주입기 유닛(122)은 웨이퍼가 주입기 유닛 아래에서 이동될 때 웨이퍼 상에 막을 증착시킬 수 있다. 서셉터 조립체(140) 위에 그리고 서셉터 조립체(140)의 대략 대향하는 측들 상에 위치된 2개의 파이 형상 주입기 유닛들(122)이 도시된다. 이러한 개수의 주입기 유닛들(122)은 단지 예시의 목적들만을 위해 도시된다. 더 많거나 더 적은 주입기 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상을 따르는 형상을 형성하기에 충분한 개수의 파이 형상 주입기 유닛들(122)이 있다. 일부 실시예들에서, 개별적인 파이 형상 주입기 유닛들(122) 각각은, 다른 주입기 유닛들(122) 중 임의의 것에 영향을 미치지 않고서 독립적으로 이동, 제거 및/또는 대체될 수 있다. 예를 들어, 기판들(60)을 로딩/언로딩하기 위해 로봇이 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 영역에 접근하는 것을 허용하도록 하나의 세그먼트가 상승될 수 있다.
다수의 가스 주입기들을 갖는 처리 챔버들은 웨이퍼들이 동일한 프로세스 흐름을 경험하도록 다수의 웨이퍼들을 동시에 처리하는 데에 사용될 수 있다. 예를 들어, 도 3에 도시된 바와 같이, 처리 챔버(100)는 4개의 가스 주입기 조립체들 및 4개의 기판들(60)을 갖는다. 처리의 시작에서, 기판들(60)은 주입기 조립체들(30) 사이에 위치될 수 있다. 서셉터 조립체(140)를 45 °만큼 회전시키는 것(17)은, 가스 분배 조립체들(120) 아래의 점선 원으로 예시된 바와 같이, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)이 막 증착을 위해 가스 분배 조립체(120)로 이동되게 할 것이다. 추가적인 45 ° 회전은 기판들(60)을 주입기 조립체들(30)로부터 벗어나게 이동시킬 것이다. 기판들(60) 및 가스 분배 조립체들(120)의 개수는 동일하거나 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 조립체들과 동일한 개수의 처리 중인 웨이퍼들이 존재한다. 하나 이상의 실시예에서, 처리 중인 웨이퍼들의 개수는 가스 분배 조립체들의 개수의 일부 또는 정수배이다. 예를 들어, 4개의 가스 분배 조립체들이 존재하는 경우, 처리 중인 웨이퍼는 4x개가 존재하고, 여기서 x는 1 이상의 정수 값이다. 예시적인 실시예에서, 가스 분배 조립체(120)는 가스 커튼들에 의해 분리된 8개의 처리 영역들을 포함하고, 서셉터 조립체(140)는 6개의 웨이퍼들을 유지할 수 있다.
도 3에 도시된 처리 챔버(100)는 단지 하나의 가능한 구성을 나타내며, 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다. 여기서, 처리 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 처리 챔버(100) 주위에 균일하게 이격된 4개의 가스 분배 조립체들(또한, 주입기 조립체들(30)로 불리움)이 존재한다. 도시된 처리 챔버(100)는 팔각형이지만; 관련 기술분야의 통상의 기술자들은 이는 하나의 가능한 형상이고 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 것을 이해할 것이다. 도시된 가스 분배 조립체들(120)은 사다리꼴이지만, 도 2에 도시된 것과 같이, 단일 원형 구성요소일 수 있거나 복수의 파이 형상 세그먼트들로 구성될 수 있다.
도 3에 도시된 실시예는 로드 록 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예를 들어, 기판들(또한, 기판들(60)로 지칭됨)이 챔버(100)로부터 로딩/언로딩되는 것을 허용하기 위해, 처리 챔버(100)의 측부에 연결된다. 웨이퍼 로봇은 기판을 서셉터 상으로 이동시키기 위해 챔버(180)에 위치될 수 있다.
캐러셀(예를 들어, 서셉터 조립체(140))의 회전은 연속적이거나 간헐적(불연속적)일 수 있다. 연속적인 처리에서, 웨이퍼들은 웨이퍼들이 주입기들 각각에 차례로 노출되도록 끊임없이 회전한다. 불연속적인 처리에서, 웨이퍼들은 주입기 영역으로 이동되고 정지되고, 그 다음, 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기 간 영역으로부터 주입기를 가로질러(또는 주입기에 인접하여 정지하고) 다음 주입기 간 영역(여기서 캐러셀이 다시 일시정지할 수 있음) 상으로 이동하도록 회전할 수 있다. 주입기들 사이에서의 일시정지는 각각의 층 증착 사이의 추가적인 처리(예를 들어, 플라즈마에 대한 노출)를 위한 시간을 제공할 수 있다.
도 4는 주입기 유닛(122)으로 지칭될 수 있는 가스 분배 조립체(220)의 섹터 또는 부분을 도시한다. 주입기 유닛들(122)은 개별적으로 또는 다른 주입기 유닛들과 조합하여 사용될 수 있다. 예를 들어, 도 5에 도시된 바와 같이, 도 4의 주입기 유닛들(122) 중 4개가, 단일 가스 분배 조립체(220)를 형성하기 위해 조합된다. (4개의 주입기 유닛들을 분리시키는 라인들은 명료성을 위해 도시되지 않는다.) 도 4의 주입기 유닛(122)은 퍼지 가스 포트들(155) 및 진공 포트들(145)에 추가적으로 제1 반응성 가스 포트(125) 및 제2 가스 포트(135) 양쪽 모두를 갖지만, 주입기 유닛(122)은 이러한 구성요소들 전부를 필요로 하지는 않는다.
도 4 및 5 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는 각각의 섹터가 동일하거나 상이한 복수의 섹터들(또는 주입기 유닛들(122))을 포함할 수 있다. 가스 분배 조립체(220)는 처리 챔버 내에 위치되고, 가스 분배 조립체(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 155) 및 세장형 진공 포트들(145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 155) 및 세장형 진공 포트들(145)은 내측 둘레 에지(123)에 인접한 영역으로부터 가스 분배 조립체(220)의 외측 둘레 에지(124)에 인접한 영역을 향해 연장된다. 도시된 복수의 가스 포트들은, 제1 반응성 가스 포트(125), 제2 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145) 및 퍼지 가스 포트(155)를 포함한다.
도 4 또는 5에 도시된 실시예들에 관하여, 포트들이 적어도 내측 둘레 영역 주위로부터 적어도 외측 둘레 영역 주위로 연장되는 것을 언급할 때, 그러나, 포트들은 단지 방사상으로 내측으로부터 외측 영역들까지보다 그 이상으로 연장될 수 있다. 포트들은 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러쌀 때 접선 방향으로 연장될 수 있다. 도 4 및 5에 도시된 실시예에서, 쐐기 형상 반응성 가스 포트들(125, 135)은, 내측 둘레 영역 및 외측 둘레 영역에 인접한 것을 포함하여, 모든 에지들 상에서 진공 포트(145)에 의해 둘러싸인다.
도 4를 참조하면, 기판이 경로(127)를 따라 이동할 때, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(127)를 따르기 위해, 기판은 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 가스 포트(135) 및 진공 포트(145)에 노출되거나 이들을 "만나게" 될 것이다. 따라서, 도 4에 도시된 경로(127)의 끝에서, 기판은 층을 형성하기 위해 제1 가스 포트(125) 및 제2 가스 포트(135)에 노출되었다. 도시된 주입기 유닛(122)은 사분원을 만들지만, 더 크거나 더 작을 수 있다. 도 5에 도시된 가스 분배 조립체(220)는, 직렬로 연결된, 도 4의 주입기 유닛들(122) 중 4개의 주입기 유닛들의 조합으로 간주될 수 있다.
도 4의 주입기 유닛(122)은 반응성 가스들을 분리시키는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는, 반응성 가스들을 혼합으로부터 분리시키는 가스 유동들 또는 진공의 임의의 조합을 설명하는 데에 사용된다. 도 4에 도시된 가스 커튼(150)은 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155) 및 제2 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스 및 제2 반응성 가스의 기상 반응들을 방지하거나 최소화하는 데에 사용될 수 있다.
도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들 및 진공의 조합은 복수의 처리 영역들(250)로의 분리를 형성한다. 처리 영역들은, 250 사이의 가스 커튼(150)으로 개별 가스 포트들(125, 135) 주위에 대략적으로 한정된다. 도 5에 도시된 실시예는, 8개의 개별 처리 영역들(250)과 그 사이의 8개의 개별 가스 커튼들(150)을 구성한다. 처리 챔버는 적어도 2개의 처리 영역을 가질 수 있다. 일부 실시예들에서, 적어도 3, 4, 5, 6, 7, 8, 9, 10, 11 또는 12개의 처리 영역들이 존재한다.
처리 동안, 기판은 임의의 주어진 시간에 하나 초과의 처리 영역(250)에 노출될 수 있다. 그러나, 상이한 처리 영역들에 노출되는 부분들은 그 둘을 분리시키는 가스 커튼을 가질 것이다. 예를 들어, 기판의 선단 에지가, 제2 가스 포트(135)를 포함하는 처리 영역에 진입하면, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고 기판의 후단 에지는, 제1 반응성 가스 포트(125)를 포함하는 처리 영역에 있을 것이다.
예를 들어, 로드 록 챔버일 수 있는 팩토리 인터페이스(280)는 처리 챔버(100)에 연결된 것으로 도시된다. 기판(60)은 기준 프레임을 제공하기 위해 가스 분배 조립체(220) 위에 중첩되는 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)의 전방 표면(121) 근처에 유지되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 처리 챔버(100) 내에 기판 지지부 또는 서셉터 조립체 상에 로딩된다(도 3 참고). 기판(60)은 처리 영역 내에 위치된 것으로 도시될 수 있는데 이는 기판이 제1 반응성 가스 포트(125)에 인접하여 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문이다. 기판(60)을 경로(127)를 따라 회전시키는 것은 기판을 처리 챔버(100) 주위에서 반시계 방향으로 이동시킬 것이다. 따라서, 기판(60)은 제1 처리 영역(250a)으로부터 제8 처리 영역(250h)에까지, 그 사이의 모든 처리 영역들을 포함하여, 노출될 것이다.
본 개시내용의 실시예들은, 각각의 처리 영역이 가스 커튼(150)에 의해 인접 영역으로부터 분리되는, 복수의 처리 영역들(250a-250h)을 갖는 처리 챔버(100)를 포함하는 처리 방법들에 관한 것이다. 예를 들어, 처리 챔버가 도 5에 도시된다. 처리 챔버 내의 가스 커튼들 및 처리 영역들의 개수는 가스 유동들의 배열에 따라 임의의 적합한 개수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 처리 영역들(250a-250h)을 갖는다. 가스 커튼들의 개수는 일반적으로, 처리 영역들의 개수 이상이다.
복수의 기판들(60)이 기판 지지부, 예를 들어, 도 1 및 2에 도시된 서셉터 조립체(140) 상에 위치된다. 복수의 기판들(60)은 처리를 위해 처리 영역들 주위로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 어떠한 반응성 가스도 유동하지 않는 기간들을 포함하여 처리 전반에 걸쳐 관여된다(가스가 유동하고 진공이 활성화됨).
제1 반응성 가스(A)는 처리 영역들(250) 중 하나 이상 내로 유동되는 한편, 불활성 가스는, 제1 반응성 가스(A)가 내부로 유동되지 않는 임의의 처리 영역(250) 내로 유동된다. 예를 들어, 제1 반응성 가스가 처리 영역들(250b) 내지 처리 영역(250h) 내로 유동하는 경우, 불활성 가스는 처리 영역(250a) 내로 유동할 것이다. 불활성 가스는 제1 반응성 가스 포트(125) 또는 제2 가스 포트(135)를 통해 유동될 수 있다.
처리 영역들 내에서의 불활성 가스 유동은 일정하거나 변할 수 있다. 일부 실시예들에서, 반응성 가스는 불활성 가스와 공동 유동된다. 불활성 가스는 캐리어 및 희석제로서 작용할 것이다. 캐리어 가스에 비해 반응성 가스의 양이 적으므로, 공동 유동은 인접 영역들 간의 압력 차이들을 감소시킴으로써 처리 영역들 간의 가스 압력들을 평형화하는 것을 더 용이하게 할 수 있다.
도 6은 피쳐(310)를 갖는 기판(60)의 부분 단면도를 도시한다. 도면들은 예시적인 목적들을 위해 단일 피쳐를 갖는 기판들을 도시하지만; 관련 기술분야의 통상의 기술자는 하나 초과의 피쳐들이 존재할 수 있다는 것을 이해할 것이다. 피쳐(310)의 형상 또는 프로파일은, (a) 수직 측벽들 및 바닥 표면, (b) 점감되는 측벽들, (c) 언더컷팅, (d) 회귀 프로파일, (e) 휨, (f) 마이크로트렌칭, (g) 만곡된 바닥 표면, 및 (h) 노칭을 포함하지만 이에 제한되지 않는 임의의 적합한 형상 또는 프로파일일 수 있다. 이와 관련하여 사용되는 바와 같이, "피쳐"라는 용어는 임의의 의도적인 표면 불규칙성을 의미한다. 피쳐들의 적합한 예들은, 최상부, 2개의 측벽들 및 바닥을 갖는 트렌치들 및 홀들, 최상부 및 2개의 측벽들을 갖는 피크들을 포함하지만, 이에 제한되지 않는다. 피쳐들은 임의의 적합한 종횡비(피쳐의 깊이 대 피쳐의 폭의 비율)를 가질 수 있다. 일부 실시예들에서, 종횡비는 약 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1 또는 40:1 이상이다.
기판(60)은 기판 표면(320)을 갖는다. 적어도 하나의 피쳐(310)는 기판 표면(320)에 개구부를 형성한다. 피쳐(310)는 기판 표면(320)으로부터 바닥 표면(312)으로 깊이(D)만큼 연장된다. 피쳐(310)는, 피쳐(310)의 폭(W)을 한정하는, 제1 측벽(314) 및 제2 측벽(316)을 갖는다. 측벽들 및 바닥에 의해 형성된 개방 영역은 또한, 갭으로 지칭된다.
도 7은, 본 개시내용의 하나 이상의 실시예에 따른, 알류미늄 함유 막(400)의 상향식 갭충전 프로세스의 프로세스 흐름도를 도시한다. 도 7 및 8a 내지 8e를 참조하면, 410에서, 처리를 위해 기판(60)이 제공된다. 이와 관련하여 사용되는 바와 같이, "제공된"이라는 용어는, 기판이 추가의 처리를 위한 위치 또는 환경 내에 배치되는 것을 의미한다. 420에서, Al 함유 막(330)이 기판 표면(320) 및 피쳐(310)의 측벽들(314, 316) 및 바닥(312) 상에 증착된다. Al 함유 막(330)의 형성은 기판 표면을 알루미늄 함유 전구체 및 반응물에 순차적으로 노출시키는 것을 포함한다. 증착(420)은 필요에 따라 직계 단계(422)에서 반복될 수 있다. 또한, 증착(420)은 갭충전 막이 형성될 때까지 식각제(440)의 사용 후에 반복된다. 하나 이상의 실시예에서, 피쳐의 깊이 및 폭은 보이드들 또는 이음매들 없이 충전된다. 하나 이상의 실시예에 따르면, 알루미늄 함유 갭충전 막은 약 10 Å 내지 약 10000 Å 범위의 두께를 갖는다.
형성된 막은 임의의 적합한 막일 수 있다. 일부 실시예들에서, 형성된 막은 AlCxOyNz (I)에 따른 하나 이상의 종을 포함하는 비정질 또는 결정질 알루미늄 함유 막이고, 여기서 x, y, 및 z는 원자 종들의 특성에 따라 독립적으로 0-3의 범위에 있고, 여기서 화학식은 화학량론적이 아니라 원자 조성을 나타낸다. 이 화학식은: AlO, AlN, AlC, AlON, AlOC, AlCN, AlOCN, 금속성 Al 등을 포함한다. 막은, 화학 기상 증착(CVD), 플라즈마 강화 화학 기상 증착(PECVD), 원자 층 증착(ALD), 및 플라즈마 강화 원자 층 증착(PEALD)을 포함하지만 이에 제한되지 않는 임의의 적합한 프로세스에 의해 형성될 수 있다. 알루미늄의 전구체들은 임의의 휘발성 알루미늄 공급원일 수 있다. 적합한 알루미늄 전구체들은 AlR3을 포함하지만 이에 제한되지 않으며, 여기서 R은 C, N, O, H, S, 또는 할라이드에 의해 Al에 결합된 임의의 리간드이다. 예를 들어, 알루미늄 전구체는 다음: 알킬 함유 알루미늄 화합물, 알루미늄 알콕시드 기재 화합물, 알루미늄 아미노 기재 화합물, 할로겐화알루미늄, 또는 이들의 조합들 중 하나 이상을 포함할 수 있다. 실시예에서, 알루미늄 전구체는 트리메틸알루미늄(TMA)이다. 전구체는 증기 압력을 증가시키기 위해 고온 캔에서 가열될 수 있고, 캐리어 가스(예를 들어, 초고순도(UHP) Ar, He, H2, N2 등)를 사용하여 챔버로 전달될 수 있다. 반응물은 산소 공급원, 질소 공급원, 탄소 공급원, 수소 공급원, 또는 이들의 조합들을 포함할 수 있다. 반응물은, O2, O2 플라즈마, NO, NO 플라즈마, N2O, N2O 플라즈마, H2O, H2O 플라즈마, D2O, O3, NH3, NH3 플라즈마, N2, N2 플라즈마, 히드라진, CO2, C1-C6 탄화수소들, H2, H2 플라즈마, 메탄올, 에탄올, 이소프로판올, 또는 Al 전구체로 구성된 군으로부터 선택될 수 있다.
일부 실시예들에서, 막(330)은 적어도 하나의 피쳐 상에 등각으로 형성된다. 본원에서 사용되는 바와 같이, "등각" 또는 "등각으로"라는 용어는, 막의 평균 두께에 대해 5% 미만의 변동을 갖는 두께로, 노출된 표면들에 접착되어 이 표면들을 균일하게 덮는 층을 지칭한다. 예를 들어, 1,000 Å 두께의 막은 두께에서 50 Å 미만의 변동들을 가질 것이다. 이러한 두께 및 변동은 함몰부들의 바닥, 측들, 코너들, 및 에지들을 포함한다. 예를 들어, 본 개시내용의 다양한 실시예들에서 ALD에 의해 증착된 등각 층은, 복잡한 표면들 상의 본질적으로 균일한 두께의 증착된 영역에 걸친 적용범위를 제공할 것이다.
일부 실시예들에서, 막(330)은 연속적인 막이다. 본원에 사용되는 바와 같이, "연속적인"이라는 용어는, 증착된 층 아래에 놓인 물질을 드러내는 갭들 또는 노출 부위들 없이, 전체 노출된 표면을 덮는 층을 지칭한다. 연속적인 층은, 막의 전체 표면적의 약 1% 미만의 표면적을 갖는 갭들 또는 노출 부위들을 가질 수 있다.
증착(420) 동안의 온도는, 예를 들어, 사용되는 전구체(들)에 따라 임의의 적합한 온도일 수 있다. 일부 실시예들에서, 증착 온도는 약 50 ℃ 내지 550 ℃의 범위, 또는 약 200 ℃ 내지 약 500 ℃의 범위, 또는 약 250 ℃ 내지 약 450 ℃의 범위에 있다. 증착(420)은 플라즈마와 함께 또는 플라즈마 없이 일어날 수 있다. 플라즈마는 용량성 결합된 플라즈마(CCP) 또는 유도성 결합된 플라즈마(ICP) 또는 마이크로파들일 수 있고, 직접 플라즈마 또는 원격 플라즈마일 수 있다. 증착(420) 동안의 처리 챔버 압력은 약 50 mTorr 내지 750 Torr의 범위, 또는 약 100 mTorr 내지 약 400 Torr의 범위, 또는 약 1 Torr 내지 약 100 Torr의 범위, 또는 약 6.5 Torr 내지 약 30 Torr의 범위에 있을 수 있다.
전형적으로, 플루오린 공급원들 단독으로는 Al 함유 막들을 식각하는데 효과적이지 않다. 이로써, 본원에서는 플루오린화 및 식각제의 사용을 수반하는 식각 프로세스가 개시된다. 이 프로세스는 비등각 식각을 초래하고, 식각률은 피쳐, 예를 들어, 트렌치의 바닥에서보다 최상부에서 더 크다. 플루오린화(430)는 플루오린화제로 또한 지칭되는 플루오라이드 공급원을 이용하여 Al 함유 막(AlCxOyNz; x, y, 및 z는 독립적으로 0-3의 범위에 있음)을 처리한다. 플루오린화제의 반응성은 AlCxOyNz를 AlF3으로 전환하기에 충분해야 한다. 플루오린화제는 플라즈마를 포함할 수 있다. 플라즈마는 방향성 플라즈마일 수 있다. 플루오린화제는 WF6, NF3 플라즈마, NbF5, XeF2, F2, TiF4, 플루오린화수소(HF), 피리딘-HF, 알킬아민-HF, 금속 할라이드, 또는 이들의 조합들을 포함할 수 있다. 실시예에서, 플루오린화제는: WF6, NF3 플라즈마, NbF5, XeF2, F2, TiF4, 알킬아민-HF, 금속 할라이드, HF, 또는 이들의 조합들을 포함한다.
일부 실시예들에 따르면, 피쳐의 바닥에 비해 최상부에서의 더 높은 플루오린화는 피쳐를 플라즈마 플루오린화 방법, 예컨대, NF3 플라즈마에 노출시킴으로써 유도된다. 플라즈마는 본질적으로 이온들의 존재로부터 방향성을 갖기 때문에, 10:1 이상의 종횡비를 갖는 구조를 플라즈마에 노출시킬 때, 이론에 얽매이는 것을 의도하지 않고, 바닥에 비해 최상부에서 더 많은 플루오린화가 존재할 것으로 예상된다. 유사하게, (예를 들어, 플루오린화 전구체 결핍을 사용하여) 피쳐의 최상부를 피쳐의 바닥에 대해 우선적으로 플루오린화하는 것은 비등각 플루오린화를 용이하게 할 수 있고, 따라서 식각제의 사용 시(440)에 전체적인 비등각 식각을 초래한다. 더 많은 AlF3이 존재하는 경우, 기판이 식각제로 포화되는 한, 더 많은 식각이 최상부에 그 다음 바닥에 있어야 한다.
일부 실시예들에서, 비등각 식각(또는 비등각 플루오린화)은 열 플루오린화 접근법(플라즈마 없음)에 의해 유도되고, 여기서 플루오린화 분자의 농도는, 바닥과 비교하여 트렌치의 최상부에 더 많은 플루오린화 분자들이 존재하도록 제어되어, 최상부에서의 더 많은 플루오린화 및 더 높은 식각률로 이어진다. 다른 양상에서, 플루오린화의 양은 또한, 기판 온도에 의해 결정될 수 있다(온도가 더 높을수록 플루오린화가 더 많다). Al 함유 막(330)의 플루오린화는 도 8b에 도시된 바와 같이 플루오린화된 부분(340)을 형성하는 플루오린화제에 기판 표면을 노출시키는 것을 포함한다. 플루오린화된 부분은 AlF3을 포함한다.
식각제의 사용(440)은 표면으로부터 AlF3을 제거한다. 식각제에 의한 포화는 남아있는 Al-F 결합들을 제거하는 것을 보조한다. 식각제는 표면으로부터 제거되는 휘발성 AlF 종들을 만들기 위해 AlF3과 반응할 수 있는 임의의 화합물로서 정의될 수 있다. 식각제는 표면에서 Al에 소정 유형의 리간드(L)를 전달하고 휘발성 LnAlFm 종을 형성하는 분자일 수 있다. 비제한적인 예들은 트리메틸알루미늄(TMA) 및 Sn(acac)2를 포함한다. 알루미늄 알킬들 및 일부 Sn(II) 화합물들이 또한, 식각제로서 사용될 수 있다. 하나 이상의 실시예에서, 식각제는 다음: R'3Al - R'는 알킬, 아민, 또는 이들의 조합들, 예를 들어, 트리메틸알루미늄(TMA)임 -; Sn(R'')2 - R''는 아세틸아세톤(acac), 헥사플루오로아세틸아세톤(hfac), 및 이들의 조합들임 -; Sn(R''')4 - R'''는 알킬, 아민, 에테르(예를 들어, 메톡시(OMe), 에톡시(OE), 이소프로폭시(OiPr), t-부톡시(Ot-Bu)) 또는 이들의 조합들임 -; 및 Sn(R'''')2 - R''''는 시클로펜타디에닐(Cp) 기재 리간드임 - 중 하나 이상을 포함할 수 있다. 식각제의 사용은 플루오린화된 부분을 제거하고 도 8c에 도시된 바와 같이 비등각으로 식각된 표면(345)을 달성한다. 비등각으로 식각된 표면(345)은 V형 프로파일을 갖는다. 식각제의 사용 후에 표면 상에 남아 있는 플루오린 잔류물들은 유리한 포이즈닝 효과일 수 있고, 이는 후속 Al 증착 동안 비등각 막이 형성될 수 있다는 것을 의미하며, 이는 상향식 갭충전에 유리하다.
도 9는 실시예에 따른 분자 관점에서의 식각 프로세스의 개략도이다. 이 예에서, NF3 플라즈마가 플루오라이드 공급원으로서 사용되고 TMA가 플루오린화알루미늄 식각제로서 사용된다. 이 예에서 발전된 혼합된 알킬 알루미늄 플루오라이드 종은 ~250 ℃까지 휘발성이 아니며, 그러므로 250 ℃ 미만에서는 식각이 없다.
식각제의 사용 후에, 막은 식각제에 의해 제거되지 않은 Al-F 결합들을 제거하기 위해 산화제에 선택적으로 노출될 수 있다. 적합한 산화 화학물질들은 O2 플라즈마(RPS 및 직접), 오존, 물, N2O, 및 임의의 Al-F 잔류물들을 다시 Al-O로 전환할 임의의 다른 산화 화학물질을 포함하지만, 이에 제한되지 않는다.
증착(420), 이어서 플루오린화(430), 식각제의 사용(440), 및 선택적 산화(470)는 갭충전 막이 완전히 형성되지 않았다면 반복된다. 도 8d는 Al 함유 막(330)에 의해 부분적으로 충전된 갭의 단면도를 제공한다. 프로세스는 (증착)a→(식각)b에 의해 정의될 수 있고, 여기서 "a" 및 "b"는 각각 독립적으로 증착 주기들 및 식각 주기들의 횟수이다. 하나 이상의 실시예에서, "a"는 0 내지 1000의 범위에 있고 "b"는 0 내지 1000의 범위에 있다.
갭충전 막(350)이 도 8e에 도시되고, 여기서 갭은 실질적으로, AlCxOyNz 막(x, y 및 z는 독립적으로 0-3의 범위에 있음)에 의해 완전히 충전되고 보이드들 또는 이음매들이 없다. 갭충전 막의 완료 시에, 갭충전된 기판은 증착/식각 루프를 빠져나와 하나 이상의 선택적 사후 프로세스(460)로 진행한다.
사후 프로세스들(460) 후의 갭충전 막(350)의 단면도가 도 8f에 도시되고, 여기서, 기판 표면(320) 상에 증착된 Al 함유 막(330)의 부분은 제거되고 갭 내부에만 남는다.
도 10은, 예를 들어, NF3 플라즈마 및 TMA를 사용하여 Al2O3 막이 식각된 예의 두께(Å) 대 온도(℃)의 그래프를 도시한다. TMA/N2, NF3 플라즈마를 이용하여 200 ℃, 250 ℃, 및 290 ℃에서 40 Å의 AlO이 식각되었다. 압력, % NF3, 주기들의 횟수, 및 플라즈마 전력은 각각 0.8 T, 10%, 30, 및 50 W였다. 도 10에 따르면, 200 ℃에서 AlF인 것으로 생각되는 막이 성장되었다. 250 ℃에서는 성장 또는 식각이 없었고, 290 ℃에서는 식각이 있었다. 이 결과들은 식각률이 온도에 의존함을 보여준다.
플루오린화의 정도는 NF3 플라즈마 처리의 공격성에 의존한다. % NF3, 플라즈마 전력, NF3 플라즈마 펄스 길이, 기판 온도, 및 챔버 압력을 제어함으로써, 플루오린화의 정도가 제어될 수 있다. 표면 플루오린화의 정도는 더 제어된 식각 프로세스를 달성하기 위해 최소화될 수 있다. 플루오린화의 정도가 제어되지 않으면, TMA의 큰 펄스가, 모든 AlF 종을 AlFx(CH3)y 종으로 전환하는 데 사용될 수 있고, TMA 펄스는 매우 큰 TMA 펄스가 주어질 때까지 포화되지 않을 수 있다. 도 11에 도시된 바와 같이, 2.5%의 NF3에서, NF3 플라즈마 펄스를 증가시키는 것은 식각률을 증가시켰다. 도 12는 TMA 펄스가 2.5% NF3 및 0.2 초 TMA 펄스로 포화될 수 있다는 것을 도시한다.
도 13은 증착/식각 주기들의 횟수의 함수로서 식각 두께(Å)의 그래프를 도시한다. 온도, 압력, % NF3, TMA 펄스, 및 플라즈마 전력은 각각 290 ℃, 0.8 T, 2.5%, 0.2 초, 50 W였다. 도 13에 도시된 바와 같이, 식각된 두께는 주기들의 횟수가 증가함에 따라 선형적으로 증가했다.
도 14a-b는 대조 예로서 기능하는 트렌치 피쳐를 갖는 10:1 종횡비 구조의 주사 전자 현미경(SEM) 이미지들을 제공한다. ~73 Å의 두께를 갖는 Al2O3의 막이, 290 ℃의 온도 및 0.8 Torr의 압력에서 전구체들로서 TMA 및 H2O를 사용하여 ALD에 의해 증착되었다. 증착된 막은 ~0.96의 측부/최상부 비율로 실질적으로 등각이었다.
도 15a-b는, 100회 (증착)a→(식각)b 주기들 - 여기서 a=1 및 b=1 - 을 수행한 후에 트렌치 피쳐를 갖는 10:1 종횡비 구조의 주사 전자 현미경(SEM) 이미지들을 제공한다. 증착 부문은 TMA 및 H2O로 수행되었고, 식각은 NF3 플라즈마에 이어서 TMA로 수행되었다. 온도는 290 ℃였고, 압력은 프로세스 동안 0.8 T였다. 식각 부문의 추가는 트렌치를 따라 비등각 성장을 제공한다는 것이 명백하다. 측부/최상부 비율은 ~0.67이었고, 이는 증착된 막이 대조군(도 14a-14b)의 경우보다 훨씬 덜 등각이었다는 것을 나타낸다.
이에 따라, 본 개시내용의 하나 이상의 실시예는 도 5에 도시된 것과 같은 배치 처리 챔버를 활용하는 처리 방법들에 관한 것이다. 기판(60)은 복수의 영역들(250a-h)을 갖는 처리 챔버 내에 배치되고, 각각의 영역은 가스 커튼(150)에 의해 인접 영역으로부터 분리된다. 기판 표면의 적어도 일부는 처리 챔버의 제1 처리 영역(250a)에서 제1 프로세스 조건에 노출된다. 일부 실시예들의 제1 프로세스 조건은 알루미늄 함유 전구체를 포함한다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제2 처리 영역(250b)으로 측방향으로 이동된다. 기판 표면은 제2 처리 영역(250b)에서 제2 프로세스 조건에 노출된다. 일부 실시예들의 제2 프로세스 조건은, AlCxOyNz로 표현되는 알루미늄 함유 전구체와 함께 막을 형성하기 위한 반응물을 포함하고, 여기서 x, y 및 z는 독립적으로 0-1의 범위에 있다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제3 영역(250c)으로 측방향으로 이동된다. 그 다음, 기판 표면은 제3 영역(250c)에서 제3 프로세스 조건에 노출될 수 있다. 일부 실시예들의 제3 프로세스 조건은 AlF3을 형성하기 위해 AlCxOyNz 막과 반응하는 플루오린화제를 포함한다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제4 영역(250d)으로 측방향으로 이동된다. 그 다음, 기판 표면은 제4 영역(250d)에서 제4 프로세스 조건에 노출될 수 있다. 일부 실시예들의 제4 프로세스 조건은 제거를 위한 휘발성 종들을 만들기 위해 AlF3과 반응하는 식각제를 포함한다.
일부 실시예들에서, 기판은 미리 결정된 막 두께를 갖는 막을 형성하기 위해 추가적인 제1 및 제2 프로세스 조건들에 노출된다. 일부 실시예들에서, 기판은 기판 표면을 반복 식각하기 위해 추가적인 제3 및 제4 프로세스 조건들에 노출된다.
선택적으로, 기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제5 영역(250e)으로 측방향으로 이동된다. 그 다음, 기판 표면은 제5 영역(250e)에서 제5 프로세스 조건에 노출될 수 있다. 일부 실시예들의 제5 프로세스 조건은 Al-O 결합들을 만들기 위해 Al-F 결합들과 반응하는 산화제를 포함한다.
하나 이상의 실시예에 따르면, 기판은 층을 형성하기 이전에 및/또는 이후에 처리를 겪는다. 이러한 처리는 동일한 챔버에서 또는 하나 이상의 별개의 처리 챔버에서 수행될 수 있다. 일부 실시예들에서, 기판은 추가의 처리를 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 처리 챔버로 직접 이동될 수 있거나, 기판은 제1 챔버로부터 하나 이상의 이송 챔버로 이동된 다음, 별개의 처리 챔버로 이동될 수 있다. 이에 따라, 처리 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터형 시스템" 등으로 지칭될 수 있다.
일반적으로, 클러스터 툴은, 기판 중심 찾기 및 배향, 탈가스, 어닐링, 증착 및/또는 식각을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈형 시스템이다. 하나 이상의 실시예에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 처리 챔버들과 로드 록 챔버들 사이에서 그리고 그들 간에 기판들을 왕복시킬 수 있는 로봇을 수납할 수 있다. 이송 챔버는 전형적으로, 진공 조건으로 유지되며, 기판들을 하나의 챔버로부터, 클러스터 툴의 전단부에 위치된 로드 록 챔버 및/또는 다른 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 개시내용에 적응될 수 있는 2개의 잘 알려진 클러스터 툴들은 센츄라®(Centura®) 및 엔듀라®(Endura®)이며, 이 둘 모두는 캘리포니아주 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에 설명되는 바와 같은 프로세스의 특정 단계들을 수행할 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 처리 챔버들은, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 사전 세정, 화학적 세정, 열 처리, 예컨대, RTP, 플라즈마 질화, 탈가스, 배향, 히드록실화 및 다른 기판 프로세스들을 포함하지만, 이에 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염은, 후속 막을 증착시키기 전에 산화 없이 회피될 수 있다.
하나 이상의 실시예에 따르면, 기판은 계속적으로 진공 또는 "로드 록" 조건들 하에 있고, 한 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운"된다. 처리 챔버들 또는 이송 챔버들에 불활성 가스들이 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 반응물들의 일부 또는 전부를 제거하기 위한 퍼지 가스로서 사용된다. 하나 이상의 실시예에 따르면, 반응물들이 증착 챔버로부터 이송 챔버 및/또는 추가적인 처리 챔버로 이동하는 것을 방지하기 위해, 퍼지 가스가 증착 챔버의 출구에 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에 커튼을 형성한다.
기판은 단일 기판 증착 챔버들에서 처리될 수 있으며, 여기서, 다른 기판이 처리되기 전에 단일 기판이 로딩되고, 처리되고 언로딩된다. 기판은 또한, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩되는, 컨베이어 시스템과 유사한 연속적인 방식으로 처리될 수 있다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 추가적으로, 처리 챔버는 캐러셀일 수 있고, 이 캐러셀에서, 다수의 기판들이 중심 축을 중심으로 이동되고 캐러셀 경로 전체에 걸쳐 증착, 식각, 어닐링, 세정 등의 프로세스들에 노출된다.
처리 동안, 기판은 가열되거나 냉각될 수 있다. 이러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키고 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하지만 이에 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는 기판 온도를 전도식으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예에서, 채용되는 가스들(반응성 가스들 또는 불활성 가스들)은 기판 온도를 국부적으로 변화시키기 위해 가열되거나 냉각된다. 일부 실시예들에서, 가열기/냉각기는 기판 온도를 대류식으로 변화시키기 위해, 챔버 내에 기판 표면에 인접하여 위치된다.
기판은 또한, 처리 동안 회전되거나 고정식일 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스에 걸쳐 회전될 수 있거나, 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 적은 양만큼 회전될 수 있다. 처리 동안 기판을 (연속적으로 또는 단계들로) 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 식각을 생성하는 것을 도울 수 있다.
원자 층 증착 유형의 챔버들에서, 기판은 공간적으로 또는 시간적으로 분리된 프로세스들의 제1 및 제2 전구체들에 노출될 수 있다. 시간적 ALD는 제1 전구체가 챔버 내로 유동하여 표면과 반응하는 전통적인 프로세스이다. 제1 전구체는 제2 전구체를 유동시키기 전에 챔버로부터 퍼징된다. 공간적 ALD에서, 전구체들의 혼합을 방지하는 영역이 유동들 사이에 존재하도록, 제1 및 제2 전구체들 양쪽 모두가 챔버로 동시에 유동되지만 공간적으로 분리된다. 공간적 ALD에서, 기판은 가스 분배 플레이트에 대해 이동되거나, 그 반대의 경우도 마찬가지이다.
실시예들에서, 방법들의 부분들 중 하나 이상이 하나의 챔버에서 발생하는 경우, 프로세스는 공간적 ALD 프로세스일 수 있다. 위에서 설명된 화학물질들 중 하나 이상이 양립가능하지 않을 수 있지만(즉, 기판 표면 상 이외에서의 반응을 초래하고/하거나 챔버 상에 증착됨), 공간적 분리는 시약들이, 기상으로 서로에게 노출되지 않는 것을 보장한다. 예를 들어, 시간적 ALD는 증착 챔버를 퍼징하는 것을 수반한다. 그러나, 실제로는 추가적인 시약의 유동 이전에 과잉 시약 전부를 챔버로부터 퍼징하는 것이 때로는 가능하지 않다. 그러므로, 챔버의 임의의 남은 시약이 반응할 수 있다. 공간적 분리의 경우, 과잉 시약이 퍼징될 필요가 없고, 교차 오염이 제한된다. 게다가, 챔버를 퍼징하기 위해 많은 시간이 걸릴 수 있고, 그러므로 퍼징 단계를 제거함으로써 처리량이 증가될 수 있다.
본 명세서 전체에 걸친 "일 실시예", "특정 실시예들", "하나 이상의 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정 특징, 구조, 물질, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전체에 걸쳐 다양한 곳들에서 "하나 이상의 실시예에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 구문들의 출현들은, 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 특징들, 구조들, 물질들, 또는 특성들은 하나 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다.
본원의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 본 개시내용의 원리들 및 응용들을 단지 예시하는 것임을 이해해야 한다. 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 본 개시내용의 사상 및 범위로부터 벗어나지 않고 이루어질 수 있다는 것이 관련 기술분야의 통상의 기술자에게 명백할 것이다. 따라서, 본 개시내용이, 첨부된 청구항들 및 그들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것이 의도된다.

Claims (15)

  1. 처리 방법으로서,
    기판 표면의 피쳐에 알루미늄 함유 갭충전 막을 상향 방식으로 증착시키는 단계를 포함하고, 상기 피쳐는 상기 기판 표면으로부터 바닥 표면으로 소정 깊이만큼 연장되고, 상기 적어도 하나의 피쳐는 제1 측벽 및 제2 측벽에 의해 한정된 폭을 갖는, 처리 방법.
  2. 제1항에 있어서,
    상기 상향 방식으로 상기 알루미늄 함유 갭충전 막을 증착시키는 단계는:
    상기 기판 표면 및 상기 피쳐의 상기 제1 측벽, 상기 제2 측벽 및 상기 바닥 표면 상에 알루미늄 함유 전구체를 증착시킴으로써 알루미늄 함유 막을 형성하는 단계; 및
    상기 기판 표면을 비등각으로 식각하는 단계를 포함하는, 처리 방법.
  3. 제2항에 있어서,
    상기 피쳐의 상기 깊이 및 폭이 보이드들 없이 충전되도록, 상기 알루미늄 함유 갭충전 막이 형성될 때까지 상기 알루미늄 함유 전구체를 증착시키고 상기 기판 표면을 비등각으로 식각함으로써 상기 알루미늄 함유 막을 형성하는 단계를 반복하는 단계를 더 포함하는, 처리 방법.
  4. 제1항에 있어서,
    상기 알루미늄 함유 갭충전 막은 약 10 Å 내지 약 10000 Å 범위의 두께를 갖는, 처리 방법.
  5. 제1항에 있어서,
    상기 알루미늄 함유 갭충전 막은 AlCxOyNz에 따른 종을 포함하고, x, y 및 z는 독립적으로 0-3의 범위에 있는, 처리 방법.
  6. 제1항에 있어서,
    상기 피쳐에 상기 알루미늄 함유 갭충전 막을 증착시키는 단계는 상기 기판 표면을 알루미늄 함유 전구체, 반응물, 플루오린화제, 및 식각제에; 또는 반응물, 알루미늄 함유 전구체, 플루오린화제, 및 식각제에 순차적으로 노출시키는 단계를 포함하는, 처리 방법.
  7. 제6항에 있어서,
    상기 알루미늄 함유 전구체는 트리메틸알루미늄(TMA)을 포함하고, 상기 플루오린화제는 NF3 플라즈마를 포함하고, 상기 식각제는 트리메틸알루미늄(TMA)을 포함하는, 처리 방법.
  8. 제1항에 있어서,
    상기 피쳐는 5:1 이상의 종횡비를 갖는, 처리 방법.
  9. 제1항에 있어서,
    상기 알루미늄 함유 갭충전 막은 보이드들 또는 이음매들이 없는, 처리 방법.
  10. 처리 방법으로서,
    기판 표면을 처리 챔버에 위치시키는 단계 - 상기 기판 표면은 상기 기판 표면 상에 적어도 하나의 피쳐를 갖고, 상기 적어도 하나의 피쳐는 바닥, 최상부, 및 측벽들로 갭을 생성함 -;
    상기 기판 표면 상에 등각 알루미늄 함유 막을 형성하기 위해, 상기 기판 표면을 알루미늄 함유 전구체 및 반응물에 노출시키는 단계;
    상기 피쳐의 상기 바닥에 비해 상기 피쳐의 상기 최상부에서 상기 등각 알루미늄 함유 막을 우선적으로 식각하기 위해 상기 기판 표면을 플루오린화제 및 식각제에 노출시키는 단계;
    상기 우선 식각 후에 상기 기판 표면을 산화제에 선택적으로 노출시키는 단계; 및
    상기 피쳐의 상기 갭을 상향 방식으로 충전하기 위해, 상기 전구체 및 상기 반응물에 대한 순차적 노출 및 그 후의 상기 플루오린화제 및 상기 식각제 및 선택적인 상기 산화제에 대한 노출을 반복하는 단계를 포함하는, 처리 방법.
  11. 제10항에 있어서,
    상기 플루오린화제는 플라즈마를 포함하는, 처리 방법.
  12. 제11항에 있어서,
    상기 플라즈마는 방향성 플라즈마인, 처리 방법.
  13. 제10항에 있어서,
    상기 기판 표면은 상기 전구체 및 상기 반응물에 대한 각각의 순차적 노출 이전에 상기 플루오린화제 및 상기 식각제에 순차적으로 노출되는, 처리 방법.
  14. 처리 방법으로서,
    기판 표면을 갖는 기판을 복수의 섹션들을 포함하는 처리 챔버 내에 배치하는 단계 - 각각의 섹션은 인접한 섹션들로부터 가스 커튼에 의해 분리되고, 상기 기판 표면은 최상부, 바닥, 측들, 및 10:1 이상의 종횡비를 갖는 적어도 하나의 피쳐를 가짐 -;
    상기 기판 표면의 적어도 일부를 상기 처리 챔버의 제1 섹션에서 제1 프로세스 조건에 노출시키는 단계 - 상기 제1 프로세스 조건은 알루미늄 함유 전구체를 포함함 -;
    상기 기판 표면을 가스 커튼을 통해 상기 처리 챔버의 제2 섹션으로 측방향으로 이동시키는 단계;
    상기 기판 표면을 상기 처리 챔버의 상기 제2 섹션에서 제2 프로세스 조건에 노출시키는 단계 - 상기 제2 프로세스 조건은 상기 알루미늄 함유 전구체로 막을 형성하기 위해 반응물을 포함하고, 상기 막은 AlCxOyNz에 따른 종을 포함하고, x, y 및 z는 독립적으로 0-3의 범위에 있음 -;
    상기 기판 표면을 가스 커튼을 통해 상기 처리 챔버의 제3 섹션으로 측방향으로 이동시키는 단계;
    상기 기판 표면을 상기 처리 챔버의 상기 제3 섹션에서 제3 프로세스 조건에 노출시키는 단계 - 상기 제3 프로세스 조건은 플루오린화제를 포함함 -;
    상기 기판 표면을 가스 커튼을 통해 상기 처리 챔버의 제4 섹션으로 측방향으로 이동시키는 단계;
    상기 기판 표면을 상기 처리 챔버의 상기 제4 섹션에서 제4 프로세스 조건에 노출시키는 단계 - 상기 제4 프로세스 조건은 식각제를 포함함 -; 및
    선택적으로, 상기 기판 표면을 가스 커튼을 통해 상기 처리 챔버의 제5 섹션으로 측방향으로 이동시키는 단계;
    선택적으로, 상기 기판 표면을 상기 처리 챔버의 상기 제5 섹션에서 제5 프로세스 조건에 노출시키는 단계 - 상기 제5 프로세스 조건은 산화제를 포함함 -; 및
    상기 피쳐를 충전하기 위해, 상기 기판 표면의 측방향 이동을 포함하여 상기 제1 섹션, 상기 제2 섹션, 상기 제3 섹션, 상기 제4 섹션, 및 선택적으로 상기 제5 섹션에 대한 노출을 반복하는 단계를 포함하는, 처리 방법.
  15. 제14항에 있어서,
    상기 알루미늄 함유 전구체는 트리메틸알루미늄(TMA)을 포함하고, 상기 플루오린화제는 NF3 플라즈마를 포함하고, 상기 식각제는 트리메틸알루미늄(TMA)을 포함하는, 처리 방법.
KR1020217011360A 2018-09-21 2019-09-20 알루미늄 함유 막들을 이용한 갭충전 KR102649084B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862734625P 2018-09-21 2018-09-21
US62/734,625 2018-09-21
PCT/US2019/052095 WO2020061417A1 (en) 2018-09-21 2019-09-20 Gap-fill with aluminum-containing films

Publications (2)

Publication Number Publication Date
KR20210048565A true KR20210048565A (ko) 2021-05-03
KR102649084B1 KR102649084B1 (ko) 2024-03-20

Family

ID=69884014

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217011360A KR102649084B1 (ko) 2018-09-21 2019-09-20 알루미늄 함유 막들을 이용한 갭충전

Country Status (4)

Country Link
US (1) US20200095674A1 (ko)
KR (1) KR102649084B1 (ko)
CN (1) CN112740397A (ko)
WO (1) WO2020061417A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2024513173A (ja) * 2021-03-26 2024-03-22 東京エレクトロン株式会社 アルミニウムアルコキシド酸化剤を用いた半導体デバイスのための酸化アルミニウム膜の原子層堆積
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
US20240035149A1 (en) * 2022-07-29 2024-02-01 Applied Materials, Inc. Bottom up molybdenum gapfill

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090127648A1 (en) * 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20150255324A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
WO2017213842A2 (en) * 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
KR20180002774A (ko) * 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
KR20180083441A (ko) * 2015-12-10 2018-07-20 어플라이드 머티어리얼스, 인코포레이티드 공간적 원자 층 증착을 이용한 인-시튜 막 어닐링

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7271112B1 (en) * 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US8088685B2 (en) * 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
JP6938491B2 (ja) * 2015-11-13 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials, Inc. 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置
US10546772B2 (en) * 2016-03-30 2020-01-28 Intel Corporation Self-aligned via below subtractively patterned interconnect
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
JP6728087B2 (ja) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090127648A1 (en) * 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20150255324A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
KR20180002774A (ko) * 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
KR20180083441A (ko) * 2015-12-10 2018-07-20 어플라이드 머티어리얼스, 인코포레이티드 공간적 원자 층 증착을 이용한 인-시튜 막 어닐링
WO2017213842A2 (en) * 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Younghee Lee et al., "Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-limiting Thermal Reactions", Chem. Mater. 2016, 28, pp. 2994-3003 *

Also Published As

Publication number Publication date
KR102649084B1 (ko) 2024-03-20
TW202025285A (zh) 2020-07-01
WO2020061417A1 (en) 2020-03-26
US20200095674A1 (en) 2020-03-26
CN112740397A (zh) 2021-04-30

Similar Documents

Publication Publication Date Title
KR102527897B1 (ko) 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
US20180142348A1 (en) Selective Deposition Of Aluminum Oxide On Metal Surfaces
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
US20220172989A1 (en) Nucleation-Free Gap Fill ALD Process
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
US20170114453A1 (en) Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
KR102649084B1 (ko) 알루미늄 함유 막들을 이용한 갭충전
US20160307748A1 (en) Deposition Of Si-H Free Silicon Nitride
US11515144B2 (en) In-situ film annealing with spatial atomic layer deposition
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
TWI833804B (zh) 含鋁膜的間隙填充
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
US11978625B2 (en) Methods of forming metal nitride films
US11515145B2 (en) Deposition of silicon boron nitride films
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)