KR20200126011A - 금속 상호접속 층을 위한 중간 층 - Google Patents

금속 상호접속 층을 위한 중간 층 Download PDF

Info

Publication number
KR20200126011A
KR20200126011A KR1020207030669A KR20207030669A KR20200126011A KR 20200126011 A KR20200126011 A KR 20200126011A KR 1020207030669 A KR1020207030669 A KR 1020207030669A KR 20207030669 A KR20207030669 A KR 20207030669A KR 20200126011 A KR20200126011 A KR 20200126011A
Authority
KR
South Korea
Prior art keywords
layer
metal
substrate
depositing
metal interconnect
Prior art date
Application number
KR1020207030669A
Other languages
English (en)
Inventor
정이 유
사만다 시암화 탄
보리스 볼로스키
아르투르 콜릭스
양 판
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200126011A publication Critical patent/KR20200126011A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Abstract

기판 상에 금속 상호접속 층을 증착하는 방법은 프로세싱 챔버의 기판 지지부 상에 기판을 배치하는 단계 및 접착 층, 확산 배리어, 및 시드 층 중 적어도 하나로서 기능하도록 구성된 중간 층을 기판 상에 증착하는 단계를 포함한다. 중간 층을 증착하는 단계는 금속-실리사이드 (M-Si) 결합을 갖는 제 1 재료를 포함하는 금속-유기 전구체를 공급하는 것을 포함한다. 방법은 중간 층 상에 금속 상호접속 층을 증착하는 단계를 더 포함한다.

Description

금속 상호접속 층을 위한 중간 층
관련 출원들에 대한 교차 참조
본 출원은 2018년 3월 26일 출원된 미국 특허 가출원 번호 제 62/648,035 호의 이익을 주장한다. 참조된 본 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
본 개시는 기판 프로세싱 시스템의 금속 상호접속 층을 위한 접착 층의 증착에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
반도체 웨이퍼들과 같은 기판들의 프로세싱 동안, 증착, 패터닝, 에칭, 포토레지스트 제거, 등을 포함하는 다양한 기판 처리들이 수행된다. 증착을 수행하기 위한 기판 프로세싱 시스템들은 통상적으로 페데스탈, ESC (electrostatic chuck), 등과 같은, 기판 지지부를 갖는 프로세싱 챔버를 포함한다. 기판은 기판 지지부 상에 배치되고 하나 이상의 프로세스 가스들 (예를 들어, 전구체 가스들, 캐리어 가스들, 등) 이 가스 전달 시스템을 사용하여 프로세싱 챔버 내로 도입될 수도 있다.
일부 예들에서, 프로세스들은 이로 제한되는 것은 아니지만, 기판 상에 박막을 증착하기 위해 화학적 기상 증착 (CVD), 및/또는 원자 층 증착 (ALD) 을 포함하는 증착 프로세스들을 사용한다. PECVD (plasma enhanced CVD) 프로세스와 같은, 일부 예들에서, 플라즈마는 화학 반응들을 활성화하도록 사용될 수도 있다.
기판 상에 금속 상호접속 층을 증착하는 방법은 프로세싱 챔버의 기판 지지부 상에 기판을 배치하는 단계 및 접착 층, 확산 배리어, 및 시드 층 중 적어도 하나로서 기능하도록 구성된 중간 층을 기판 상에 증착하는 단계를 포함한다. 중간 층을 증착하는 단계는 금속-실리사이드 (M-Si) 결합을 갖는 제 1 재료를 포함하는 금속-유기 전구체를 공급하는 것을 포함한다. 방법은 중간 층 상에 금속 상호접속 층을 증착하는 단계를 포함한다.
다른 특징들에서, 금속 상호접속 층은 중간 층의 M-Si 결합과 동일한 금속을 포함한다. 기판은 실리콘 다이옥사이드 (SiO2) 층, 로우-k 유전체 층, ULK (ultra-low-k) 유전체 층, 열적 옥사이드 (TOx) 층, 실리콘 나이트라이드 (SiN) 층, 실리콘 층, 및 금속 옥사이드 (예를 들어, MOx) 유전체 층 중 적어도 하나에 대응하는 하부 층을 포함하고 그리고 접착 중간 층은 하부 층 상에 증착된다.
다른 특징들에서, 중간 층을 증착하는 단계는 실란, 암모니아, 수소, 염소, 브롬, 불소, 아르곤, 및 헬륨 가스들 중 하나 이상을 금속-유기 전구체에 공급하는 것을 더 포함한다. 중간 층을 증착하는 단계는 금속-유기 전구체가 프로세싱 챔버로 공급되는 동안 프로세싱 챔버 내에서 플라즈마를 활성화하는 것을 더 포함한다. 중간 층을 증착하는 단계는 금속-유기 전구체를 공급하는 단계 및 프로세싱 챔버 내에서 플라즈마를 활성화하는 단계를 교번하는 것을 포함한다. 일부 예들에서, 금속-유기 전구체는 프로세싱 챔버가 300 ℃ 이상으로 가열되고 유지되는 것 중 적어도 하나인 동안 공급된다. 중간 층을 증착하는 단계는 금속-유기 전구체를 공급하는 단계 및 프로세싱 챔버 내에서 광 조사 (photo irradiation) 를 활성화하는 단계를 교번하는 것을 포함한다.
다른 특징들에서, 중간 층은 0.3 내지 300 ㎚의 두께를 갖는다. 금속 M은 코발트 (Co), 니켈 (Ni), 철 (Fe), 구리 (Cu), 텅스텐 (W), 탄탈룸 (Ta), 티타늄 (Ti), 몰리브덴 (Mo), 루테늄 (Ru), 알루미늄 (Al), 크롬 (Cr), 마그네슘 (Mg), 및 이리듐 (Ir) 중 적어도 하나를 포함한다. 금속-유기 전구체는 테트라카보닐(트리클로로실릴)코발트를 포함한다. 중간 층은 MxSiy 막이고, 여기서 x 및 y는 정수들이다. MxSiy 막은 CoxSiy 막이다. 금속 상호접속 층을 증착하는 단계는 화학적 기상 증착, 원자 층 증착, 및 무전해 증착 중 적어도 하나를 사용하여 금속 상호접속 층을 증착하는 것을 포함한다.
프로세싱 챔버 내의 기판 지지부 상에 배치된 기판 상에 금속 상호접속 층을 증착하기 위한 시스템은 프로세싱 챔버로 하나 이상의 프로세스 가스들을 공급하도록 구성된 가스 전달 시스템, 및 제어기로서, 금속-실리사이드 (M-Si) 결합을 갖는 제 1 재료를 포함하는 금속-유기 전구체를 프로세싱 챔버 내로 공급함으로써 기판 상에 접착 층, 확산 배리어, 및 시드 층 중 적어도 하나로서 기능하도록 구성된 중간 층을 증착하고, 그리고 중간 층 상에 금속 상호접속 층을 증착하도록 가스 전달 시스템을 제어하도록 구성되는, 제어기를 포함한다.
다른 특징들에서, 제어기는 중간 층의 M-Si 결합과 동일한 금속을 포함하는 금속 상호접속 층을 증착하기 위해 가스 전달 시스템을 제어하도록 구성된다. 기판은 실리콘 다이옥사이드 (SiO2) 층, 로우-k 유전체 층, ULK (ultra-low-k) 유전체 층, 열적 옥사이드 (TOx) 층, 실리콘 나이트라이드 (SiN) 층, 실리콘 층, 및 금속 옥사이드 (예를 들어, MOx) 유전체 층 중 적어도 하나에 대응하는 하부 층을 포함하고 그리고 제어기는 하부 층 상에 중간 층을 증착하기 위해 가스 전달 시스템을 제어하도록 구성된다.
다른 특징들에서, 제어기는 실란, 암모니아, 수소, 염소, 브롬, 불소, 아르곤, 및 헬륨 가스들 중 하나 이상을 금속-유기 전구체에 더 공급함으로써 중간 층을 증착하기 위해 가스 전달 시스템을 제어하도록 구성된다. 제어기는 중간 층을 증착하기 위해 금속-유기 전구체가 프로세싱 챔버로 공급되는 동안 프로세싱 챔버 내에서 플라즈마를 활성화하도록 구성된다. 제어기는 금속-유기 전구체를 공급하고 중간 층을 증착하기 위해 프로세싱 챔버 내에서 플라즈마를 활성화하도록 가스 전달 시스템을 교번적으로 제어하도록 구성된다. 일부 예들에서, 제어기는 프로세싱 챔버가 300 ℃ 이상으로 가열되고 유지되는 것 중 적어도 하나인 동안 금속-유기 전구체를 공급하기 위해 가스 전달 시스템을 제어하도록 구성된다. 제어기는 중간 층을 증착하도록 금속-유기 전구체를 공급하고 프로세싱 챔버 내에서 광 조사를 활성화하기 위해 가스 전달 시스템을 교번적으로 제어하도록 구성된다.
다른 특징들에서, 중간 층은 0.3 내지 300 ㎚의 두께를 갖는다. 금속 M은 코발트 (Co), 니켈 (Ni), 철 (Fe), 구리 (Cu), 텅스텐 (W), 탄탈룸 (Ta), 티타늄 (Ti), 몰리브덴 (Mo), 루테늄 (Ru), 알루미늄 (Al), 크롬 (Cr), 마그네슘 (Mg), 및 이리듐 (Ir) 중 적어도 하나를 포함한다. 금속-유기 전구체는 테트라카보닐(트리클로로실릴)코발트를 포함한다. 중간 층은 MxSiy 막이고, 여기서 x 및 y는 정수들이다. MxSiy 막은 CoxSiy 막이다. 제어기는 금속 상호접속 층을 증착하기 위해 화학적 기상 증착, 원자 층 증착, 및 무전해 증착 중 적어도 하나를 사용하여 금속 상호접속 층을 증착하기 위해 가스 전달 시스템을 제어하도록 구성된다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 하고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른, 기판 프로세싱 시스템의 일 예의 기능적 블록도이다.
도 2는 본 개시에 따른, 또 다른 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 3은 본 개시에 따른, 예시적인 접착 층 증착 프로세스이다.
도 4는 본 개시에 따른, 접착 층 및 금속 상호접속 층을 증착하는 예시적인 방법이다.
도 5는 본 개시에 따른, 접착 층 및 금속 상호접속 층을 증착하는 또 다른 예시적인 방법이다.
도 6은 본 개시에 따른, 접착 층 및 금속 상호접속 층을 증착하는 또 다른 예시적인 방법이다.
도 7은 본 개시에 따른, 접착 층 및 금속 상호접속 층을 증착하는 또 다른 예시적인 방법이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
이로 제한되는 것은 아니지만, 원자 층 증착 (ALD), 화학적 기상 증착 (CVD), 및 PECVD (plasma enhanced CVD) 프로세스들을 포함하는 증착 프로세스들은 하부 층 또는 기판 상에 막을 증착하도록 사용될 수도 있다. 예를 들어, 다양한 증착 프로세스들은 금속 박막 (예를 들어, 금속 상호접속 층) 과 같은 박막을 증착하도록 사용될 수도 있다.
코발트 (Co) 와 같은, 일부 예시적인 금속들은 금속 상호접속 층을 위해 보다 바람직한 속성들을 가질 수도 있다. 예를 들어, 코발트는 금속 상호접속 층으로 사용될 수도 있는 다른 금속들과 비교하여 보다 낮은 저항률, 스케일링 인자, 및 용융점과 같은 바람직한 속성들을 갖는다. 그러나, 하부 층으로 금속 상호접속 층의 접착은 불만족스러울 수도 있다. 예를 들어, 코발트 층과 실리콘 다이옥사이드 (SiO2) 층 또는 ULK (ultra-low-k) 유전체 층과 같은 하부 층 사이의 접착은 불만족스러울 수도 있어, 코발트 층으로 하여금 하부 층으로부터 디라미네이트 (delaminate) (즉, 분리) 되게 한다.
이에 따라, 일부 프로세스들은 금속 박막의 접착을 용이하게 하도록 하부 층 상에 중간 접착 층을 증착할 수도 있다. 접착 층은 하부 층 상에 증착되고 코발트 층은 접착 층 상에 증착된다. 접착 층은 하부 층에 고 접착력을 가져야 하지만, 디바이스의 전체 두께를 최소화하고 전기 저항을 제한하도록 매우 박형이어야 한다. 일부 예들에서, 코발트 층의 후속 증착을 위한 시드 층으로서 기능하도록 접착 층으로 더 바람직하다. 일부 예시적인 접착 층들은 이로 제한되는 것은 아니지만, 탄탈룸 나이트라이드 (TaN), 티타늄 나이트라이드 (TiN), 텅스텐 탄소 나이트라이드 (WCN), 등을 포함한다. 그러나, 적절한 접착을 달성하는 한편 또한 이들 기존 접착 층 재료들을 사용하여 다른 목표된 속성들을 달성하는 것은 어렵다.
본 개시의 원리들에 따른 시스템들 및 방법들은 금속 상호접속 층 (예를 들어, 코발트) 에 증착될 금속을 갖는 재료 및 실리콘을 포함하는 중간 층 (예를 들어, 접착 층) 을 구현한다. 예를 들어, 접착 층의 증착은 박형 MxSiy 막을 증착하기 위해 금속 실리사이드 (MSi) 결합 (예를 들어, 테트라카보닐(트리클로로실릴)코발트) 을 포함하는 금속-유기 전구체를 공급하는 것을 포함한다. 달리 말하면, 접착 층은 MSi 결합을 이미 포함하는 분자들을 포함한다. MxSiy 막은 금속 층을 위한 접착 층 및/또는 시드 층으로 기능한다. 일부 예들에서, 금속 실리사이드 결합은 코발트 실리사이드 (CoSi) 결합을 포함할 수도 있고, 박막은 CoxSiy 막을 포함하고, 그리고 금속 층은 코발트 층을 포함한다.
일 예에서, 테트라카보닐(트리클로로실릴)코발트는 하부 층 상에 CoxSiy 박막을 증착하기 위해 플라즈마 단계들 (예를 들어, 수소 (H2) 플라즈마 또는 실란 (SiH4) 플라즈마) 과 교번하는 증착 단계들 (예를 들어, 교번하는 기간들) 에 공급된다. 예를 들어, 플라즈마는 CoxSiy 혼합물로부터 리간드들을 제거하기 위해 교번하는 단계들 사이에 활성화될 수도 있다. 증착된 CoxSiy 박막은 0.3 내지 300 ㎚의 두께를 가질 수도 있다. 일부 예들에서, CoxSiy 박막은 10 ㎚ 미만 (예를 들어, 0.3 내지 5 ㎚) 의 두께를 가질 수도 있다.
이어서 금속 층 (예를 들어, 코발트 층) 은 예를 들어, CVD, ALD, 무전해 증착 (ELD), 등을 사용하여, MxSiy 박막 상에 증착된다. MxSiy 는 코발트 층의 증착을 용이하게 하도록 접착 층 및/또는 시드 층으로서 기능한다. 이러한 방식으로, M-Si 결합을 이미 포함하는 분자들을 포함하는 접착 층을 증착하는 단계는 개선된 접착력을 갖는 매우 박형 (예를 들어, 몇몇 모노레이어들) 막을 제공한다. 또한, 매우 박형의 접착 층은 디바이스의 저항률 및 전체 두께를 최소화한다. 일부 예들에서, 증착된 MxSiy 박막은 확산 배리어로서 더 기능할 수도 있다.
일부 예들에서, MxSiy 박막은 플라즈마를 사용하지 않고 증착된다. 예를 들어, 상기 기술된 바와 같이 증착 단계들과 플라즈마 단계들을 교번하는 대신, 프로세싱 챔버가 MxSiy (예를 들어, CoxSiy) 혼합물로부터 리간드들을 열적으로 제거하기 위해 고온 (예를 들어, 300 ℃ 초과 또는, 일부 예들에서, 600 ℃ 초과) 으로 유지되는 동안 테트라카보닐(트리클로로실릴)코발트가 공급될 수도 있다.
이제 도 1을 참조하면, 본 개시의 원리들에 따라 접착 층 증착 프로세스를 수행하도록 구성된 기판 프로세싱 시스템 (100) 의 일 예가 도시된다. 본 개시는 동일한 프로세싱 챔버에서 접착 층 및 금속 (예를 들어, 코발트) 층의 증착을 수행하는 단계를 기술하지만, 2 개 이상의 별도의 프로세싱 챔버들이 사용될 수도 있다. 또한, 간결성을 위해 접착 층으로 본 개시에 기술될 때 본 개시의 증착 프로세스는 접착 층, 확산 배리어, 및 시드 층 중 하나 이상으로서 기능하도록 구성될 수도 있는 중간 층의 증착에 대응할 수도 있다.
기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 사용된다면 RF 플라즈마를 담는 프로세싱 챔버 (104) 를 포함한다. 기판 프로세싱 시스템 (100) 은 상부 전극 (108) 및 하부 전극 (116) 을 포함하는 페데스탈 (112) 과 같은 기판 지지부를 포함한다. 기판 (120) 은 상부 전극 (108) 과 하부 전극 (116) 사이의 페데스탈 (112) 상에 배치된다. 예를 들어, 기판 (120) 은 이하에 보다 상세히 기술된 바와 같이 AlN 층을 포함할 수도 있다.
단지 예를 들면, 상부 전극 (108) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (124) 를 포함할 수도 있다. 대안적으로, 상부 전극 (108) 은 도전 플레이트를 포함할 수도 있고 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다. 하부 전극 (116) 은 비도전 페데스탈로 배치될 수도 있다. 대안적으로, 페데스탈 (112) 은 하부 전극 (116) 으로 작용하는 도전 플레이트를 포함하는 정전 척을 포함할 수도 있다.
RF 생성 시스템 (128) 이 RF 전압을 생성하고 플라즈마가 사용된다면 상부 전극 (108) 및 하부 전극 (116) 중 하나에 출력한다. 상부 전극 (108) 및 하부 전극 (116) 중 다른 하나는 DC 접지되거나, AC 접지되거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (128) 은 매칭 및 분배 네트워크 (136) 에 의해 상부 전극 (108) 또는 하부 전극 (116) 으로 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (132) 를 포함할 수도 있다.
예시적인 가스 전달 시스템 (140) 은 하나 이상의 가스 소스들 (144-1, 144-2, …및 144-N) (집합적으로 가스 소스들 (144)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들 (144) 은 하나 이상의 가스들 및/또는 유체들 (예를 들어, 전구체들, 불활성 가스들, 등) 및 이들의 혼합물들을 공급한다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (144) 중 적어도 하나는 본 개시의 접착 층 증착 프로세스에서 사용된 전구체 가스 또는 유체 (예를 들어, 테트라카보닐(트리클로로실릴)코발트와 같은 CoSi 결합들을 포함하는 금속-유기 전구체) 를 포함할 수도 있다. 예를 들어, 금속-유기 전구체는 용매에 용해된 고체 전구체, 가스, 등에 대응할 수도 있다. 가스 소스들 (144) 중 다른 소스는 이로 제한되는 것은 아니지만, 수소, 염소, 브롬, 불소, 아르곤, 헬륨, 등을 포함하는 캐리어 가스를 포함할 수도 있다. 가스 소스들 (144) 은 밸브들 (148-1, 148-2, …, 및 148-N) (집합적으로 밸브들 (148)) 및 질량 유량 제어기들 (152-1, 152-2, …, 및 152-N) (집합적으로 질량 유량 제어기들 (mass flow controllers; MFC) (152)) 에 의해 매니폴드 (156) 에 연결된다. 매니폴드 (156) 의 출력은 프로세싱 챔버 (104) 로 피딩된다. 단지 예를 들면, 매니폴드 (156) 의 출력은 샤워헤드 (124) 로 피딩된다.
히터 (160) 는 페데스탈 (112) 을 가열하기 위해 페데스탈 (112) 에 배치된 히터 코일 (미도시) 에 연결될 수도 있다. 히터 (160) 는 페데스탈 (112) 및 기판 (120) 의 온도를 제어하도록 사용될 수도 있다. 밸브 (164) 및 펌프 (168) 가 프로세싱 챔버 (104) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 제어기 (172) 가 기판 프로세싱 시스템 (100) 의 다양한 컴포넌트들을 제어하도록 사용될 수도 있다. 단지 예를 들면, 제어기 (172) 는 프로세스 가스, 캐리어 가스 및 전구체 가스의 플로우, 플라즈마를 스트라이킹하고 (striking) 소화시키고, 반응물질들의 제거, 챔버 파라미터들의 모니터링, 등을 제어하도록 사용될 수도 있다. 본 개시의 원리들에 따른 제어기 (172) 는 이하에 보다 상세히 기술된 바와 같이 기판 (120) 의 하부 층 상에 금속 상호접속 층 (예를 들어, 코발트) 을 증착하기 전 접착 층 증착 프로세스를 구현하도록 더 구성된다.
이제 도 2를 참조하면, 본 개시의 원리들에 따른 접착 층 증착 프로세스를 수행하도록 구성된 기판 프로세싱 시스템 (200) 의 또 다른 예가 도시된다. 이 예에서, 기판 프로세싱 시스템 (200) 은 플라즈마를 사용하지 않고 접착 층 증착 프로세스를 구현하도록 구성될 수도 있다. 기판 지지부 (204) (예를 들어, ALD 페데스탈과 같은 페데스탈) 가 프로세싱 챔버 (208) 내에 배치된다. 기판 (212) 이 프로세싱 동안 기판 지지부 (204) 상에 배치된다.
가스 전달 시스템 (220) 은 밸브들 (224-1, 224-2, …, 및 224-N) (집합적으로 밸브들 (224)) 및 질량 유량 제어기들 (226-1, 226-2, …, 및 226-N) (집합적으로 MFC들 (226)) 에 연결되는 가스 소스들 (222-1, 222-2, …, 및 222-N) (집합적으로 가스 소스들 (222)) 을 포함한다. 가스 소스들 (222) 중 적어도 하나는 본 개시의 접착 층 증착 프로세스에 사용된 전구체 가스 또는 유체를 포함할 수도 있다. 가스 소스들 (222) 중 다른 가스 소스는 캐리어 가스를 포함할 수도 있다. MFC들 (226) 은 가스 소스들 (222) 로부터 가스들이 혼합되는 매니폴드 (228) 로 가스들의 플로우를 제어한다. 매니폴드 (228) 의 출력은 선택가능한 압력 조절기 (232) 를 통해 매니폴드 (236) 에 공급된다. 매니폴드 (236) 의 출력은 멀티-주입기 샤워헤드 (240) 에 입력된다. 매니폴드들 (228 및 236) 이 도시되지만, 단일 매니폴드가 사용될 수 있다.
일부 예들에서, 기판 지지부 (204) 는 냉각제 채널들 (264) 을 포함할 수도 있다. 냉각 유체가 유체 저장부 (268) 및 펌프 (270) 로부터 냉각제 채널들 (264) 로 공급된다. 압력 센서들 (272, 274) 은 압력을 측정하기 위해 매니폴드 (228) 또는 매니폴드 (236) 에 각각 배치될 수도 있다. 밸브 (278) 및 펌프 (280) 는 프로세싱 챔버 (208) 로부터 반응물질들을 배기하도록 그리고/또는 프로세싱 챔버 (208) 내 압력을 제어하도록 사용될 수도 있다.
제어기 (282) 가 멀티-주입기 샤워헤드 (240) 에 의해 제공된 도징을 제어하는 도즈 제어기 (284) 를 포함한다. 제어기 (282) 는 또한 가스 전달 시스템 (220) 으로부터 가스 전달을 제어한다. 제어기 (282) 는 밸브 (278) 및 펌프 (280) 를 사용하여 프로세싱 챔버 내 압력 및/또는 반응물질들의 배기를 제어한다. 제어기 (282) 는 기판 지지부 (204) 및 기판 (212) 의 온도를 제어하도록 구성될 수도 있다. 본 개시의 원리들에 따른 제어기 (282) 는 이하에 보다 상세히 기술된 바와 같이 기판 (212) 의 하부 층 상에 금속 상호접속 층을 증착하기 전 접착 층 증착 프로세스를 구현하도록 더 구성된다.
이제 도 3을 참조하면, 예시적인 접착 층 증착 프로세스가 도시된다. 300에서, 하부 층 (304) (예를 들어, 기판) 이 제공된다. 예를 들어, 하부 층 (304) 은 이로 제한되는 것은 아니지만, 실리콘 다이옥사이드 (SiO2) 층, 로우-k 또는 ULK (ultra-low-k) 유전체 층, 열적 옥사이드 (TOx) 층, 실리콘 나이트라이드 (SiN) 층, 실리콘 층, 금속 옥사이드 (예를 들어, MOx) 유전체 층, 등을 포함할 수도 있다. 하부 층 (304) 은 트렌치 (308) 와 같은 하나 이상의 피처들을 포함할 수도 있다. 312에서, 접착 층 (316) 이 하부 층 (304) 상에 증착된다. 일부 예들에서, 접착 층 (316) 은 금속 상호접속 층 (예를 들어, 코발트) 에 증착될 금속 및 실리콘을 포함할 수도 있다. 예를 들어, 접착 층 (316) 은 박형 CoxSiy 막을 포함한다. 이 예에서, 접착 층 (316) 을 증착하는 단계는 테트라카보닐(트리클로로실릴)코발트와 같은 금속-유기 전구체를 공급하는 것을 포함한다. 접착 층 (316) 을 증착하는 단계는 이하에 보다 상세히 기술된 바와 같이 금속-유기 전구체를 공급하는 단계 및 플라즈마를 생성하는 단계 및/또는 플라즈마를 사용하지 않고 금속-유기 전구체를 공급하는 단계를 교번하여 포함할 수도 있다. 금속-유기 전구체는 액체 또는 가스, 용매에 용해된 고체로 캐리어 가스와 함께, 등으로 공급될 수도 있다.
320에서, 금속 상호접속 층 (예를 들어, 코발트 층, 예컨대 코발트 박막) (324) 이 접착 층 (316) 상에 증착된다. 금속 상호접속 층 (324) 은 도금 및/또는 도금 및 충진 층에 대응할 수도 있다. 예를 들어, 금속 상호접속 층 (324) 은 ELD 프로세스를 사용하여 증착될 수도 있다. 접착 층 (316) 은 금속 상호접속 층 (324) 의 증착 동안 시드 층으로서 더 기능할 수도 있다. 일부 예들에서, 접착 층 (316) 은 금속 상호접속 층 (324) 으로부터 인접한 유전체 재료들 (예를 들어, 하부 층 (304)) 내로 금속 확산을 방지하기 위한 확산 배리어로서 기능할 수도 있다. 또 다른 예들에서, 접착 층 (316) 은 전기적 션트 (shunt) 층으로 기능할 수도 있다.
CoSi 결합을 갖는 분자들을 포함하는 다양한 금속-유기 전구체들이 접착 층 (316) 을 증착하도록 사용될 수도 있다. 이들 예들에서, CoSi 분자들은 코발트와 일산화탄소 (CO) 사이의 결합들 및/또는 실리콘과 염소 (Cl) 사이의 결합들을 더 포함할 수도 있다. CoSi 결합으로 기술되지만, 일부 예들에서 금속-유기 전구체들은 M-Si 결합을 포함할 수도 있고, 여기서 M은 적합한 금속 (예를 들어, 접착 층 (316) 상에 증착될 금속 상호접속 층 (324) 에 대응하는 금속) 에 대응한다. 분자들은 M-Si 결합에 대응할 수도 있고, 여기서 금속 M은 Y와 결합되고 실리콘은 X와 결합되고, M은 코발트 (Co), 니켈 (Ni), 철 (Fe), 구리 (Cu), 텅스텐 (W), 탄탈룸 (Ta), 티타늄 (Ti), 몰리브덴 (Mo), 루테늄 (Ru), 알루미늄 (Al), 크롬 (Cr), 마그네슘 (Mg), 또는 이리듐 (Ir) 에 대응하고, X는 수소 (H), 염소 (Cl), 일산화탄소 (CO), 불소 (F), 브롬 (Br), 또는 메탄 (CH4) 에 대응하고, 그리고 Y 및 X는 H, Cl, CO, F, Br, 또는 CH4 또는 하나 이상의 유기 리간드들 (예를 들어, R-표시된 유기 리간드들) 에 대응한다.
(또한 캐리어 가스들로서 기능할 수도 있는) 하나 이상의 공-반응물질 가스들은 금속-유기 전구체들과 함께 공급될 수도 있다. 공급된 가스는 수소 가스에 대응하지만, 실란 브롬, 불소, 아르곤, 헬륨, 및/또는 이들의 조합들과 같은 다른 가스들이 사용될 수도 있다. 일부 예들에서, 금속-유기 전구체 및/또는 공급된 가스는 목표된 휘발성에 따라 선택될 수도 있다.
이제 도 4를 참조하면, 본 개시의 원리들에 따라 접착 층 및 금속 상호접속 층을 증착하는 예시적인 방법 (400) 이 404에서 시작된다. 408에서, 기판 또는 하부 층을 갖는 기판이 프로세싱 챔버 내 (예를 들어, 프로세싱 챔버 (104) 내) 에 배치된다. 기판 및/또는 하부 층은 도 3에서 상기 기술된 하부 층 (304) 에 대응할 수도 있다.
412에서, 방법 (400) 은 선택가능하게 기판 상에서 전처리 프로세스를 수행할 수도 있다. 전처리 프로세스는 접착 층 재료들과의 반응을 용이하게 하도록 기판의 표면을 컨디셔닝할 (condition) 수도 있다. 예를 들어, 전처리 프로세스는 하나 이상의 가스들을 흘리는 단계 및 기판의 표면 상에서 M-Si와 반응하는 하이드록시기 (예를 들어, OH) 를 생성하도록 플라즈마를 활성화하는 단계를 포함할 수도 있다. 가스들은, 이로 제한되는 것은 아니지만, 이산화탄소 (CO2), 분자 수소 (H2), 암모니아 (예를 들어, NH3), 실리콘 테트라클로라이드 (SiCl4), SiH4, 등을 포함할 수도 있다. 다른 예들에서, 전처리 프로세스는 이로 제한되는 것은 아니지만, 실란, 수소 플루오라이드, 등을 포함하는 다른 재료들을 사용하여 기판의 표면을 처리하는 것을 포함할 수도 있다.
416에서, 방법 (400) 은 금속-유기 전구체를 프로세싱 챔버 (104) 로 공급한다. 프로세싱 챔버 (104) 는 0 내지 900 ℃로 그리고 5 mTorr 내지 760 Torr의 압력으로 유지될 수도 있다. 금속-유기 전구체는 M-Si (금속-실리콘 결합) 을 포함하는 재료를 포함할 수도 있다. 금속-유기 전구체는 하나 이상의 다른 가스들 (예를 들어, 캐리어 가스 및/또는 공-반응물질 가스) 예컨대 H2, SiH4, NH3, 등과 연속적으로 공급될 수도 있고 그리고/또는 교번하여 사이클링될 수도 있다. 일부 예들에서, 금속-유기 전구체 및 다른 가스들이 ALD 프로세스에서 교번하는 단계들로 도즈될 수도 있다. 420에서, 방법 (400) 은 기판 상에서 후처리 프로세스 (예를 들어, 플라즈마 처리, 광 조사 (photo irradiation), 어닐링, 등) 를 수행할 수도 있다. 일부 예들에서, 후처리 프로세스는 프로세싱 챔버 (104) 에서 가스들의 혼합물로부터 리간드들을 제거할 수도 있고 그리고/또는 기판의 표면 상의 금속-유기 전구체를 접착 층 (예를 들어, MxSiy 막) 으로 변환할 수도 있다. 접착 층은 0.3 내지 300 ㎚의 두께를 가질 수도 있다.
424에서, 금속 박막 (예를 들어, 금속 상호접속 층) 이 접착 층 상에 증착된다. 금속 상호접속 층은 도 3에서 상기 기술된 바와 같이 Co, Ni, Fe, Cu, W, Ta, Ti, Mo, Ru, Al, Cr, Mg, 또는 Ir를 포함할 수도 있다. 금속 상호접속 층은 액체 상 증착 프로세스 (예를 들어, 전기도금, ELD, 등) 또는 증기 상 증착 프로세스 (예를 들어, CVD, ALD, PECVD, 스퍼터링, 등) 를 사용하여 증착될 수도 있다. 방법 (400) 은 428에서 종료된다.
이제 도 5를 참조하면, 본 개시의 원리들에 따라 접착 층 및 금속 상호접속 층을 증착하는 또 다른 예시적인 방법 (500) 이 504에서 시작된다. 이 예에서, 방법 (500) 은 플라즈마를 사용하여 (예를 들어, 도 1에 도시된 바와 같은 기판 프로세싱 시스템 (100) 을 사용하여) 구현될 수도 있다. 508에서, 기판 또는 하부 층을 갖는 기판이 프로세싱 챔버 내 (예를 들어, 프로세싱 챔버 (104) 내) 에 배치된다. 기판 및/또는 하부 층은 도 3에서 상기 기술된 하부 층 (304) 에 대응할 수도 있다.
512에서, 방법 (500) 은 선택가능하게 기판 상에서 전처리 프로세스를 수행할 수도 있다. 전처리 프로세스는 접착 층 재료들과의 반응을 용이하게 하도록 기판의 표면을 컨디셔닝할 수도 있다. 예를 들어, 전처리 프로세스는 하나 이상의 가스들을 흘리는 단계 및 기판의 표면 상에서 Co-Si와 반응하는 하이드록시기 (예를 들어, OH) 를 생성하도록 플라즈마를 활성화하는 단계를 포함할 수도 있다. 가스들은, 이로 제한되는 것은 아니지만, 이산화탄소 (CO2), 분자 수소 (H2), 암모니아 (예를 들어, NH3), 실리콘 테트라클로라이드 (SiCl4), SiH4, 등을 포함할 수도 있다. 다른 예들에서, 전처리 프로세스는 이로 제한되는 것은 아니지만, 실란, 수소 플루오라이드, 등을 포함하는 다른 재료들을 사용하여 기판의 표면을 처리하는 것을 포함할 수도 있다.
516에서, 방법 (500) 은 테트라카보닐(트리클로로실릴)코발트와 같은 Co-Si 결합을 포함하는 금속-유기 전구체를 프로세싱 챔버 (104) 로 공급한다. 프로세싱 챔버 (104) 는 0 내지 900 ℃로 그리고 5 mTorr 내지 760 Torr의 압력으로 유지될 수도 있다. 금속-유기 전구체는 하나 이상의 다른 가스들 (예를 들어, 캐리어 가스 및/또는 공-반응물질 가스) 예컨대 H2, SiH4, NH3, 등과 연속적으로 공급될 수도 있고 그리고/또는 교번하여 사이클링될 수도 있다. 일부 예들에서, 금속-유기 전구체 및 다른 가스들이 ALD 프로세스에서 교번하는 단계들로 도즈될 수도 있다. 520에서, 기판의 표면 상의 금속-유기 전구체를 접착 층 (예를 들어, Co-Si 막) 으로 변환하기 위해 플라즈마가 프로세싱 챔버 (104) 내에서 활성화된다. 플라즈마는 프로세싱 챔버 (104) 내 가스들의 혼합물로부터 리간드들을 제거하기 위해 금속-유기 전구체, 캐리어 가스들 등의 공급과 동일한 기간에 (예를 들어, 연속하여) 그리고/또는 교번하여 활성화될 수도 있다. 접착 층은 0.3 내지 300 ㎚의 두께를 가질 수도 있다.
524에서, 금속 박막 (예를 들어, 금속 상호접속 층) 이 접착 층 상에 증착된다. 금속 상호접속 층은 도 3에서 상기 기술된 바와 같이 Co, Ni, Fe, Cu, W, Ta, Ti, Mo, Ru, Al, Cr, Mg, 또는 Ir를 포함할 수도 있다. 금속 상호접속 층은 액체 상 증착 프로세스 (예를 들어, 전기도금, ELD, 등) 또는 증기 상 증착 프로세스 (예를 들어, CVD, ALD, PECVD, 스퍼터링, 등) 를 사용하여 증착될 수도 있다. 방법 (500) 은 528에서 종료된다.
이제 도 6을 참조하면, 본 개시의 원리들에 따라 접착 층 및 금속 상호접속 층을 증착하는 예시적인 방법 (600) 이 604에서 시작된다. 이 예에서, 방법 (600) 은 플라즈마를 사용하여 (예를 들어, 도 1에 도시된 바와 같은 기판 프로세싱 시스템 (100) 을 사용하여) 구현될 수도 있다. 608에서, 기판 또는 하부 층을 갖는 기판이 프로세싱 챔버 내 (예를 들어, 프로세싱 챔버 (104) 내) 에 배치된다. 기판 및/또는 하부 층은 도 3에서 상기 기술된 하부 층 (304) 에 대응할 수도 있다.
612에서, 방법 (600) 은 선택가능하게 기판 상에서 전처리 프로세스를 수행할 수도 있다. 전처리 프로세스는 접착 층 재료들과의 반응을 용이하게 하도록 기판의 표면을 컨디셔닝할 수도 있다. 예를 들어, 전처리 프로세스는 하나 이상의 가스들을 흘리는 단계 및 기판의 표면 상에서 Co-Si와 반응하는 하이드록시기 (예를 들어, OH) 를 생성하도록 플라즈마를 활성화하는 단계를 포함할 수도 있다. 가스들은, 이로 제한되는 것은 아니지만, 이산화탄소 (CO2), 분자 수소 (H2), 암모니아 (예를 들어, NH3), 실리콘 테트라클로라이드 (SiCl4), SiH4, 등을 포함할 수도 있다. 다른 예들에서, 전처리 프로세스는 이로 제한되는 것은 아니지만, 실란, 수소 플루오라이드, 등을 포함하는 다른 재료들을 사용하여 기판의 표면을 처리하는 것을 포함할 수도 있다.
616에서, 방법 (600) 은 금속-유기 전구체를 프로세싱 챔버 (104) 로 공급한다. 프로세싱 챔버 (104) 는 0 내지 900 ℃로 그리고 5 mTorr 내지 760 Torr의 압력으로 유지될 수도 있다. 금속-유기 전구체는 테트라카보닐(트리클로로실릴)코발트와 같은 Co-Si 결합 또는 다른 금속-실리콘 결합을 포함하는 재료를 포함할 수도 있다. 금속-유기 전구체는 하나 이상의 다른 가스들 (예를 들어, 캐리어 가스 및/또는 공-반응물질 가스) 예컨대 H2, SiH4, NH3, 등과 연속적으로 공급될 수도 있고 그리고/또는 교번하여 사이클링될 수도 있다. 일부 예들에서, 금속-유기 전구체 및 다른 가스들이 ALD 프로세스에서 교번하는 단계들로 도즈될 수도 있다. 520에서, 기판의 표면 상의 금속-유기 전구체를 접착 층 (예를 들어, Co-Si 막 또는 다른 MxSiy 막) 으로 변환하기 위해 플라즈마가 프로세싱 챔버 (104) 내에서 활성화된다. 플라즈마는 프로세싱 챔버 (104) 내 가스들의 혼합물로부터 리간드들을 제거하기 위해 금속-유기 전구체, 캐리어 가스들 등의 공급과 동일한 기간에 (예를 들어, 연속하여) 그리고/또는 교번하여 활성화될 수도 있다. 접착 층은 0.3 내지 300 ㎚의 두께를 가질 수도 있다.
624에서, 금속 박막 (예를 들어, 금속 상호접속 층) 이 접착 층 상에 증착된다. 금속 상호접속 층은 도 3에서 상기 기술된 바와 같이 Co, Ni, Fe, Cu, W, Ta, Ti, Mo, Ru, Al, Cr, Mg, 또는 Ir를 포함할 수도 있다. 금속 상호접속 층은 액체 상 증착 프로세스 (예를 들어, 전기도금, ELD, 등) 또는 증기 상 증착 프로세스 (예를 들어, CVD, ALD, PECVD, 스퍼터링, 등) 를 사용하여 증착될 수도 있다. 방법 (600) 은 628에서 종료된다.
이제 도 7을 참조하면, 본 개시의 원리들에 따라 접착 층 및 금속 상호접속 층을 증착하는 또 다른 예시적인 방법 (700) 이 704에서 시작된다. 이 예에서, 방법 (700) 은 플라즈마를 사용하지 않고 (예를 들어, 도 1에 도시된 바와 같은 기판 프로세싱 시스템 (100), 도 2에 기술된 바와 같은 기판 프로세싱 시스템 (200), 등을 사용하여) 구현될 수도 있다. 708에서, 기판 또는 하부 층을 갖는 기판이 프로세싱 챔버 내 (예를 들어, 프로세싱 챔버 (208) 내) 에 배치된다. 712에서, 방법 (700) 은 선택가능하게 도 6에서 상기 기술된 바와 같이 기판 상에서 전처리 프로세스를 수행할 수도 있다.
716에서, 방법 (700) 은 기판 상에 접착 층을 증착하기 위해 프로세싱 챔버 (208) 에 금속-유기 전구체를 공급한다. 프로세싱 챔버 (208) 는 300 ℃ 이상 (예를 들어, 일부 예들에서, 600 ℃ 이상) 으로 5 mTorr 내지 760 Torr의 압력으로 유지될 수도 있다. 금속-유기 전구체는 도 6에서 상기 기술된 바와 같이 하나 이상의 다른 가스들 (예를 들어, 캐리어 가스 및/또는 공-반응물질 가스) 과 함께 연속적으로 공급될 수도 있고 그리고/또는 교번하여 사이클링될 수도 있다. 이 예에서, 플라즈마는 프로세싱 챔버 (208) 내에서 활성화되지 않는다. 대신 접착 층은 비플라즈마 (예를 들어, ALD (예를 들어, ALD 프로세스에서 교번하는 단계들로), CVD, 등) 프로세스를 사용하여 증착된다. 일 예에서, 프로세싱 챔버 (208) 는 프로세싱 챔버 (208) 내의 가스들의 혼합물로부터 리간드들을 열적으로 제거하도록 300 ℃ 이상 (예를 들어, 600 ℃ 이상) 으로 유지된다. 또 다른 예에서, 프로세싱 챔버 (208) 는 보다 고온 (예를 들어, 300 ℃, 600 ℃, 등) 과 보다 저온 (예를 들어, 300 ℃ 미만) 사이에서 사이클링될 수도 있다. 접착 층은 0.3 내지 300 ㎚의 두께를 가질 수도 있다. 720에서, 금속 박막 (예를 들어, 금속 상호접속 층) 이 접착 층 상에 증착된다. 방법 (700) 은 724에서 종료된다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각은 특정한 특징들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시예에 대해 기술된 이들 특징들 중 임의의 하나 이상의 특징들이 다른 실시예들의 임의의 실시예에서 구현될 수 있고 그리고/또는 이러한 조합이 명시적으로 기술되지 않지만, 다른 실시예들의 임의의 실시예의 특징들과 결합될 수 있다. 달리 말하면, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (28)

  1. 기판 상에 금속 상호접속 층을 증착하는 방법에 있어서,
    프로세싱 챔버의 기판 지지부 상에 기판을 배치하는 단계;
    접착 층, 확산 배리어, 및 시드 층 중 적어도 하나로서 기능하도록 구성된 중간 층을 상기 기판 상에 증착하는 단계로서, 상기 중간 층을 증착하는 단계는 금속-실리사이드 (M-Si) 결합을 갖는 제 1 재료를 포함하는 금속-유기 전구체를 공급하는 것을 포함하는, 상기 중간 층을 증착하는 단계; 및
    상기 중간 층 상에 상기 금속 상호접속 층을 증착하는 단계를 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 금속 상호접속 층은 상기 중간 층의 상기 M-Si 결합과 동일한 금속을 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 기판은 실리콘 다이옥사이드 (SiO2) 층, 로우-k 유전체 층, ULK (ultra-low-k) 유전체 층, 열적 옥사이드 (TOx) 층, 실리콘 나이트라이드 (SiN) 층, 실리콘 층, 또는 금속 옥사이드 (예를 들어, MOx) 유전체 층 중 적어도 하나에 대응하는 하부 층을 포함하고 그리고 상기 중간 층은 상기 하부 층 상에 증착되는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 중간 층을 증착하는 단계는 실란, 암모니아, 수소, 염소, 브롬, 불소, 아르곤, 및 헬륨 가스들 중 하나 이상을 상기 금속-유기 전구체에 공급하는 것을 더 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 중간 층을 증착하는 단계는 상기 금속-유기 전구체가 상기 프로세싱 챔버로 공급되는 동안 상기 프로세싱 챔버 내에서 플라즈마를 활성화하는 것을 더 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 중간 층을 증착하는 단계는 상기 금속-유기 전구체를 공급하는 단계 및 상기 프로세싱 챔버 내에서 플라즈마를 활성화하는 단계를 교번하는 것을 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 금속-유기 전구체는 상기 프로세싱 챔버가 300 ℃ 이상으로 가열되고 유지되는 것 중 적어도 하나인 동안 공급되는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 중간 층을 증착하는 단계는 상기 금속-유기 전구체를 공급하는 단계 및 상기 프로세싱 챔버 내에서 광 조사 (photo irradiation) 를 활성화하는 단계를 교번하는 것을 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  9. 제 1 항에 있어서,
    상기 중간 층은 0.3 내지 300 ㎚의 두께를 갖는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  10. 제 1 항에 있어서,
    상기 금속 M은 코발트 (Co), 니켈 (Ni), 철 (Fe), 구리 (Cu), 텅스텐 (W), 탄탈룸 (Ta), 티타늄 (Ti), 몰리브덴 (Mo), 루테늄 (Ru), 알루미늄 (Al), 크롬 (Cr), 마그네슘 (Mg), 및 이리듐 (Ir) 중 적어도 하나를 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  11. 제 10 항에 있어서,
    상기 금속-유기 전구체는 테트라카보닐(트리클로로실릴)코발트를 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  12. 제 10 항에 있어서,
    상기 중간 층은 MxSiy 막이고, 여기서 x 및 y는 정수들인, 기판 상에 금속 상호접속 층을 증착하는 방법.
  13. 제 12 항에 있어서,
    상기 MxSiy 막은 CoxSiy 막인, 기판 상에 금속 상호접속 층을 증착하는 방법.
  14. 제 1 항에 있어서,
    상기 금속 상호접속 층을 증착하는 단계는 화학적 기상 증착, 원자 층 증착, 및 무전해 증착 중 적어도 하나를 사용하여 상기 금속 상호접속 층을 증착하는 것을 포함하는, 기판 상에 금속 상호접속 층을 증착하는 방법.
  15. 프로세싱 챔버 내의 기판 지지부 상에 배치된 기판 상에 금속 상호접속 층을 증착하기 위한 시스템에 있어서,
    프로세싱 챔버로 하나 이상의 프로세스 가스들을 공급하도록 구성된 가스 전달 시스템; 및
    제어기로서,
    금속-실리사이드 (M-Si) 결합을 갖는 제 1 재료를 포함하는 금속-유기 전구체를 상기 프로세싱 챔버 내로 공급함으로써 상기 기판 상에 접착 층, 확산 배리어, 및 시드 층 중 적어도 하나로서 기능하도록 구성된 중간 층을 증착하고, 그리고
    상기 중간 층 상에 상기 금속 상호접속 층을 증착하도록 상기 가스 전달 시스템을 제어하도록 구성되는, 상기 제어기를 포함하는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  16. 제 15 항에 있어서,
    상기 제어기는 상기 중간 층의 상기 M-Si 결합과 동일한 금속을 포함하는 상기 금속 상호접속 층을 증착하기 위해 상기 가스 전달 시스템을 제어하도록 구성되는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  17. 제 15 항에 있어서,
    상기 기판은 실리콘 다이옥사이드 (SiO2) 층, 로우-k 유전체 층, ULK (ultra-low-k) 유전체 층, 열적 옥사이드 (TOx) 층, 실리콘 나이트라이드 (SiN) 층, 실리콘 층, 및 금속 옥사이드 (예를 들어, MOx) 유전체 층 중 적어도 하나에 대응하는 하부 층을 포함하고 그리고 상기 제어기는 상기 하부 층 상에 상기 중간 층을 증착하기 위해 상기 가스 전달 시스템을 제어하도록 구성되는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  18. 제 15 항에 있어서,
    상기 제어기는 실란, 암모니아, 수소, 염소, 브롬, 불소, 아르곤, 및 헬륨 가스들 중 하나 이상을 상기 금속-유기 전구체에 더 공급함으로써 상기 중간 층을 증착하기 위해 상기 가스 전달 시스템을 제어하도록 구성되는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  19. 제 15 항에 있어서,
    상기 제어기는 상기 중간 층을 증착하기 위해 상기 금속-유기 전구체가 상기 프로세싱 챔버로 공급되는 동안 상기 프로세싱 챔버 내에서 플라즈마를 활성화하도록 구성되는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  20. 제 15 항에 있어서,
    상기 제어기는 상기 금속-유기 전구체를 공급하고 상기 중간 층을 증착하기 위해 상기 프로세싱 챔버 내에서 플라즈마를 활성화하도록 상기 가스 전달 시스템을 교번적으로 제어하도록 구성되는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  21. 제 15 항에 있어서,
    상기 제어기는 상기 프로세싱 챔버가 300 ℃ 이상으로 가열되고 유지되는 것 중 적어도 하나인 동안 상기 금속-유기 전구체를 공급하기 위해 상기 가스 전달 시스템을 제어하도록 구성되는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  22. 제 15 항에 있어서,
    상기 제어기는 상기 중간 층을 증착하도록 상기 금속-유기 전구체를 공급하고 상기 프로세싱 챔버 내에서 광 조사를 활성화하기 위해 상기 가스 전달 시스템을 교번적으로 제어하도록 구성되는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  23. 제 15 항에 있어서,
    상기 중간 층은 0.3 내지 300 ㎚의 두께를 갖는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  24. 제 15 항에 있어서,
    상기 금속 M은 코발트 (Co), 니켈 (Ni), 철 (Fe), 구리 (Cu), 텅스텐 (W), 탄탈룸 (Ta), 티타늄 (Ti), 몰리브덴 (Mo), 루테늄 (Ru), 알루미늄 (Al), 크롬 (Cr), 마그네슘 (Mg), 및 이리듐 (Ir) 중 적어도 하나를 포함하는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  25. 제 24 항에 있어서,
    상기 금속-유기 전구체는 테트라카보닐(트리클로로실릴)코발트를 포함하는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  26. 제 24 항에 있어서,
    상기 중간 층은 MxSiy 막이고, 여기서 x 및 y는 정수들인, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  27. 제 26 항에 있어서,
    상기 MxSiy 막은 CoxSiy 막인, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
  28. 제 15 항에 있어서,
    상기 제어기는 상기 금속 상호접속 층을 증착하기 위해 화학적 기상 증착, 원자 층 증착, 및 무전해 증착 중 적어도 하나를 사용하여 상기 금속 상호접속 층을 증착하기 위해 상기 가스 전달 시스템을 제어하도록 구성되는, 기판 상에 금속 상호접속 층을 증착하기 위한 시스템.
KR1020207030669A 2018-03-26 2019-03-18 금속 상호접속 층을 위한 중간 층 KR20200126011A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862648035P 2018-03-26 2018-03-26
US62/648,035 2018-03-26
PCT/US2019/022696 WO2019190795A1 (en) 2018-03-26 2019-03-18 Intermediate layer for metal interconnect layer

Publications (1)

Publication Number Publication Date
KR20200126011A true KR20200126011A (ko) 2020-11-05

Family

ID=68060388

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207030669A KR20200126011A (ko) 2018-03-26 2019-03-18 금속 상호접속 층을 위한 중간 층

Country Status (3)

Country Link
KR (1) KR20200126011A (ko)
CN (1) CN111902912A (ko)
WO (1) WO2019190795A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023204918A1 (en) * 2022-04-19 2023-10-26 Applied Materials, Inc. Contact formation process for cmos devices

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5725739A (en) * 1996-07-08 1998-03-10 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
JP3175721B2 (ja) * 1999-02-05 2001-06-11 日本電気株式会社 半導体装置の製造方法
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
WO2014118747A1 (en) * 2013-01-31 2014-08-07 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition

Also Published As

Publication number Publication date
WO2019190795A1 (en) 2019-10-03
CN111902912A (zh) 2020-11-06

Similar Documents

Publication Publication Date Title
US10141505B2 (en) Bromine containing silicon precursors for encapsulation layers
US10731250B2 (en) Depositing ruthenium layers in interconnect metallization
US9520295B2 (en) Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
TWI605522B (zh) 用以沉積具有低表面粗糙度及低電阻率之鎢膜的方法
JP5376361B2 (ja) タングステン膜の製造方法および装置
CN107460449B (zh) 用于增强填充物和减少衬底撞击的原子层沉积
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
CN113169056A (zh) 用于钨的钼模板
US20240084443A1 (en) Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition
KR20200126011A (ko) 금속 상호접속 층을 위한 중간 층
US20240047269A1 (en) Molybdenum deposition in features
TW202239998A (zh) 低電阻接觸窗及互連線
KR20220030249A (ko) 선택적 탄소 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal