KR20200116948A - 전력 분배 회로 - Google Patents

전력 분배 회로 Download PDF

Info

Publication number
KR20200116948A
KR20200116948A KR1020207024138A KR20207024138A KR20200116948A KR 20200116948 A KR20200116948 A KR 20200116948A KR 1020207024138 A KR1020207024138 A KR 1020207024138A KR 20207024138 A KR20207024138 A KR 20207024138A KR 20200116948 A KR20200116948 A KR 20200116948A
Authority
KR
South Korea
Prior art keywords
power distribution
distribution grid
power
grid
gate cell
Prior art date
Application number
KR1020207024138A
Other languages
English (en)
Other versions
KR102586756B1 (ko
Inventor
주니어 마를린 웨인 프레데릭
카렌 리 델크
Original Assignee
에이알엠 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이알엠 리미티드 filed Critical 에이알엠 리미티드
Publication of KR20200116948A publication Critical patent/KR20200116948A/ko
Application granted granted Critical
Publication of KR102586756B1 publication Critical patent/KR102586756B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/647Resistive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J1/00Circuit arrangements for dc mains or dc distribution networks
    • H02J1/08Three-wire systems; Systems having more than three wires

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

본원에 기술된 다양한 구현은 파워 게이트 셀 및 제1 전력 분배 그리드를 갖는 집적 회로에 관한 것이다. 집적 회로는 파워 게이트 셀과 정렬되고 그 위에 배치되는 제2 전력 분배 그리드를 포함할 수 있다. 제2 전력 분배 그리드는 파워 게이트 셀과 제1 전력 분배 그리드 사이에 배치될 수 있다.

Description

전력 분배 회로
본 발명은 전력 분배 회로 및 이를 제조하는 방법에 관한 것이다.
본 섹션은 여기에 기술된 다양한 기술을 이해하는 데 관련된 정보를 제공하기 위한 것이다. 섹션의 제목에서 알 수 있듯이, 이것은 어떤 식으로건 그것이 선행 기술임을 나타내는 것을 암시해서는 안되는 관련 기술에 대한 논의이다. 일반적으로, 관련 기술은 종래 기술로 간주되거나 또는 간주되지 않을 수 있다. 따라서 이 섹션의 모든 진술은 이러한 관점에서 판독되어야 하며, 선행 기술의 어떤 인정으로도 판독되어서는 안된다는 것을 이해해야 한다.
종래의 회로 설계에서, 전역 분배 와이어는 일반적으로 상부 금속 층에서 라우팅된다. 표준 셀의 파워 레일은 일반적으로 수평으로 라우팅되고, 파워 레일은 적층된 금속과 비아 층 또는 토템(totem)을 통해 상부 전역 금속 그리드 층에 연결된다. 적절한 전기적 동작을 보장하기 위해 최소 전압 값이 표준 셀에 전달되는 것을 보장하기 위해 전력 분배 네트워크를 설계할 필요가 있다.
일부 고급 기술에서, 표준 셀 그리드 및 토템 구조에 사용되는 하부 금속 및 비아 층의 저항은 상부 금속 그리드 층보다 현저하게 높다. 일반적으로 저항은 하부 금속 층이 상부 금속 층보다 저항성이 높도록 표준 셀 스택으로 내려갈 때 증가하여, 피치가 감소하면 저항이 증가한다. 또한, 비아 저항도 금속 층 저항보다 현저하게 높다.
본원에 기술된 다양한 구현은 파워 게이트 셀 및 제1 전력 분배 그리드를 갖는 집적 회로에 관한 것이다. 집적 회로는 파워 게이트 셀과 정렬되고 그 위에 배치되는 제2 전력 분배 그리드를 포함할 수 있다. 제2 전력 분배 그리드는 파워 게이트 셀과 제1 전력 분배 그리드 사이에 배치될 수 있다.
전력 분배 기술의 다양한 구현이 첨부 도면을 참조하여 여기에서 기술된다. 그러나 첨부 도면은 본원에 기술된 다양한 구현만을 예시하고 본원에 기술된 다양한 기술의 실시 예를 제한하려는 것이 아님을 이해해야 한다.
도 1은 본원에 기술된 다양한 구현에 따른 전력 분배 회로의 블록도를 예시한다.
도 2는 본원에 기술된 다양한 구현에 따른 전력 분배 회로의 또 다른 다이어그램을 예시한다.
도 3a는 본원에 기술된 다양한 구현에 따른 전력 분배 회로의 사시도를 예시한다.
도 3b는 본원에 기술된 다양한 구현에 따른 전력 분배 회로의 평면도를 예시한다.
도 4는 본원에 기술된 구현에 따른 전력 분배 회로의 저항성 모델의 다이어그램을 예시한다.
도 5는 본원에 기술된 구현에 따라 전력 분배 회로를 제조하기 위한 방법의 프로세스 흐름도를 예시한다.
도 6a 내지 6e는 본원에 기술된 구현에 따라 전력 분배 회로를 제조하기 위한 방법과 연관된 다양한 프로세스 다이어그램을 예시한다.
도 7a-7c는 본원에 기술된 구현에 따라 전력 분배 회로를 제조하는 것과 연관된 다양한 다이어그램을 예시한다.
본원에 기술된 다양한 구현은 전력 분배 회로에 관한 것이다. 예를 들어, 본원에 기술된 다양한 구현은 예를 들어 64nm 이하의 금속 피치 프로세스 기술과 같은 실리콘 프로세스 기술을 위한 전력 분배와 관련된 방식, 기술 및 방법에 관한 것이다. 일부 구현은 전류 저항(IR) 관련 강하를 감소 및/또는 최소화하면서, 파워 스위치의 고효율을 달성하는 것을 목적으로 하는 피치 프로세스 기술로 제작된 전력 분배 그리드를 통해 전력을 분배하는 방법과 함께 전력 공급 분배 및 에너지 관리와 연관될 수 있다. 일부 구현은 메모리 애플리케이션과 연관될 수 있다. 또한, 일부 구현은 파운드리에서 이용 가능한 다양한 금속 및 비아 층에 대한 저항특성에 대한 지식을 사용하여 전력 그리드 분배를 최적화하는 방법과 연관될 수 있다. 고 저항층의 경우, 본원에 기술된 설계 기술은 추가 전력 라우팅 층 및/또는 IR 강하를 줄이기 위해 파워 스위치 셀 위에 배치되는 로컬 마이크로 전력 그리드의 사용을 포함할 수 있다. 로컬 마이크로 전력 그리드는 파워 스위치 셀 내에 있지 않으므로 전력 그리드 설계의 일부로서 최적화된다. 파워 게이트 셀 외부의 로컬 보조 그리드는 셀 배치 유연성을 허용하고 입력 핀 다공성을 개선한다.
이제 전력 분배 회로의 다양한 구현이 도 1-6e를 참조하여 본원에서 더 상세히 기술될 것이다.
도 1은 본원에 기술된 다양한 구현에 따른 전력 분배 회로(100)의 다이어그램을 도시한다.
도 1에 도시된 바와 같이, 전력 분배 회로(100)는 예를 들어 파워 게이트 셀(102), 제1 전력 분배 그리드(106) 및 제2 전력 분배 그리드(104)를 갖는 다층 구조를 포함하는 다중 층을 포함할 수 있다.
파워 게이트 셀(102)은 하나 이상의 파워 게이트 회로(또는 파워 게이트 또는 스위치로서 작동하는 하나 이상의 트랜지스터와 같은 파워 스위치) 및 하나 이상의 로직 회로(또는 로직 게이트, 컴포넌트 등)를 포함할 수 있다. 파워 게이트 셀(102)은 하나 이상의 파워 게이트 핀 층(112)을 포함할 수 있다. 예를 들어, 파워 게이트 핀 층(112)은 파워 게이트 셀(102)을 제1 전력 분배 그리드 및/또는 제2 전력 분배 그리드(104)에 전기적으로 결합시키는 데 사용되는 하나 이상의 파워 게이트 핀을 포함할 수 있다. 파워 게이트 핀 층(112)은 예를 들어, 금속 2(M2) 층 및/또는 비아 2(V2)와 같은 하나 이상의 금속 층 및/또는 비아를 포함할 수 있다. 파워 게이트 셀(102)은 파워 게이트, 파워 스위치 셀 또는 파워 스위치(PS)로 지칭 될 수 있다.
제1 전력 분배 그리드(106)는 파워 게이트 셀(102) 및/또는 제2 전력 분배 그리드(104)와 정렬되고 그 위에 배치될 수 있다. 제1 전력 분배 그리드(106)는 파워 게이트 셀(102) 및/또는 제2 전력 분배 그리드(104)와 전기적으로 결합될 수 있다. 제1 전력 분배 그리드(106)는 하나 이상의 금속 층 및/또는 하나 이상의 비아를 포함할 수 있다. 예를 들어, 제1 전력 분배 그리드(106)는 제1 전력 분배 그리드(106)를 파워 게이트 셀(102) 및/또는 제2 전력 분배 그리드(104)에 전기적으로 결합시키는 데 사용될 수 있는 금속 9(M9) 층과 같은 적어도 하나의 금속 층을 포함할 수 있다. 제1 전력 분배 그리드(106)는 전역 전력 분배 그리드 또는 블록 레벨 그리드로 지칭 될 수 있다. 제1 전력 분배 그리드(106)는 또한 상부 금속 층 및/또는 비아로 지칭될 수 있다.
제2 전력 분배 그리드(104)는 파워 게이트 셀(102)과 정렬되고 그 위에 배치될 수 있다. 제2 전력 분배 그리드(104)는 파워 게이트 셀(102)과 제1 전력 분배 그리드(106) 사이에 배치될 수 있다. 제2 전력 분배 그리드(104)는 파워 게이트 셀(102) 바로 위에 및/또는 바로 인접하게 배치될 수 있다. 제2 전력 분배 그리드(104)는 제1 전력 분배 그리드(106)와 별개이고 상이할 수 있다. 제1 전력 분배 그리드(106)는 제2 전력 분배 그리드(104) 위에 배치될 수 있다. 제2 전력 분배 그리드(104)는 파워 게이트 셀(102)에 전기적으로 결합되는 로컬(마이크로 또는 미니) 전력 분배 그리드로 지칭될 수 있다.
또한, 도시된 바와 같이, 제2 전력 분배 그리드(104)는 파워 게이트 셀(102) 및/또는 제1 전력 분배 그리드(106)에 전기적으로 결합될 수 있다. 일부 경우에, 제2 전력 분배 그리드(104)는 하나 이상의 금속 층 및/또는 하나 이상의 비아를 갖는 하나 이상의 적층된 토템 구조를 포함할 수 있다. 예를 들어, 제2 전력 분배 그리드(104)는 예를 들어 금속 3(M3) 층, 비아 3(V3), 금속 4(M4) 층 및/또는 비아 4(V4)와 같은 다중 금속 층 및/또는 다중 비아를 포함할 수 있다고, 이는 제2 전력 분배 그리드(104)를 파워 게이트 셀(102) 및/또는 제1 전력 분배 그리드(106)에 전기적으로 결합하는 데 사용될 수 있다. 일부 경우에, M4 층은 M3 층에 직각으로 배치될 수 있다. 제2 전력 분배 그리드(104)는 로컬 또는 마이크로 또는 미니 전력 분배 그리드로 지칭될 수 있다. 또한, 제2 전력 분배 그리드(104)는 하부 금속층 및/또는 비아로도 지칭될 수 있다.
전력 분배 회로(100)는 하나 이상의 추가 금속 층 및/또는 하나 이상의 추가 비아를 포함하는 하나 이상의 추가 토템 구조(114A, 114B, ..., 114N)를 포함할 수 있다. 예를 들어, 추가 토템 구조는 제1 전력 분배 그리드(106)를 파워 게이트 셀(102) 및/또는 제2 전력 분배 그리드(104)에 전기적으로 결합하는 데 사용될 수 있는, 예를 들어, 금속 5(M5)에서 금속 8(M8) 층까지 및 비아 5(V5)에서 비아 8(V8)까지와 같은 다수의 추가 비아와 함께 여러 개의 추가 금속 층을 포함할 수 있다. 도시된 바와 같이, 제1(또는 전역) 분배 그리드(106)는 제2 전력 분배 그리드보다 파워 게이트 셀(102)로부터 훨씬 더 먼 거리에 배치된다. 이 예에서, 제2 전력 분배 그리드(104)는 파워 게이트 셀(102) 바로 위에 및/또는 바로 인접하게 배치될 수 있다. 이러한 추가 금속 층 및 비아는 도 3a를 참조하여 본원에서 아래에서 더 상세히 기술된다.
도 2는 본원에 기술된 다양한 구현에 따른 전력 분배 회로(200)의 또 다른 다이어그램의 사시도를 도시한다.
도 2에 도시된 바와 같이, 전력 분배 회로(200)는 예를 들어 파워 게이트 셀(102), 제1 전력 분배 그리드(미도시) 및 제2 전력 분배 그리드를 갖는 다층 구조를 포함하는 다중 층을 포함할 수 있다.
파워 게이트 셀(102)은 하나 이상의 셀 부분(202) 및 예를 들어 금속 층(M0)과 같은 적어도 하나의 금속 층을 포함할 수 있다. 파워 게이트 셀(102)은 예를 들어 금속 2 층(M2)과 같은 적어도 하나의 파워 게이트 셀(PGC) 금속 층 및 비아 2(V2)와 같은 적어도 하나의 비아를 갖는 적어도 하나의 파워 게이트 핀 층(112)을 포함할 수 있다. 이 경우, 파워 게이트 핀 층(112)(M2, V2)은 파워 게이트 셀(102)을 제1 전력 분배 그리드(106) 및/또는 제2 전력 분배 그리드에 전기적으로 결합시키는 데 사용될 수 있는 하나 이상의 파워 게이트 핀을 포함할 수 있다.
제2 전력 분배(104)는 예를 들어 LPDG 금속층(M3, M4) 및 적어도 하나의 비아(V3)를 포함하는 다중 층을 갖는 다층 구조를 포함하는 다중 층을 포함할 수 있다. 이와 같이 제2 전력 분배 그리드는 LPDG(Local Power Distribution Grid)라고도 지칭할 수 있다. 일부 예에서, 도시된 바와 같이, 제2 전력 분배 그리드(104)는 직교하는 금속 층(M3, M4)인 2개 이상의 층을 포함할 수 있다. 또한, 2개 이상의 층(M3, M4)의 각 층은 제2 전력 분배 그리드(104)를 파워 게이트 셀(102)과 정렬하는 것을 돕기 위해 조정 가능할 수 있다(예를 들어, 수평 방향 및/또는 수직 방향으로).
도 3a는 본원에 기술된 다양한 구현에 따른 전력 분배 회로(300A)의 사시도를 도시한다.
도 3a에 도시된 바와 같이, 전력 분배 회로(300A)는 파워 게이트 핀 또는 파워 게이트 레일로 지칭될 수 있는 파워 게이트 셀(PGC) 금속 층(M2)을 포함한다. 전력 분배 회로(300)는 M2 층을 M3 층에 전기적으로 결합하는 비아(V2)를 포함한다. 전력 분배 회로(300A)는 서로 직교하는 로컬 전력 분배 그리드(LPDG) 층(M3, M4)을 포함하고, 비아(V3)는 M3 층을 M4 층에 결합한다. 전력 분배 회로(300A)는 M4 층을 상부 금속 층(M5-M9)에 전기적으로 결합하는 비아(V4)를 포함한다. 이 경우, 금속 층(M5-M8)은 비아(V5-V8)로 GPDG(Global Power Distribution Grid) 층(M9)에 결합된다. 본원에 기술된 바와 같이, 제1 전력 분배 그리드(106)는 전역 전력 분배 그리드(GPDG) 또는 블록 레벨 그리드로 지칭될 수 있다.
도 3b는 본원에 기술된 다양한 구현에 따른 전력 분배 회로(300B)의 평면도를 도시한다.
도 3b에 도시된 바와 같이, 전력 분배 회로(300B)는 다수의 파워 게이트 셀(302)을 포함하고, 각 셀(302)은 셀 경계(305) 내에 배치된 로컬 전력 그리드(304)를 갖는다. 또한, 도시된 바와 같이, 전력 분배 회로(300B)는 파워 게이트 셀(302) 중 하나 이상 또는 전부에 결합될 수 있는 전역 전력 분배 그리드의 일부인 수평 금속 라인(306)을 포함할 수 있다. 또한, 수직 금속 라인(308)은 또한 전역 전력 분배 그리드의 일부일 수 있다. 도시된 바와 같이, 수평 금속 라인(306)은 비아(310)를 사용하여 수직 금속 라인(308)에 결합될 수 있다. 따라서, 전역 전력 분배 그리드는 와플 형상 유형 구조와 유사할 수 있다. 본원에 기술된 바와 같이, 전역 전력 분배 그리드(306)는 로컬 전력 그리드(304)보다 파워 게이트 셀(302) 위(또는 멀리)에 더 큰 거리에 배치된다. 로컬 전력 그리드(304)는 대응하는 파워 게이트 셀(302)바로 위에 및/또는 바로 인접하게 배치될 수 있다.
도 4는 본원에 기술된 구현에 따른 전력 분배 회로의 저항성 모델(400)의 다이어그램을 예시한다.
도 4에 도시된 바와 같이, 전력 분배 회로의 저항성 모델(400)은 스위칭되지 않은 로컬(또는 마이크로 또는 미니) 전력 분배 그리드(404A) 및 스위칭된 로컬(또는 마이크로 또는 미니) 전력 분배 그리드(404B)와 연관된 다중 저항 네트워크와 함께 파워 게이트 셀(402)을 포함한다. 이 경우, 기술된 구현에 따라 전력 분배 회로의 저항 감소를 식별, 분석 및/또는 평가하기 위해 저항성 컴포넌트(420A, 420B, 422A, 422B)를 갖는 다중 금속 층이 병렬로 배열(또는 모델링)된다.
본원에 기술된 다양한 구현은 여분의 비아와 함께 추가적인 수평 및 수직 금속층 라우팅을 갖는 로컬 마이크로(또는 미니) 전력 분배 그리드를 제공한다. 이 로컬 마이크로 전력 분배 기술은 저항 병렬성을 가능하게 하여 더 낮은 저항성 로컬 전력 분배 그리드를 제공한다.
일부 경우에, 스위칭된 로컬 전력 분배 요건은 다양한 설계에 걸쳐 그리고 개별 설계 내에서 달라질 수 있다. 일부 블록 영역은 조밀한 그리드가 필요할 수 있지만 다른 영역은 더 릴렉스된 그리드를 허용할 수 있다. 본원에 기술된 다양한 구현은 전력 그리드 스위치 표준 셀과 독립적으로 적용될 수 있는 저 저항 로컬 마이크로(또는 미니) 전력 분배 그리드를 제공한다. 따라서, 로컬 마이크로 그리드는 전력 그리드 최적화 및 효율성을 더 잘 촉진할 수 있다.
도 5는 본원에 기술된 구현에 따라 전력 분배 회로를 제조하기 위한 방법(500)의 프로세스 흐름도를 예시한다.
방법(500)이 특정 동작 실행 순서를 나타낼 수 있지만, 일부 경우에 동작의 다양한 특정 부분이 상이한 순서로, 그리고 상이한 시스템에서 실행될 수 있다는 것을 이해해야 한다. 다른 경우에, 추가적인 동작 및/또는 단계가 방법(500)에 추가 및/또는 생략될 수 있다. 또한, 방법(500)은 하드웨어 및/또는 소프트웨어로 구현될 수 있다. 하드웨어로 구현되는 경우, 방법(500)은 도 1-4를 참조하여 위에서 기술된 바와 같이 다양한 회로 엘리먼트로 구현될 수 있다. 소프트웨어로 구현되는 경우, 방법(500)은 본원에 기술된 바와 같이 전력 분배 회로를 위해 구성될 수 있는 프로그램 또는 소프트웨어 명령 프로세스로서 구현될 수 있다. 또한, 소프트웨어로 구현되는 경우, 방법(500)을 구현하는 것과 관련된 명령어는 메모리 및/또는 데이터베이스에 저장될 수 있다. 예를 들어, 프로세서 및 메모리를 갖는 컴퓨터 또는 다양한 다른 유형의 컴퓨팅 장치는 방법(500)을 수행하도록 구성될 수 있다.
도 5를 참조하여 기술되고 도시된 바와 같이, 방법(500)은 전력 분배 회로를 구현하는 집적 회로(1C)를 제조하기 위해 이용될 수 있다.
블록(510)에서, 방법(500)은 예를 들어 파워 게이트 셀을 배치함으로써 파워 게이트 셀을 제조할 수 있다. 다양한 예에서, 파워 게이트 셀은 파워 게이트, 파워 스위치 셀 또는 파워 스위치로 지칭될 수 있다. 블록(520)에서, 방법(500)은 전역 전력 분배 그리드를 제조할 수 있다. 블록(530)에서, 방법(500)은 파워 게이트 셀과 정렬되고 그 위에 배치된 로컬 전력 분배 그리드를 제조할 수 있다. 일부 구현에서, 로컬 전력 분배 그리드는 파워 게이트 셀과 전역 전력 분배 그리드 사이에 배치될 수 있다.
전역 전력 분배 그리드는 파워 게이트 셀 및 로컬 전력 분배 그리드와 정렬되고 그 위에 배치될 수 있다. 로컬 전력 분배 그리드는 파워 게이트 셀 바로 위에 그리고 바로 인접하게 배치될 수 있다. 로컬 전력 분배 그리드는 전역 전력 분배 그리드와 별개이고 상이하며, 전역 전력 분배 그리드는 로컬 전력 분배 그리드 위에 배치된다. 전역 전력 분배 그리드는 파워 게이트 셀에 전기적으로 결합되고, 로컬 전력 분배 그리드는 파워 게이트 셀에 전기적으로 결합된다. 전역 전력 분배 그리드는 하나 이상의 금속 층을 포함할 수 있고, 로컬 전력 분배 그리드는 직교하는 금속 층인 2개 이상의 층을 포함할 수 있다. 일부 경우에, 2개 이상의 층의 각 층은 로컬 전력 분배 그리드를 파워 게이트 셀과 정렬하는 것을 돕기 위해 조정 가능하다.
도 6a-6e는 본원에 기술된 다양한 구현에 따라 전력 분배 회로를 제조하기 위한 방법과 연관된 다양한 프로세스 흐름도(600A-600E)를 도시한다.
일반적으로, 로직 셀은 그리드 상에 배치된다. 논리 셀은 격자의 정수 배수에 있을 수 있다. 논리 셀은 일반적으로 정규적인(legal) 배치를 위해 그리드에 놓인다. 그리드는 균일하다. 라우팅 스트랩(금속)도 각 층의 그리드에 배치될 수 있다. 로컬 그리드의 스트랩은 단방향일 수 있고 층은 수직 및 수평 방향으로 번갈아 가며 나타날 수 있다. 파운드리 설계 규칙에 따라, 스트랩을 그리드에 배치할 필요는 없다. 또한, 배치 그리드와 라우팅이 정렬되지 않을 수 있으므로 계산할 수 있는 최대 정렬 불량이 있을 수 있다.
로컬 그리드의 한 가지 목표는 파워 게이트(또는 파워 스위치)의 입력 핀에서 저항을 줄이는 것이다. 각각의 고 저항 층에 대해, 타겟 비아 저항이 판정될 수 있으며, 여기서 단일 비아 저항/타겟 저항 = 미리 정해진 수의 비아이다. 일부 경우에 미리 정해진 수는 정수로 조정될 수 있다. 하나 이상의 층에 여러 개의 단일 비아 옵션이 있을 수 있다. 파워 스위치 핀 위에 배치된 더 큰 그리드부터 시작하여 미리 정해진 수의 스트랩이 판정될 수 있다. 일부 경우에, 미리 정해진 수의 비아/핀 수 = 미리 정해진 수의 스트랩이 되고, 여기서 미리 정해진 수는 정수로 조정될 수 있다. 스트랩(604)은 파워 스위치 셀(602)에 걸쳐 고르게 분포될 수 있고, 일부 경우에, 기본 설계는 각 층에 대한 각 트랙(606)이 파워 스위치 셀(602)의 셀 중심(608)과 정렬된다고 가정할 수 있다(도 6a의 다이어그램(600A) 참조), 일부 구현에서, 스트랩(604)은 파워 스위치 핀을 지칭할 수 있다.
각 스트랩은 비아가 핀과 스트랩 사이에 정형적으로 결합될 수 있도록 핀 위에 배치될 수 있다. 스트랩(604)은 핀의 가장자리로부터 삽입 부(610)를 가질 필요가 있을 수 있고, 스트랩(604)은 핀 영역의 최상부/바닥부로부터 연장되어 적절한 비아 인클로저를 보장하기에 충분히 클 수 있다(도 6b의 다이어그램(600B) 참조). 일부 경우에, 정형적인(legal) 스트랩 위치 경계 상자는 비아 인클로저 요구에 기초할 수 있고, 배치 그리드와 라우팅 층 사이의 최대 정렬 불량이 고려될 수 있다. 이렇게 하면 경계 상자가 좌측에서 우측으로 이동할 수 있고, 좌측 및 우측 가장자리는 최대가 될 수 있으며, 최상부/바닥부는 최소가 될 수 있다. 파운드리 설계 규칙은 스트랩 사이에 허용되는 최소 간격을 지정할 수 있으며, 이는 로컬 그리드 스트랩이 준수할 수 있다. 또한, 경계 상자에 들어갈 수 있는 최대 스트랩 수가 판정될 수 있고, 여기서 실제 스트랩 수 = 최소(미리 정해진 경계 상자 최대치)이다. 다른 스트랩(614)을 배치하기 위한 셀 중심(608)으로부터의 상대적 위치가 판정될 수 있다(도 6c의 다이어그램(600C) 참조). 일부 경우에, 이 프로세스는 각 로컬 그리드 층에 대해 반복되며, 결과 구조는 베이스 라인 로컬 그리드 구조라고 할 수 있다. 배치된 각 스위치 인스턴스, 및 각 로컬 그리드 층에 대해, 다음 작업을 수행할 수 있다(도 6d의 다이어그램(600D) 참조). 이 경우, 셀 중심(608)에 대한 층에 대한 가장 근접한 트랙이 발견되고, 이 트랙은 이 층에 대한 로컬 그리드의 중심이며, 이 층에 대한 다른 스트랩(614)은 미리 정해진 오프셋에 기초하여 삽입된다(도 6e의 다이어그램(600E) 참조).
도 7a-7c는 본원에 기술된 구현에 따라 전력 분배 회로를 제조하는 것과 연관된 다양한 다이어그램을 예시한다.
도 7a는 수직 스트랩(706)의 최상부(또는 위에 놓인)에 로컬 그리드 수평 스트랩(704)을 갖는 스위치(702)(즉, 파워 스위치 셀)의 다이어그램(700B)을 도시한다. 도 7b는 최상부에 로컬 그리드 수평 스트랩(704)을 갖는 스위치(702)(즉, 파워 스위치 셀)의 다이어그램(700B)을 도시하고, 여기서 전역 그리드 스트랩(708)은 스위치(702)(즉, 파워 스위치 셀) 위에 걸쳐 있고, 전역 그리드 스트랩(708)의 위치는 로컬 그리드 스트랩(704)의 위치와 관련이 없다. 또한, 도 7c는 최상부에 로컬 그리드 수직 스트랩(706)을 갖는 스위치(702)(즉, 파워 스위치 셀)의 다이어그램(700C)을 도시하고, 여기서 전역 그리드 스트랩(708)은 스위치(702)(즉, 파워 스위치 셀) 위에 걸쳐있고, 전역 그리드 스트랩(708)의 위치는 로컬 그리드 스트랩(704)의 위치와 관련된다. 일부 구현에서, 도 7c를 참조하면, 정렬된 스트랩은 동일한 폭일 필요가 없다.
일부 구현에서, 전역 전력 분배 그리드(GPDG)는 파워 게이트 셀(PGC) 및 로컬 전력 분배 그리드(LPDG)와 정렬되고 그 위에 배치된다. 예를 들어, 전역 전력 분배 그리드(GPDG)의 적어도 일부는 로컬 전력 분배 그리드(LPDG) 위에 놓여 이들 2 그리드가 연결할 수 있도록 한다. 일부 경우에, 이들 2 그리드는 엄격하게 정렬할 필요가 없다. 다른 예에서, 정렬과 관련하여, 로컬 전력 분배 그리드(LPDG)는 라우팅 층에서 형상을 가질 수 있고, 전역 전력 분배 그리드(GPDG)는 다른 라우팅 층에서 형상을 가질 수 있다. 이 경우, 이들 그리드는 둘 다 동일한 라우팅 방향(예를 들어, 수직)에 있고 x 방향(즉, 수평)으로 서로 겹치는 경우 정렬된다. 그러나 로컬 전력 분배 그리드(LPDG)가 수평층에서 끝나고 전역 전력 분배 그리드(GPDG)의 수직층에 연결되거나 그 반대가 될 수 있다. 또한, 일부 경우에, 이들 2 그리드는 비아(들)를 삽입하기 위해 적어도 하나의 중첩 영역을 가질 필요가 있을 수 있다.
여기에는 집적 회로의 다양한 구현이 기술되어 있다. 집적 회로는 파워 게이트 셀 및 제1 전력 분배 그리드를 포함할 수 있다. 집적 회로는 파워 게이트 셀과 정렬되고 그 위에 배치된 제2 전력 분배 그리드를 포함할 수 있다. 제2 전력 분배 그리드는 파워 게이트 셀과 제1 전력 분배 그리드 사이에 배치될 수 있다.
본원에서는 집적 회로의 다양한 구현이 기술된다. 집적 회로는 파워 게이트 셀 및 파워 게이트 셀에 전기적으로 결합된 전역 전력 분배 그리드를 포함할 수 있다. 집적 회로는 파워 게이트 셀에 전기적으로 결합된 로컬 전력 분배 그리드를 포함할 수 있다. 로컬 전력 분배 그리드는 파워 게이트 셀과 정렬되고 그 위에 배치된다. 로컬 전력 분배 그리드는 파워 게이트 셀과 전역 전력 분배 그리드 사이에 배치된다.
본원에서는 집적 회로를 제조하는 방법의 다양한 구현이 기술된다. 방법은 파워 게이트 셀을 제조하는 단계 및 전역 전력 분배 그리드를 제조하는 단계를 포함할 수 있다. 방법은 파워 게이트 셀과 정렬되고 그 위에 배치된 로컬 전력 분배 그리드를 제조하는 단계를 포함할 수 있다. 로컬 전력 분배 그리드는 파워 게이트 셀과 전역 전력 분배 그리드 사이에 배치될 수 있다.
청구되는 주제는 본원에 제공된 구현 및 예시에 한정되지 않고, 구현의 일부 및 청구항에 따른 상이한 구현의 엘리먼트의 조합을 포함하는 그러한 구현의 수정 된 형태를 포함하도록 의도되어야 한다. 엔지니어링 또는 설계 프로젝트에서와 같이 그러한 구현을 개발할 때 시스템 관련 및 비즈니스 관련 제약 조건 준수와 같은 개발자의 특정 목표를 달성하기 위해 수많은 구현 관련 결정을 내려야 하고, 이는 구현마다 다르다는 것이 이해되어야 한다. 또한, 그러한 개발 노력은 복잡하고 시간 소모적일 수 있지만 그럼에도 불구하고 본 개시의 이점을 갖는 통상의 기술자를 위한 설계, 생산 및 제조의 일상적인 작업이라는 것을 이해해야 한다.
다양한 구현에 대한 참조가 상세하게 이루어졌으며, 그 예가 첨부 도면 및 도면에 도시되어있다. 다음의 상세한 설명에서, 본원에 제공된 개시 내용의 완전한 이해를 제공하기 위해 다수의 특정 세부 사항이 제시된다. 그러나 여기에 제공된 개시는 이러한 특정 세부 사항 없이 실시될 수 있다. 일부 다른 예에서, 잘 알려진 방법, 절차, 구성 요소, 회로 및 네트워크는 실시 예의 세부 사항을 불필요하게 모호하게하지 않도록 상세하게 설명되지 않았다.
또한, 용어 제1, 제2 등이 본원에서 다양한 엘리먼트를 설명하기 위해 사용될 수 있지만, 이들 엘리먼트는 이들 용어에 의해 한정되지 않아야 한다는 것을 이해해야 한다. 이들 용어는 한 엘리먼트를 다른 엘리먼트와 구별하는 데만 사용된다. 예를 들어, 제1 엘리먼트는 제2 엘리먼트로 명명될 수 있으며, 유사하게 제2 엘리먼트는 제1 엘리먼트로 명명될 수 있다. 제1 엘리먼트와 제2 엘리먼트는 각각 두 엘리먼트이지만 동일한 엘리먼트로 간주되지 않는다.
본원에 제공된 개시 내용의 설명에 사용된 용어는 특정 구현을 설명하기 위한 것이며 본원에 제공된 개시 내용을 제한하려는 의도가 아니다. 본원에 제공된 개시 내용 및 첨부된 청구 범위의 설명에 사용된 바와 같이, 단수 형태 "a", "an" 및 "the"는 문맥이 달리 명백하게 나타내지 않는 한 복수 형태도 포함하도록 의도된다. 본원에 사용된 용어 "및/또는"은 하나 이상의 연관된 열거 항목의 임의 및 모든 가능한 조합을 지칭하고 포함한다. 본 명세서에서 사용될 때 "includes", "including", "comprises" 및/또는 "comprising"이라는 용어는 언급된 특징, 정수, 단계, 동작, 엘리먼트 및/또는 컴포넌트의 존재를 지정하지만, 하나 이상의 다른 특징, 정수, 단계, 동작, 엘리먼트, 컴포넌트 및/또는 그의 그룹의 존재 또는 추가를 배제하지 않는다.
본원에 사용된 용어 "if"는 문맥에 따라 "언제" 또는 "시점" 또는 "판정에 대해 응답하여" 또는 "검출에 대해 응답하여"를 의미하는 것으로 해석될 수 있다. 유사하게, "그것이 판정된 경우" 또는 "[명시된 조건 또는 이벤트]가 검출된 경우"라는 문구는 문맥에 따라 "판정시" 또는 "판정에 응답하여" 또는 "[명시된 조건 또는 이벤트] 검출시" 또는 "[명시된 조건 또는 이벤트] 검출에 응답하여"를 의미하는 것으로 해석될 수 있다. 용어 "위" 및 "아래", "상부" 및 "하부", "위로" 및 "아래로", "아래에" 및 "위에", 및 주어진 포인트 또는 엘리먼트 위 또는 아래의 상대적 위치를 나타내는 다른 유사한 용어가 본원에 기술된 다양한 기술의 일부 구현과 관련하여 사용될 수 있다.
상술한 내용은 본원에 기술된 다양한 기술의 구현에 관한 것이지만, 다른 구현 및 추가 구현이 본원의 개시 내용에 따라 고안될 수 있으며, 이는 다음의 청구범위에 의해 판정될 수 있다.
주제가 구조적 특징 및/또는 방법론적 행위에 특정한 언어로 설명되었지만, 첨부된 청구 범위에 정의된 주제가 반드시 위에 설명된 특정 특징 또는 액션에 한정되는 것은 아니라는 것을 이해해야 한다. 오히려, 위에서 설명된 특정 특징 및 액션은 청구 범위를 구현하는 예시적인 형태로 개시된다.

Claims (20)

  1. 파워 게이트 셀;
    제1 전력 분배 그리드; 및
    상기 파워 게이트 셀과 정렬되고 그 위에 배치된 제2 전력 분배 그리드;
    를 포함하고,
    상기 제2 전력 분배 그리드는 상기 파워 게이트 셀과 상기 제1 전력 분배 그리드 사이에 배치되는 것을 특징으로 하는 집적 회로.
  2. 제1 항에 있어서, 상기 제1 전력 분배 그리드는 상기 파워 게이트 셀 및 상기 제2 전력 분배 그리드와 정렬되고 그 위에 배치되고, 상기 제1 전력 분배 그리드는 상기 파워 게이트 셀과 상기 제2 전력 분배 그리드 중 적어도 하나에 대해 조정 가능하여 그것들과 정렬되도록 하는 것을 특징으로 하는 집적 회로.
  3. 제1 항 또는 제2 항에 있어서, 상기 제2 전력 분배 그리드는 상기 파워 게이트 셀 바로 위에 그리고 바로 인접하게 배치되는 것을 특징으로 하는 집적 회로.
  4. 제1 항 내지 제3 항 중 어느 한 항에 있어서, 상기 제2 전력 분배 그리드는 상기 제1 전력 분배 그리드와 별개이고 상이하며, 상기 제1 전력 분배 그리드는 상기 제2 전력 분배 그리드 위에 배치되는 것을 특징으로 하는 집적 회로.
  5. 제1 항 내지 제4 항 중 어느 한 항에 있어서, 상기 제1 전력 분배 그리드는 상기 파워 게이트 셀에 전기적으로 결합되는 전역 전력 분배 그리드를 포함하는 것을 특징으로 하는 집적 회로.
  6. 제1 항 내지 제5 항 중 어느 한 항에 있어서, 상기 제2 전력 분배 그리드는 상기 파워 게이트 셀에 전기적으로 결합된 로컬 전력 분배 그리드를 포함하는 것을 특징으로 하는 집적 회로.
  7. 제1 항 내지 제6 항 중 어느 한 항에 있어서, 상기 제1 전력 분배 그리드는 하나 이상의 금속 층을 포함하는 것을 특징으로 하는 집적 회로.
  8. 제1 항 내지 제7 항 중 어느 한 항에 있어서, 상기 제2 전력 분배 그리드는 직교하는 금속 층인 2개 이상의 층을 포함하는 것을 특징으로 하는 집적 회로.
  9. 제8 항에 있어서, 상기 2개 이상의 층의 각각의 층은 상기 제2 전력 분배 그리드를 상기 파워 게이트 셀과 정렬시키는 것을 돕도록 조정 가능한 것을 특징으로 하는 집적 회로.
  10. 제1 항 내지 제9 항 중 어느 한 항에 있어서, 상기 파워 게이트 셀은 파워 스위치 셀을 포함하는 것을 특징으로 하는 집적 회로.
  11. 파워 게이트 셀;
    상기 파워 게이트 셀에 전기적으로 결합된 전역 전력 분배 그리드; 및
    상기 파워 게이트 셀에 전기적으로 결합된 로컬 전력 분배 그리드;
    를 포함하고,
    상기 로컬 전력 분배 그리드는 상기 파워 게이트 셀과 정렬되고 그 위에 배치되고, 상기 로컬 전력 분배 그리드는 상기 파워 게이트 셀과 상기 전역 전력 분배 그리드 사이에 배치되는 것을 특징으로 하는 집적 회로.
  12. 제11 항에 있어서, 상기 전역 전력 분배 그리드는 상기 파워 게이트 셀 및 상기 로컬 전력 분배 그리드와 정렬되고 그 위에 배치되는 것을 특징으로 하는 집적 회로.
  13. 제11 항 또는 제12 항에 있어서, 상기 로컬 전력 분배 그리드는 상기 파워 게이트 셀 바로 위에 그리고 바로 인접하게 배치되는 것을 특징으로 하는 집적 회로.
  14. 제11 항 내지 제13 항 중 어느 한 항에 있어서, 상기 로컬 전력 분배 그리드는 상기 전역 전력 분배 그리드와 별개이고 상이하며, 상기 전역 전력 분배 그리드는 상기 로컬 전력 분배 그리드 위에 배치되는 것을 특징으로 하는 집적 회로.
  15. 제11 항 내지 제14 항 중 어느 한 항에 있어서, 상기 전역 전력 분배 그리드 및 상기 로컬 전력 분배 그리드는 상기 파워 게이트 셀에 전기적으로 결합되는 것을 특징으로 하는 집적 회로.
  16. 제11 항 내지 제15 항 중 어느 한 항에 있어서, 상기 전역 전력 분배 그리드는 하나 이상의 금속 층을 포함하는 것을 특징으로 하는 집적 회로.
  17. 제11 항 내지 제16 항 중 어느 한 항에 있어서, 상기 로컬 전력 분배 그리드는 직교하는 금속 층인 2개 이상의 층을 포함하는 것을 특징으로 하는 집적 회로.
  18. 제17 항에 있어서, 상기 2개 이상의 층의 각각의 층은 상기 제2 전력 분배 그리드를 상기 파워 게이트 셀과 정렬시키는 것을 돕도록 조정 가능한 것을 특징으로 하는 집적 회로.
  19. 제11 항 내지 제18 항 중 어느 한 항에 있어서, 상기 파워 게이트 셀은 파워 스위치 셀을 포함하는 것을 특징으로 하는 집적 회로.
  20. 파워 게이트 셀을 제조하는 단계;
    전역 전력 분배 그리드를 제조하는 단계; 및
    상기 파워 게이트 셀과 정렬되고 그 위에 배치되는 로컬 전력 분배 그리드를 제조하는 단계;
    를 포함하고,
    상기 로컬 전력 분배 그리드는 상기 파워 게이트 셀과 상기 전역 전력 분배 그리드 사이에 배치되는 것을 특징으로 하는 집적 회로를 제조하는 방법.
KR1020207024138A 2018-02-02 2019-01-11 전력 분배 회로 KR102586756B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/887,972 US11380618B2 (en) 2018-02-02 2018-02-02 Power distribution circuitry
US15/887,972 2018-02-02
PCT/GB2019/050078 WO2019150072A1 (en) 2018-02-02 2019-01-11 Power distribution circuitry

Publications (2)

Publication Number Publication Date
KR20200116948A true KR20200116948A (ko) 2020-10-13
KR102586756B1 KR102586756B1 (ko) 2023-10-11

Family

ID=65036836

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207024138A KR102586756B1 (ko) 2018-02-02 2019-01-11 전력 분배 회로

Country Status (5)

Country Link
US (1) US11380618B2 (ko)
EP (1) EP3747047A1 (ko)
KR (1) KR102586756B1 (ko)
CN (1) CN111684589A (ko)
WO (1) WO2019150072A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11735525B2 (en) * 2019-10-21 2023-08-22 Tokyo Electron Limited Power delivery network for CFET with buried power rails
JP2022110807A (ja) 2021-01-19 2022-07-29 株式会社ソシオネクスト 半導体装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011258952A (ja) * 2010-06-09 2011-12-22 Lsi Corp パワーグリッド最適化

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9136267B2 (en) * 2014-02-07 2015-09-15 Omnivision Technologies, Inc. Standard cell global routing channels over active regions
US9287257B2 (en) * 2014-05-30 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating for three dimensional integrated circuits (3DIC)
EP3229270A1 (en) * 2016-04-06 2017-10-11 IMEC vzw Integrated circuit power distribution network

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011258952A (ja) * 2010-06-09 2011-12-22 Lsi Corp パワーグリッド最適化

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
HTTPS://SEMIENGINEERING.COM/ALL_ABOUT_INTERCONNECTS/(DECEMBER 18TH, 2017 BY LARRY ZHAO)* *
PROCEEDINGS OF THE IEEE ( VOLUME: 89, ISSUE: 5, MAY 2001)* *

Also Published As

Publication number Publication date
KR102586756B1 (ko) 2023-10-11
EP3747047A1 (en) 2020-12-09
WO2019150072A1 (en) 2019-08-08
CN111684589A (zh) 2020-09-18
US11380618B2 (en) 2022-07-05
US20190244900A1 (en) 2019-08-08

Similar Documents

Publication Publication Date Title
KR101745798B1 (ko) 글로벌 연결부 라우팅 방법 및 이를 실시하기 위한 시스템
KR102389047B1 (ko) 집적회로 내부의 전력망 도체 배치
US8239806B2 (en) Routing system and method for double patterning technology
US8495547B2 (en) Providing secondary power pins in integrated circuit design
US9530727B2 (en) Conductive line routing for multi-patterning technology
US10553574B2 (en) Standard cell for removing routing interference between adjacent pins and device including the same
US8171446B2 (en) Method for designing a semiconductor device by computing a number of vias, program therefor, and semiconductor device
Cong et al. An enhanced multilevel routing system
JPS60130843A (ja) 接続路を設定する方法
JP2005209776A (ja) 自動設計方法、自動設計装置、及び半導体集積回路
Nieberg Gridless pin access in detailed routing
US20090039520A1 (en) Semiconductor circuit device, wiring method for semiconductor circuit device and data processing system
US10831972B2 (en) Capacity model for global routing
US10204894B2 (en) Via placement within an integrated circuit
KR102586756B1 (ko) 전력 분배 회로
CN100382087C (zh) 半导体器件、半导体器件的布线方法及制造方法
US7612599B2 (en) Semiconductor device
US8381162B2 (en) Method of adapting a layout of a standard cell of an integrated circuit
KR102238312B1 (ko) 표준 셀들에 대한 핀 수정
JP2008227130A (ja) 半導体集積回路およびレイアウト設計方法
US20180211914A1 (en) Power Distribution
JP2008310527A (ja) 半導体集積回路のレイアウト設計装置及びレイアウト設計方法
US11152139B2 (en) Power rail stitching technique
JP2006294707A (ja) 半導体集積回路の配線方法および半導体集積回路
Yan Algorithmic studies on PCB routing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant