KR20200053096A - 반도체 칩의 세정 방법 및 이를 수행하기 위한 장치 - Google Patents

반도체 칩의 세정 방법 및 이를 수행하기 위한 장치 Download PDF

Info

Publication number
KR20200053096A
KR20200053096A KR1020180136259A KR20180136259A KR20200053096A KR 20200053096 A KR20200053096 A KR 20200053096A KR 1020180136259 A KR1020180136259 A KR 1020180136259A KR 20180136259 A KR20180136259 A KR 20180136259A KR 20200053096 A KR20200053096 A KR 20200053096A
Authority
KR
South Korea
Prior art keywords
semiconductor chip
polar chemical
chemical
polar
protective film
Prior art date
Application number
KR1020180136259A
Other languages
English (en)
Inventor
이혜경
박성현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020180136259A priority Critical patent/KR20200053096A/ko
Priority to US16/420,359 priority patent/US20200152487A1/en
Priority to CN201910666346.8A priority patent/CN111162001A/zh
Publication of KR20200053096A publication Critical patent/KR20200053096A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • C11D11/0005Special cleaning or washing methods
    • C11D11/0011Special cleaning or washing methods characterised by the objects to be cleaned
    • C11D11/0023"Hard" surfaces
    • C11D11/0047Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/24Hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/24Hydrocarbons
    • C11D7/241Hydrocarbons linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/264Aldehydes; Ketones; Acetals or ketals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • C11D7/30Halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B11/00Machines or apparatus for drying solid materials or objects with movement which is non-progressive
    • F26B11/18Machines or apparatus for drying solid materials or objects with movement which is non-progressive on or in moving dishes, trays, pans, or other mainly-open receptacles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B3/00Drying solid materials or objects by processes involving the application of heat
    • F26B3/02Drying solid materials or objects by processes involving the application of heat by convection, i.e. heat being conveyed from a heat source to the materials or objects to be dried by a gas or vapour, e.g. air
    • F26B3/04Drying solid materials or objects by processes involving the application of heat by convection, i.e. heat being conveyed from a heat source to the materials or objects to be dried by a gas or vapour, e.g. air the gas or vapour circulating over or surrounding the materials or objects to be dried
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B5/00Drying solid materials or objects by processes not involving the application of heat
    • F26B5/08Drying solid materials or objects by processes not involving the application of heat by centrifugal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02076Cleaning after the substrates have been singulated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • C11D2111/22

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Electromagnetism (AREA)
  • Molecular Biology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microbiology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

반도체 칩의 세정 방법에 따르면, 적어도 하나의 반도체 칩의 표면에 형성된 보호막으로 제 1 극성 케미컬(polar chemical)을 제공하여, 상기 반도체 칩의 표면으로부터 이물질을 부유시킬 수 있다. 상기 제 1 극성 케미컬의 표면 장력보다 낮은 표면 장력을 갖는 제 2 극성 케미컬을 상기 제 1 극성 케미컬의 중앙부로 제공하여, 상기 제 1 극성 케미컬과 상기 이물질을 상기 반도체 칩의 외곽으로 밀어낼 수 있다. 따라서, 이물질을 반도체 칩으로부터 효과적으로 제거할 수 있음과 동시에 보호막도 같이 제거할 수 있다.

Description

반도체 칩의 세정 방법 및 이를 수행하기 위한 장치{METHOD OF CLEANING A SEMICONDUCTOR CHIP AND APPARATUS FOR PERFORMING THE SAME}
본 발명은 반도체 칩의 세정 방법 및 이를 수행하기 위한 장치에 관한 것이다. 보다 구체적으로, 본 발명은 시모스 이미지 센서(CMOS image sensor)로부터 이물질을 제거하는 방법 및 이러한 방법을 수행하기 위한 장치에 관한 것이다.
일반적으로, 반도체 기판에 복수개의 시모스 이미지 센서들이 형성될 수 있다. 반도체 기판은 스크라이브 레인을 따라 절단되어, 시모스 이미지 센서들이 분리될 수 있다. 이러한 절단 공정 중에 발생된 이물질이 시모스 이미지 센서에 묻게 되면, 시모스 이미지 센서의 불량이 야기될 수 있다. 이를 방지하기 위해서, 시모스 이미지 센서의 표면에 보호막이 형성될 수 있다.
관련 기술들에 따르면, 탈이온수를 시모스 이미지 센서로 분사하여 이물질을 제거할 수 있다. 그러나, 시모스 이미지 센서의 편평하지 않은 표면에 묻은 이물질은 탈이온수로 제거하기가 용이하지 않을 수 있다. 또한, 보호막을 시모스 이미지 센서로부터 제거하기 위한 별도의 공정이 요구될 수 있다.
본 발명은 이물질을 효과적으로 제거함과 동시에 보호막 제거도 가능한 반도체 칩의 세정 방법을 제공한다.
또한, 본 발명은 상기된 방법을 수행하기 위한 장치도 제공한다.
본 발명의 일 견지에 따른 반도체 칩의 세정 방법에 따르면, 적어도 하나의 반도체 칩의 표면에 형성된 보호막으로 제 1 극성 케미컬(polar chemical)을 제공하여, 상기 반도체 칩의 표면으로부터 이물질을 부유시킬 수 있다. 상기 제 1 극성 케미컬의 표면 장력보다 낮은 표면 장력을 갖는 제 2 극성 케미컬을 상기 제 1 극성 케미컬의 중앙부로 제공하여, 상기 제 1 극성 케미컬과 상기 이물질을 상기 반도체 칩의 외곽으로 밀어낼 수 있다.
본 발명의 다른 견지에 따른 시모스 이미지 센서의 세정 방법에 따르면, 적어도 하나의 시모스 이미지 센서의 표면에 형성된 아크릴계 폴리머막을 상기 제 1 극성 케미컬로 용해시켜서 상기 시모스 이미지 센서의 표면으로부터 이물질을부유시킬 수 있다. 상기 제 1 극성 케미컬의 표면 장력보다 낮은 표면 장력을 갖는 제 2 극성 케미컬을 상기 제 1 극성 케미컬의 중앙부로 제공하여, 상기 제 1 극성 케미컬과 상기 이물질을 상기 시모스 이미지 센서의 외곽으로 밀어낼 수 있다. 상기 시모스 이미지 센서로 탈이온수를 분사할 수 있다. 상기 시모스 이미지 센서의 표면에 잔류한 상기 탈이온수를 건조시킬 수 있다.
본 발명의 또 다른 견지에 따른 반도체 칩의 세정 장치는 제 1 노즐 및 제 2 노즐을 포함할 수 있다. 상기 제 1 노즐은 적어도 하나의 반도체 칩의 표면에 형성된 보호막의 상부에 배치되어, 상기 보호막으로 제 1 극성 케미컬(polar chemical)을 제공하여 상기 반도체 칩의 표면으로부터 이물질을 부유시킬 수 있다. 상기 제 2 노즐은 상기 보호막의 상부에 배치되고, 상기 제 1 극성 케미컬의 표면 장력보다 낮은 표면 장력을 갖는 제 2 극성 케미컬을 상기 제 1 극성 케미컬의 중앙부로 제공하여, 상기 제 1 극성 케미컬과 상기 이물질을 상기 반도체 칩의 외곽으로 밀어낼 수 있다.
상기된 본 발명에 따르면, 제 1 극성 케미컬이 보호막을 용해시킴으로써, 이물질이 반도체 칩의 표면으로부터 부유될 수 있다. 제 2 극성 케미컬이 제 1 극성 케미컬의 중앙부로 제공되어, 제 1 및 제 2 극성 케미컬들 간의 표면 장력 차이로 인해서 제 1 극성 케미컬과 이물질이 반도체 칩의 외곽으로 밀려날 수 있다. 따라서, 이물질을 반도체 칩으로부터 효과적으로 제거할 수 있음과 동시에 보호막도 같이 제거할 수 있다.
도 1 내지 도 6은 본 발명의 실시예에 따른 세정 장치가 반도체 칩을 세정하는 방법을 순차적으로 나타낸 단면도들이다.
도 7은 본 발명의 실시예에 따른 세정 방법을 순차적으로 나타낸 흐름도이다.
이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명한다.
도 1 내지 도 6은 본 발명의 실시예에 따른 세정 장치가 반도체 칩을 세정하는 방법을 순차적으로 나타낸 단면도들이고, 도 7은 본 발명의 실시예에 따른 세정 방법을 순차적으로 나타낸 흐름도이다.
도 1 및 도 7을 참조하면, 단계 ST210에서, 반도체 칩(C)을 척(110)의 상부면에 배치시킬 수 있다. 본 실시예에서, 복수개의 반도체 칩(C)들이 부착된 테이프(T)를 척(110)의 상부면에 배치시킬 수 있다. 반도체 칩(C)들은 반도체 기판을 절단하여 형성될 수 있다. 반도체 칩(C)은 시모스 이미지 센서를 포함할 수 있다.
또한, 보호막(M)이 반도체 칩(C)들 각각의 상부면에 형성될 수 있다. 보호막(M)은 절단 공정 중에 발생된 이물질(P)들이 반도체 칩(C)에 부착되는 것을 방지할 수 있다. 따라서, 이물질(P)들은 보호막(M)의 표면에 부착될 수 있다. 보호막(M)은 아크릴계 폴리머를 포함할 수 있다.
본 실시예에서, 척(110)은 수직축을 중심으로 테이프(T)를 회전시키는 스핀 척을 포함할 수 있다. 테이프(T)는 척(110)의 상부면 가장자리에 배치된 링(120)으로 고정될 수 있다.
도 2 및 도 7을 참조하면, 단계 ST220에서, 제 1 노즐(130)을 반도체 칩(C)들의 상부에 배치시킬 수 있다. 제 1 노즐(130)은 제 1 극성 케미컬(S1)을 보호막(M)의 중앙부로 제공할 수 있다.
제 1 극성 케미컬(S1)은 보호막(M)을 용해시킬 수 있다. 보호막(M)이 아크릴계 폴리머를 포함하므로, 제 1 극성 케미컬(S1)은 아크릴계 폴리머를 용해시킬 수 있는 물질을 포함할 수 있다. 또한, 제 1 극성 케미컬(S1)은 반도체 칩(C)들이 부착된 테이프(T)에 변형을 일으키지 않는 물질을 포함할 수 있다. 아울러, 제 1 극성 케미컬(S1)은 테이프(T)를 고정하는 링(120)을 부식시키지 않는 물질을 포함할 수 있다. 특히, 제 1 극성 케미컬(S1)은 반도체 칩(C)의 액티브 픽셀 및 패드에 손상을 주지 않는 물질을 포함할 수 있다. 본 실시예에서, 제 1 극성 케미컬(S1)은 다이메틸 설폭사이드(dimethyl sulfoxide : DMSO), 글리콜(glycol) 및 아민(amine)을 포함할 수 있다. 특히, 제 1 극성 케미컬(S1)은 70 내지 90 중량%의 DMOS, 1 내지 15 중량%의 글리콜, 및 1 내지 15 중량%의 아민을 포함할 수 있다.
또한, 제 1 노즐(130)을 통해서 보호막(M)의 표면으로 제공된 제 1 극성 케미컬(S1)은 보호막(M) 전체를 덮을 수 있는 충분한 양을 가질 수 있다. 예를 들어서, 보호막(M) 전체를 덮는 제 1 극성 케미컬(S1)의 양은 대략 50ml 내지 250ml일 수 있다. 제 1 극성 케미컬(S1)의 공급량은 제 1 극성 케미컬(S1)에 의해 용해되어야 할 보호막(M)의 두께에 따라 결정될 수 있다. 제 1 극성 케미컬(S1)이 보호막(M) 전체를 용이하게 덮도록 하기 위해서, 척(110)으로 반도체 칩(C)을 회전시키면서 제 1 극성 케미컬(S1)을 보호막(M)으로 제공할 수 있다.
도 3 및 도 7을 참조하면, 단계ST230에서, 보호막(M)이 용해될 때까지 보호막(M)을 제 1 극성 케미컬(S1) 내에 딥핑시킬 수 있다. 보호막(M)이 용해되는 것에 의해서, 보호막(M)의 표면에 묻어 있던 이물질(P)들이 반도체 칩(C)의 표면으로부터 부유될 수 있다. 본 실시예에서, 보호막(M)은 10초 내지 300초 동안 제 1 극성 케미컬(S1) 내에 딥핑될 수 있다. 보호막(M)의 딥핑 시간은 보호막(M)의 두께에 따라 결정될 수 있다.
도 4 및 도 7을 참조하면, 단계 ST240에서, 제 2 노즐(140)이 반도체 칩(C)의 상부에 배치될 수 있다. 제 2 노즐(140)은 제 1 극성 케미컬(S1)의 중앙부로 제 2 극성 케미컬(S2)을 제공할 수 있다.
본 실시예에서, 제 2 극성 케미컬(S2)은 제 1 극성 케미컬(S1)의 표면 장력보다 낮은 표면 장력을 가질 수 있다. 제 2 극성 케미컬(S2)이 제 1 극성 케미컬(S1)의 중앙부로 제공되므로, 제 1 및 제 2 극성 케미컬(S1, S2)들 사이의 표면 장력 차이로 인해서 제 1 극성 케미컬(S1)은 반도체 칩(C)의 외곽, 즉 테이프(T)의 가장자리를 향해서 밀리게 될 수 있다. 따라서, 제 1 극성 케미컬(S1)에 의해부유된 이물질(P)들도 제 1 극성 케미컬(S1)과 함께 반도체 칩(C)의 외곽으로 밀려 날 수 있다. 제 2 극성 케미컬(S2)의 표면 장력은 제 1 극성 케미컬(S1)의 표면장력의 10% 내지 60%일 수 있다.
만일 제 2 케미컬이 극성을 갖지 않으면, 제 2 케미컬 내의 비극성 입자들은 강한 결합력을 가질 수 있다. 이러한 제 2 케미컬 내의 강한 결합력은 제 1 극성 케미컬(S1) 내의 극성 입자들을 반도체 칩(C)의 외곽을 향해서 밀어나는 힘을 약화시킬 수 있다. 따라서, 본 실시예의 제 2 케미컬(S2)은 제 1 극성 케미컬(S1) 내의 극성 입자들을 반도체 칩(C)의 외곽을 향해서 강하게 밀어낼 수 있는 극성을 가질 수 있다. 본 실시예에서, 제 2 극성 케미컬(S2)은 methanol, ethanol, IPA, propanol, n-hexane, n-octane, perfluorohexane, perfluorooctane, chloro butane, acetone, chloroform, isobutylchloride, 또는 이들의 혼합물을 포함할 수 있다.
또한, 제 2 극성 케미컬(S2)의 제공량은 제 1 극성 케미컬(S1)의 제공량 이하일 수 있다. 예를 들어서, 제 2 극성 케미컬(S2)의 제공량은 제 1 극성 케미컬(S1)의 제공량의 50% 내지 100%일 수 있다.
도 5 및 도 7을 참조하면, 단계 ST250에서, 제 3 노즐(150)을 반도체 칩(C)의 상부에 배치시킬 수 있다. 제 3 노즐(150)은 반도체 칩(C)으로 탈이온수(D)를 분사하여, 반도체 칩(C)을 세척할 수 있다. 또한, 척(110)으로 반도체 칩(C)을 회전시키면서, 제 3 노즐(150)이 탈이온수(D)를 반도체 칩(C)으로 분사할 수 있다. 아울러, 제 3 노즐(150)은 수평 방향을 따라 이동하면서 탈이온수(D)를 분사할 수 있다.
본 실시예에서, 탈이온수(D)의 분사 압력은 반도체 칩(C)이 테이프(T)로부터 이탈되지 않을 정도일 수 있다. 예를 들어서, 탈이온수(D)의 분사 압력은 대략 0.05MPa 내지1.0MPa일 수 있다. 척(110)의 회전 속도는 대략 100rpm 내지1,000rpm일 수 있다.
도 6 및 도 7을 참조하면, 단계 ST260에서, 제 4 노즐(160)을 반도체 칩(C)의 상부에 배치시킬 수 있다. 제 4 노즐(160)은 반도체 칩(C)으로 건고 공기(A)를 분사하여, 반도체 칩(C)의 표면에 잔류한 탈이온수(D)를 건조시킬 수 있다. 또한, 척(110)으로 반도체 칩(C)을 회전시키면서 제 4 노즐(160)이 건조 공기를 반도체 칩(C)으로 분사할 수 있다. 본 실시예에서, 척(120)은 1,000rpm 이상의 속도로 2분 이상 회전될 수 있다.
한편, 본 실시예의 방법이 보호막을 갖는 시모스 이미지 센서에 적용되는 것으로 예시하였으나, 본 실시예의 방법은 보호막을 갖는 여러 가지 반도체 칩들에 적용될 수 있다.
상기된 본 실시예들에 따르면, 제 1 극성 케미컬이 보호막을 용해시킴으로써, 이물질이 반도체 칩의 표면으로부터 부유될 수 있다. 제 2 극성 케미컬이 제 1 극성 케미컬의 중앙부로 제공되어, 제 1 및 제 2 극성 케미컬들 간의 표면 장력 차이로 인해서 제 1 극성 케미컬과 이물질이 반도체 칩의 외곽으로 밀려날 수 있다. 따라서, 이물질을 반도체 칩으로부터 효과적으로 제거할 수 있음과 동시에 보호막도 같이 제거할 수 있다.
상술한 바와 같이, 본 발명의 바람직한 실시예들을 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
A ; 건조 공기 C ; 반도체 칩
D ; 탈이온수 M ; 보호막
P ; 이물질 S1 ; 제 1 극성 케미컬
S2 ; 제 2 극성 케미컬 T ; 테이프
110 ; 척 120 ; 링
130 ; 제 1 노즐 140 ; 제 2 노즐
150 ; 제 3 노즐 160 ; 제 4 노즐

Claims (20)

  1. 적어도 하나의 반도체 칩의 표면에 형성된 보호막으로 제 1 극성 케미컬(polar chemical)을 제공하여, 상기 반도체 칩의 표면으로부터 이물질을 부유시키고; 그리고
    상기 제 1 극성 케미컬의 표면 장력보다 낮은 표면 장력을 갖는 제 2 극성 케미컬을 상기 제 1 극성 케미컬의중앙부로 제공하여, 상기 제 1 극성 케미컬과 상기 이물질을 상기 반도체 칩의 외곽으로 밀어내는 것을 포함하는 반도체 칩의 세정 방법.
  2. 제 1 항에 있어서, 상기 제 1 극성 케미컬을 상기 보호막으로 제공하는 것은 상기 제 1 극성 케미컬로 상기 보호막을 용해시켜서 상기 이물질을 상기 반도체 칩의 표면으로부터 부유시키는 것을 포함하는 반도체 칩의 세정 방법.
  3. 제 2 항에 있어서, 상기 제 1 극성 케미컬을 상기 보호막으로 제공한 이후, 상기 보호막이 용해될 때까지 상기 보호막을 상기 제 1 극성 케미컬 내에 딥핑시키는 것을 더 포함하는 반도체 칩의 세정 방법.
  4. 제 2 항에 있어서, 상기 보호막은 아크릴계 폴리머를 포함하고, 상기 제 1 극성 케미컬은 다이메틸 설폭사이드(dimethyl sulfoxide : DMSO), 글리콜(glycol) 및 아민(amine)을 포함하는 반도체 칩의 세정 방법.
  5. 제 4 항에 있어서, 상기 제 1 극성 케미컬은 70 내지 90 중량%의 DMOS, 1 내지 15 중량%의 글리콜, 및 1 내지 15 중량%의 아민을 포함하는 반도체 칩의 세정 방법.
  6. 제 1 항에 있어서, 상기 제 1 극성 케미컬을 상기 보호막으로 제공하는 것은 상기 제 1 극성 케미컬을 상기 보호막의 중앙부로 제공하여, 상기 제 1 극성 케미컬로 상기 보호막 전체를 덮는 것을 포함하는 반도체 칩의 세정 방법.
  7. 제 6 항에 있어서, 상기 제 1 극성 케미컬을 상기 보호막으로 제공하는 것은 상기 반도체 칩을 회전시키면서 상기 제 1 극성 케미컬을 상기 보호막으로 제공하는 것을 더 포함하는 반도체 칩의 세정 방법.
  8. 제 1 항에 있어서, 상기 제 2 극성 케미컬의 상기 표면 장력은 상기 제 1 극성 케미컬의 상기 표면 장력의 10% 내지 60%인 반도체 칩의 세정 방법.
  9. 제 8 항에 있어서, 상기 제 2 극성 케미컬은 methanol, ethanol, IPA, propanol, n-hexane, n-octane, perfluorohexane, perfluorooctane, chloro butane, acetone, chloroform, isobutylchloride, 또는 이들의 혼합물을 포함하는 반도체 칩의 세정 방법.
  10. 제 1 항에 있어서, 상기 제 2 극성 케미컬을 상기 제 1 극성 케미컬로 제공한 이후, 상기 반도체 칩으로 탈이온수를 분사하는 것을 더 포함하는 반도체 칩의 세정 방법.
  11. 제 10 항에 있어서, 상기 반도체 칩으로 상기 탈이온수를 분사하는 것은 상기 반도체 칩을 회전시키는 것을 포함하는 반도체 칩의 세정 방법.
  12. 제 10 항에 있어서, 상기 반도체 칩의 표면에 잔류한 상기 탈이온수를 건조시키는 것을 더 포함하는 반도체 칩의 세정 방법.
  13. 제 12 항에 있어서, 상기 탈이온수를 건조시키는 것은 상기 반도체 칩을 회전시키는 것을 포함하는 반도체 칩의 세정 방법.
  14. 제 1 항에 있어서, 상기 반도체 칩은 시모스 이미지 센서(CMOS image sensor)를 포함하는 반도체 칩의 세정 방법.
  15. 적어도 하나의 반도체 칩의 표면에 형성된 보호막의 상부에 배치되어, 상기 보호막으로 제 1 극성 케미컬(polar chemical)을 제공하여 상기 반도체 칩의 표면으로부터 이물질을 부유시키는 제 1 노즐; 및
    상기 보호막의 상부에 배치되고, 상기 제 1 극성 케미컬의 표면 장력보다 낮은 표면 장력을 갖는 제 2 극성 케미컬을 상기 제 1 극성 케미컬의중앙부로 제공하여, 상기 제 1 극성 케미컬과 상기 이물질을 상기 반도체 칩의 외곽으로 밀어내는 제 2 노즐을 포함하는 반도체 칩의 세정 장치.
  16. 제 15 항에 있어서, 상기 제 1 노즐은 상기 보호막 전체가 상기 제 1 극성 케미컬로 덮이도록 상기 제 1 극성 케미컬을 상기 보호막의 중앙부로 제공하는 반도체 칩의 세정 장치.
  17. 제 15 항에 있어서, 상기 반도체 칩으로 탈이온수를 분사하는 제 3 노즐을 더 포함하는 반도체 칩의 세정 장치.
  18. 제 17 항에 있어서, 상기 반도체 칩의 표면에 잔류한 상기 탈이온수로 건조 유체를 분사하는 제 4 노즐을 더 포함하는 반도체 칩의 세정 장치.
  19. 제 15 항에 있어서, 상기 반도체 칩을 지지하는 척을 더 포함하는 반도체 칩의 세정 장치.
  20. 제 19 항에 있어서, 상기 척은 상기 반도체 칩을 회전시키는 스핀 척을 포함하는 반도체 칩의 세정 장치.
KR1020180136259A 2018-11-08 2018-11-08 반도체 칩의 세정 방법 및 이를 수행하기 위한 장치 KR20200053096A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020180136259A KR20200053096A (ko) 2018-11-08 2018-11-08 반도체 칩의 세정 방법 및 이를 수행하기 위한 장치
US16/420,359 US20200152487A1 (en) 2018-11-08 2019-05-23 Method of cleaning a semiconductor chip and apparatus for performing the same
CN201910666346.8A CN111162001A (zh) 2018-11-08 2019-07-23 清洁半导体芯片的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180136259A KR20200053096A (ko) 2018-11-08 2018-11-08 반도체 칩의 세정 방법 및 이를 수행하기 위한 장치

Publications (1)

Publication Number Publication Date
KR20200053096A true KR20200053096A (ko) 2020-05-18

Family

ID=70550757

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180136259A KR20200053096A (ko) 2018-11-08 2018-11-08 반도체 칩의 세정 방법 및 이를 수행하기 위한 장치

Country Status (3)

Country Link
US (1) US20200152487A1 (ko)
KR (1) KR20200053096A (ko)
CN (1) CN111162001A (ko)

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5660642A (en) * 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5910394A (en) * 1997-06-18 1999-06-08 Shipley Company, L.L.C. I-line photoresist compositions
JP4174174B2 (ja) * 2000-09-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置およびその製造方法並びに半導体装置実装構造体
KR100510762B1 (ko) * 2001-02-01 2005-08-30 에이펫(주) 웨이퍼 건조기
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
KR100532950B1 (ko) * 2003-04-16 2005-12-02 주식회사 하이닉스반도체 웨이퍼 습식 세정 방법
US20050066995A1 (en) * 2003-09-30 2005-03-31 International Business Machines Corporation Non-hermetic encapsulant removal for module rework
US7553700B2 (en) * 2004-05-11 2009-06-30 Gem Services, Inc. Chemical-enhanced package singulation process
WO2006056298A1 (en) * 2004-11-25 2006-06-01 Basf Aktiengesellschaft Resist stripper and residue remover for cleaning copper surfaces in semiconductor processing
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) * 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
GB2454603B (en) * 2006-02-24 2010-05-05 Wolfson Microelectronics Plc Mems device
SG175559A1 (en) * 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
US20100104824A1 (en) * 2006-10-23 2010-04-29 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists
KR20100049888A (ko) * 2008-11-04 2010-05-13 무진전자 주식회사 표면 장력 차이를 이용한 캐스케이드 반도체 웨이퍼 건조방법 및 장치
TWI422075B (zh) * 2009-03-13 2014-01-01 Advanced Optoelectronic Tech 覆晶式半導體光電元件之結構及其製造方法
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
US8674502B2 (en) * 2010-07-16 2014-03-18 Hitachi Chemical Company, Ltd. Semiconductor-encapsulating adhesive, semiconductor-encapsulating film-form adhesive, method for producing semiconductor device, and semiconductor device
JP5486708B2 (ja) * 2013-02-28 2014-05-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
EP3282474B1 (en) * 2016-08-11 2021-08-04 IMEC vzw Method for performing a wet treatment of a substrate

Also Published As

Publication number Publication date
US20200152487A1 (en) 2020-05-14
CN111162001A (zh) 2020-05-15

Similar Documents

Publication Publication Date Title
TWI641036B (zh) 晶圓之加工方法
TWI700133B (zh) 基板洗淨方法、基板洗淨系統及記憶媒體
TWI594808B (zh) Resin coating device
US9346671B2 (en) Shielding MEMS structures during wafer dicing
CN106952871A (zh) 保护膜覆盖方法
KR20090057105A (ko) 웨이퍼 회로면의 보호 방법 및 웨이퍼 박화 방법
KR20200020424A (ko) 웨이퍼 링 프레임용 세정 장치 및 이를 포함하는 웨이퍼 코팅 장치
JP5713749B2 (ja) 保護膜塗布装置
JP4971078B2 (ja) 表面処理装置
KR20200053096A (ko) 반도체 칩의 세정 방법 및 이를 수행하기 위한 장치
TWI437627B (zh) 基板清洗製程
JP5671261B2 (ja) 被処理体の処理方法
JPH10189511A (ja) ウェーハ洗浄装置
CN106997154A (zh) 光刻胶工艺工具及其清洗用的杯状清洗盘和方法
JP6427367B2 (ja) 保護被膜の被覆方法
KR20070014576A (ko) 에지 비드 제거 장치
KR20110085079A (ko) 기판의 에지 비드 제거장치 및 방법
JP2012142617A5 (ja) 基板洗浄方法、基板洗浄装置、現像方法、現像装置及び記憶媒体
JP6513159B2 (ja) ウエハチャック補助具
JP7360970B2 (ja) 基板処理方法及び基板処理装置
KR100719718B1 (ko) 기판 주변부 습식 식각 방법 및 장치
TW201803649A (zh) 塗佈基板的方法及塗佈系統
WO2020209127A1 (ja) 基板処理方法及び基板処理システム
KR100872488B1 (ko) 기판 세정 장치
KR100744277B1 (ko) 웨이퍼의 에지 비드 제거장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application