KR20200041247A - A organic-metal precirsor compound and othin film prepared by using the same - Google Patents

A organic-metal precirsor compound and othin film prepared by using the same Download PDF

Info

Publication number
KR20200041247A
KR20200041247A KR1020190094927A KR20190094927A KR20200041247A KR 20200041247 A KR20200041247 A KR 20200041247A KR 1020190094927 A KR1020190094927 A KR 1020190094927A KR 20190094927 A KR20190094927 A KR 20190094927A KR 20200041247 A KR20200041247 A KR 20200041247A
Authority
KR
South Korea
Prior art keywords
thin film
tungsten
precursor compound
formula
alkyl group
Prior art date
Application number
KR1020190094927A
Other languages
Korean (ko)
Other versions
KR102231296B1 (en
Inventor
김호섭
백흥열
이태영
Original Assignee
주식회사 메카로
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 메카로 filed Critical 주식회사 메카로
Publication of KR20200041247A publication Critical patent/KR20200041247A/en
Application granted granted Critical
Publication of KR102231296B1 publication Critical patent/KR102231296B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

An organometallic precursor compound and an organometallic thin film manufacturing method using the same of the present invention satisfy high volatility and excellent chemical and thermal stability, and at the same time have a significantly improved thin film deposition rate even at low temperatures. In addition, by providing the organometallic precursor compound which can be used to form a dielectric thin film in a semiconductor device, it is possible to manufacture an organic metal-containing and/or metal-containing thin film having alleviated property deterioration due to by-products.

Description

유기금속 전구체 화합물 및 이를 이용하여 제조된 박막{A ORGANIC-METAL PRECIRSOR COMPOUND AND OTHIN FILM PREPARED BY USING THE SAME}Organometallic precursor compound and thin film prepared using the same {A ORGANIC-METAL PRECIRSOR COMPOUND AND OTHIN FILM PREPARED BY USING THE SAME}

본 발명은 유기금속 전구체 화합물 및 이를 이용하여 제조된 유기금속 함유 박막에 관한 것으로, 보다 상세하게는 우수한 화학적·열적 안정성을 만족함과 동시에 낮은 온도에서도 박막의 증착이 용이한 유기금속 전구체 화합물 및 이를 이용하여 제조된 유기금속 증착층 또는 금속 증착층을 포함하는 박막에 관한 것이다. The present invention relates to an organometallic precursor compound and an organometallic thin film prepared using the same, and more specifically, an organometallic precursor compound that satisfies excellent chemical and thermal stability and is capable of easily depositing a thin film even at a low temperature. It relates to an organic metal deposited layer or a thin film comprising a metal deposited layer.

화학적 증착(CVD) 및 원자층 증착(ALD)은 이들이 그 공정 동안 파라미터들의 미세한 조정을 통해 등각(conformal) 박막(금속, 산화물, 질화물 등)을 달성할 수 있기 때문에, 반도체 소자를 위한 얇은 박막의 증착을 위한 기술로서 적용되어 왔다. 박막 성장은 주로 금속-유기 화합물(전구체)의 화학적 반응에 의해 제어되기 때문에 그의 성질 및 반응 과정을 예측하여 최적 전구체를 개발하는 것이 중요하다. 따라서 특정 유형의 박막에 따른 특정 성질을 얻기 위한 효율적인 전구체 개발이 지속되어 왔다.Chemical vapor deposition (CVD) and atomic layer deposition (ALD) allow thin films for semiconductor devices to be formed as they can achieve conformal thin films (metals, oxides, nitrides, etc.) through fine tuning of parameters during the process. It has been applied as a technique for deposition. Since thin film growth is mainly controlled by the chemical reaction of a metal-organic compound (precursor), it is important to develop an optimum precursor by predicting its properties and reaction process. Therefore, efficient precursor development has been continued to obtain specific properties for specific types of thin films.

전구체들은 CVD 및 ALD 공정을 위한 분자로써 이를 사용하기 전에 그들의 몇 가지 고유 성질을 고려해야 한다. 첫째, 기체 상의 전구체를 함유 용기로부터 반응 챔버 내에 용이하게 수송하기 위해서 액체 형태 및/또는 충분한 증기 압력이 필요하다. 둘째, 저장 조건 및 수송 조건에서 장기간 열적 안정성이 요구되며, 기체 상 열적 안정성 또한 박막에 불순물 유입을 막기 위해 필요하다. 셋째 전구체를 샘플 기판 상에서 요구되는 박막으로 용이하게 전환시키기 위해 반응 기체, 예컨대 암모니아 또는 산소에 대한 강한 반응성이 요구된다. 전구체 설계 단계에서 전구체에 대해 고려되어야 하는 또 다른 중요한 요건은 증착 공정 동안 리간드로부터 유래하는 불순물을 박막에서 제거하는 것이다.Precursors are molecules for CVD and ALD processes, and some of their inherent properties must be considered before using them. First, a liquid form and / or sufficient vapor pressure is required to easily transport the gaseous precursor from the containing vessel into the reaction chamber. Second, long-term thermal stability is required in storage conditions and transport conditions, and gas-phase thermal stability is also required to prevent the inflow of impurities into the thin film. Third, strong reactivity to a reactive gas such as ammonia or oxygen is required to easily convert the precursor to the required thin film on the sample substrate. Another important requirement to be considered for precursors in the precursor design stage is the removal of impurities from the ligands from the thin film during the deposition process.

텅스텐은 나노-소자의 제작에 유용한 다양한 응용분야에 사용된다. 트랜지스터 소스 및 드레인에 접합을 만드는 홀("접합 홀(contact hole)")을 채우기 위해 또는 금속의 연속적인 층들 사이에 바이아스를 채우기 위해 순수한 텅스텐의 증착을 이용할 수 있다. 이러한 방식은 "텅스텐 플러그" 공정으로 알려져 있다. WF6을 사용하면 증착된 박막의 양호한 성질 때문에 텅스텐의 이용이 다양화될 수 있다. 그러나, 불소에 의한 침투로 하부에 있는 Si를 보호하기 위해, 또는 이산화규소에 대한 텅스텐의 접착력을 확실히 하기 위해 접착/배리어 층, 예컨대 Ti/TiN을 제공할 필요가 있다.Tungsten is used in a variety of applications useful for the fabrication of nano-devices. Deposition of pure tungsten can be used to fill the holes (“contact holes”) making junctions in the transistor source and drain or to fill the vias between successive layers of metal. This method is known as the “tungsten plug” process. The use of WF 6 can diversify the use of tungsten due to the good properties of the deposited thin film. However, it is necessary to provide an adhesion / barrier layer, such as Ti / TiN, in order to protect the underlying Si by the penetration with fluorine, or to ensure the adhesion of tungsten to silicon dioxide.

폴리실리콘 게이트의 상부에 그 게이트 라인의 전도성을 증가시키고 이에따라 트랜지스터 속도를 증가시키기 위해 텅스텐-규화물이 사용될 수 있다. 이러한 방식은 DRAM 제작에서 널리 사용되고 있다. 이때의 게이트는 회로를 위한 워드 라인(word line)이다. WF6 및 SiH4가 사용될 수 있지만 디클로로실란 (SiCl2H2)이 규소 공급원으로서 더욱 통상적으로 사용되며, 이는 더 높은 증착 온도를 허용하여 결과적으로 증착된 박막에서 더 낮은 불소 농도를 만들어 내기 때문이다.Tungsten-silicide can be used to increase the conductivity of the gate line on top of the polysilicon gate and thus increase the transistor speed. This method is widely used in DRAM manufacturing. The gate at this time is a word line for the circuit. WF 6 and SiH 4 can be used, but dichlorosilane (SiCl 2 H 2 ) is more commonly used as a silicon source because it allows higher deposition temperatures, resulting in lower fluorine concentrations in the deposited thin films. .

텅스텐 질화물(WNx)은 마이크로 전자 회로에서 구리 확산에 대한 양호한 배리어로 여겨진다. WNx는 얇은-필름 캐패시터 및 전계-효과 트랜지스터를 위한 전극에도 사용될 수 있다.Tungsten nitride (WNx) is considered a good barrier to copper diffusion in microelectronic circuits. WNx can also be used in electrodes for thin-film capacitors and field-effect transistors.

WF6는 액체이면서 고도로 휘발성인 W의 +6가 산화 상태 때문에, 고온에서 H2를 사용하여 CVD 모드로 순수한 텅스텐 박막의 증착에 사용할 수 있다. 또한 WF6는 저온에서 텅스텐 규화물 박막의 제조를 위해 실란과 조합되어 CVD 모드로 사용될 수 있다. 그러나 WF6은 순수한 텅스텐 박막의 증착에 필요한 높은 열적 버짓(thermal budget)에 의해 또는 하부에 있는 규소 표면의 에칭에 원인이 되는 불소 때문에 제한된다.Since WF 6 is a liquid and highly volatile, +6 of W is oxidized, H 2 can be used for deposition of pure tungsten thin film in CVD mode using H 2 at high temperature. In addition, WF 6 can be used in CVD mode in combination with silane for the production of tungsten silicide thin films at low temperatures. However, WF 6 is limited due to the high thermal budget required for the deposition of pure tungsten thin films or due to the fluorine that causes etching of the underlying silicon surface.

W(CO)6에서 W의 0가 산화 상태 때문에 CVD 모드로 순수한 텅스텐 또는 텅스텐 질화물 박막의 증착에 사용할 수 있다. 그러나 이 물질의 높은 독성 때문에 대량 제작에는 제한되었다.Because of the zero oxidation state of W in W (CO) 6 , it can be used to deposit pure tungsten or tungsten nitride thin films in CVD mode. However, due to the high toxicity of the material, it was limited to mass production.

W(CO)2(1,3-부타디엔)2이 CVD 모드로 사용될 수 있지만 텅스텐 카바이드 박막의 증착이 형성된다.W (CO) 2 (1,3-butadiene) 2 can be used in CVD mode but deposition of a tungsten carbide thin film is formed.

그러나, 화학식 W(RCp)2H2을 갖는 비스 시클로펜타디에닐 텅스텐 전구체에서 W의 +6가 산화 상태는 순수한 텅스텐의 증착을 위해 CVD 모드로 사용될 수 있지만 높은 증착 온도가 필요하여 탄소 오염을 초래한다.However, in the bis cyclopentadienyl tungsten precursor having the formula W (RCp) 2 H 2 , the +6 oxidized state of W can be used in CVD mode for deposition of pure tungsten, but requires high deposition temperature, resulting in carbon contamination do.

US 7,560,581B2에는 구리 배리어 확산 응용을 사용하거나 또는 사용하지 않는 ALD 모드로 텅스텐 질화물을 제조하기 위한 비스-알킬이미도 비스-디알킬아미노 텅스텐 전구체의 용도가 개시되어 있다.US 7,560,581B2 discloses the use of bis-alkylimido bis-dialkylamino tungsten precursors to prepare tungsten nitride in ALD mode with or without copper barrier diffusion applications.

상기 언급한 텅스텐 전구체와 별도로 몇몇 디아자부타디엔계 분자가 개발되었다. 디아자부타디엔 (DAD) 리간드는 상이한 산화 상태 하에 사용될 수 있는 디이민 리간드이다.Apart from the tungsten precursors mentioned above, several diazabutadiene-based molecules have been developed. Diazabutadiene (DAD) ligands are diimine ligands that can be used under different oxidation states.

류터(Reuter) 등의 미국 특허 7,754,908에는 텅스텐 함유 필름의 제작을 위한 비스-알킬이미도 디아자부타디엔 텅스텐 전구체의 용도가 개시되어 있다. 그러나, 알킬이미도기를 사용하면 생성된 박막에서 탄소 도입이 가능하다는 단점이 있다. 텅스텐 분자는 동종 리간드가 아닌 몇 가지 종류의 리간드를 함유할 수 있다. 따라서 그들의 합성은 몇 가지 단계로 이루어 지고 합성의 복잡성, 난이도 등이 있어 결국에는 비용이 상승하게 된다.U.S. Pat.No. 7,754,908 to Reuter et al. Discloses the use of a bis-alkylimido diazabutadiene tungsten precursor for the production of tungsten-containing films. However, the use of an alkylimido group has the disadvantage that carbon can be introduced in the resulting thin film. Tungsten molecules can contain several types of ligands that are not homologous. Therefore, their synthesis is done in several stages, and the complexity and difficulty of the synthesis will eventually increase the cost.

윈터(Winter)의 WO2012/027357에는 전이 금속 및 하나 이상의 알킬-1,3-디아자부타디엔 리간드를 갖는 전구체 화합물과 표면을 접촉하는 단계를 포함하는 기판 상에 얇은 박막을 형성하는 방법이 개시되어 있다.Winter's WO2012 / 027357 discloses a method of forming a thin film on a substrate comprising contacting the surface with a precursor compound having a transition metal and one or more alkyl-1,3-diazabutadiene ligands. .

즉, CVD 또는 ALD 모드로 텅스텐 함유 박막(순수한 텅스텐, 텅스텐 질화물 또는 텅스텐 규화물)을 증착하는 것은 박막에서의 높은 C, O 또는 F 함량 등이 문제가 될 수 있었다. 따라서, 불순물이 적은 순수한 텅스텐 함유 박막을 제조하기 위해 높은 휘발성 및 열정 안정성을 가지고, 증착 공정 상 적절한 반응성을 만족하며, 저온에서도 증착이 용이한 텅스텐 전구체 화합물이 필요한 실정이었다. That is, depositing a tungsten-containing thin film (pure tungsten, tungsten nitride or tungsten silicide) in a CVD or ALD mode could be a problem of high C, O or F content in the thin film. Accordingly, a tungsten precursor compound having high volatility and passion stability, satisfactory reactivity in the deposition process, and easy deposition even at low temperatures is needed to produce a pure tungsten-containing thin film with little impurities.

미국 공개특허번호 US 2006-0125099 A1(공개일 2006.06.15.)US Patent Publication No. US 2006-0125099 A1 (published on June 15, 2006)

본 발명은 상술한 문제점을 해결하기 위하여 안출된 것으로, 본 발명이 해결하려는 과제는 높은 휘발성, 우수한 화학적·열적 안정성을 만족함과 동시에 낮은 온도에서도 박막의 증착이 용이한 유기금속 전구체 화합물 및 이를 이용하여 제조된 유기금속 증착층 또는 금속 증착층을 포함하는 박막을 제공하는 데에 목적이 있다.The present invention has been devised to solve the above-mentioned problems, and the problem to be solved by the present invention is to use a organometallic precursor compound that satisfies high volatility, excellent chemical and thermal stability, and is capable of easily depositing thin films even at low temperatures. It is an object to provide a thin film comprising the prepared organic metal deposition layer or a metal deposition layer.

본 발명은 상술한 문제점을 해결하기 위하여 하기 화학식 1로 표시되는 유기금속 전구체 화합물을 제공한다. The present invention provides an organometallic precursor compound represented by the following Chemical Formula 1 to solve the above-mentioned problems.

[화학식 1][Formula 1]

Figure pat00001
Figure pat00001

상기 화학식 1에서, M은 텅스텐원자(W), 크롬원자(Cr) 또는 몰리브덴원자(Mo)이며, R1은 C2~10의 알킬렌기 또는 헤테로알킬렌기이고, R2는 C1~5의 알킬기 또는 헤테로알킬기이며, R3 및 R4는 각각 독립적으로 C1~10의 알킬기이고, X는 질소원자(N), 인원자(P), 비소원자(As) 또는

Figure pat00002
이다.In Formula 1, M is a tungsten atom (W), a chromium atom (Cr) or a molybdenum atom (Mo), R 1 is a C 2-10 alkylene group or a heteroalkylene group, R 2 is a C 1-5 An alkyl group or a heteroalkyl group, R 3 and R 4 are each independently a C 1-10 alkyl group, X is a nitrogen atom (N), a number of atoms (P), a non-atomic atom (As) or
Figure pat00002
to be.

본 발명의 바람직한 일실시예에 따르면, 상기 X는 질소원자일 수 있다.According to a preferred embodiment of the present invention, X may be a nitrogen atom.

본 발명의 바람직한 다른 일실시예에 따르면, 상기 R1은 C2~5의 알킬렌기일 수 있다.According to another preferred embodiment of the present invention, R 1 may be a C 2-5 alkylene group.

본 발명의 바람직한 또 다른 일실시예에 따르면, 상기 R2는 C1~3의 알킬기이며, 상기 R3 및 R4는 각각 독립적으로 C1~5의 알킬기일 수 있다.According to another preferred embodiment of the present invention, R 2 is a C 1-3 alkyl group, and R 3 and R 4 may each independently be a C 1-5 alkyl group.

또한, 본 발명은 하기 화학식 2로 표시되는 유기금속 전구체 화합물을 제공한다. In addition, the present invention provides an organometallic precursor compound represented by Formula 2 below.

[화학식 2][Formula 2]

Figure pat00003
Figure pat00003

상기 화학식 2에서, M은 텅스텐원자(W), 크롬원자(Cr) 또는 몰리브덴원자(Mo)이며, R1’은 C1~4의 알킬렌기 또는 헤테로알킬렌기이고, R2는 C1~5의 알킬기 또는 헤테로알킬기이며, R3 및 R4는 각각 독립적으로 C1~10의 알킬기이다In Chemical Formula 2, M is a tungsten atom (W), a chromium atom (Cr) or a molybdenum atom (Mo), R 1 ′ is a C 1-4 alkylene group or a heteroalkylene group, and R 2 is C 1-5 Is an alkyl group or a heteroalkyl group, R 3 and R 4 are each independently an alkyl group of C 1-10

본 발명의 바람직한 일실시예에 따르면, 상기 R1’은 C1~2의 알킬기 또는 헤테로알킬기이고, R2는 C1~3의 알킬기이며, R3 및 R4는 각각 독립적으로 C1~5의 알킬기일 수 있다.According to a preferred embodiment of the present invention, R 1 ′ is a C 1-2 alkyl group or heteroalkyl group, R 2 is a C 1-3 alkyl group, R 3 and R 4 are each independently C 1-5 It may be an alkyl group.

또한, 본 발명은 하기 화학식 3으로 표시되는 유기금속 전구체 화합물을 제공한다. In addition, the present invention provides an organometallic precursor compound represented by Formula 3 below.

[화학식 3][Formula 3]

Figure pat00004
Figure pat00004

상기 화학식 3에서, M은 텅스텐원자(W), 크롬원자(Cr) 또는 몰리브덴원자(Mo)이며, R2는 C1~3의 알킬기이며, R3 및 R4는 각각 독립적으로 C1~5의 알킬기이다. In Chemical Formula 3, M is a tungsten atom (W), a chromium atom (Cr) or a molybdenum atom (Mo), R 2 is an alkyl group of C 1 to 3 , and R 3 and R 4 are each independently C 1 to 5 It is an alkyl group.

본 발명의 바람직한 일실시예에 따르면, 상기 화학식 3으로 표시되는 유기금속 전구체 화합물은 하기 화학식 4로 표시될 수 있다. According to one preferred embodiment of the present invention, the organometallic precursor compound represented by Chemical Formula 3 may be represented by Chemical Formula 4 below.

[화학식 4][Formula 4]

Figure pat00005
Figure pat00005

상기 화학식 4에 있어서, M은 텅스텐원자(W), 크롬원자(Cr) 또는 몰리브덴원자(Mo)이며, R3 및 R4는 각각 독립적으로 C3~5의 알킬기이다.In Chemical Formula 4, M is a tungsten atom (W), a chromium atom (Cr) or a molybdenum atom (Mo), and R 3 and R 4 are each independently a C 3-5 alkyl group.

또한, 본 발명은 하기 화학식 5로 표시되는 것을 특징으로 하는 유기금속 전구체 화합물을 제공한다.In addition, the present invention provides an organometallic precursor compound characterized by being represented by the following formula (5).

[화학식 5][Formula 5]

Figure pat00006
Figure pat00006

상기 화학식 5에 있어서, M은 텅스텐원자(W), 크롬원자(Cr) 또는 몰리브덴원자(Mo)이다.In Chemical Formula 5, M is a tungsten atom (W), a chromium atom (Cr), or a molybdenum atom (Mo).

또한, 본 발명은 상기 어느 하나의 유기금속 전구체 화합물이 반도체용 유기금속 함유 박막 제조용인 것을 특징으로 하는 유기금속 전구체 화합물을 제공한다. In addition, the present invention provides an organometallic precursor compound characterized in that any one of the organometallic precursor compounds is for the production of thin films containing organometallic materials for semiconductors.

나아가 본 발명은 상기 어느 하나의 유기금속 전구체 화합물을 전구체로 포함하여 제조된 유기금속 증착층 또는 금속 증착층을 포함하는 박막을 제공한다. Furthermore, the present invention provides an organic metal deposition layer or a thin film comprising a metal deposition layer prepared by including any one of the organometallic precursor compounds as a precursor.

이하 본 명세서에서 사용된 용어에 대해 간략히 설명한다.Hereinafter, terms used in the specification will be briefly described.

용어 “알킬’은 지방족 탄화수소 그룹을 의미한다. 알킬 부위는 어떠한 알켄이나 알킨 부위를 포함하고 있지 않음을 의미하는 "포화 알킬(saturated alkyl)" 그룹일 수 있다. 알킬 부위는 적어도 하나의 알켄 또는 알킨 부위를 포함하고 있음을 의미하는 "불포화 알킬(unsaturated alkyl)" 부위일 수도 있다. 포화 알킬이든 불포화 알킬이든 간에 알킬 부위는 분지형, 직쇄형 또는 환형일 수 있다. 또한, 알킬은 “치환 또는 비치환 알킬”을 모두 포함한다. The term “alkyl” refers to an aliphatic hydrocarbon group. The alkyl moiety may be a "saturated alkyl" group, meaning that it does not contain any alkene or alkyne moiety. The alkyl site may be a "unsaturated alkyl" site, which means that it contains at least one alkene or alkyne site. The alkyl moiety, whether saturated or unsaturated, can be branched, straight chain, or cyclic. In addition, alkyl includes both "substituted or unsubstituted alkyl".

용어 "치환 또는 비치환"은 별도로 설명되어 있지 않다면, 치환체가 치환된 경우와 치환되지 않은 경우를 모두 포함한다는 의미이며, 치환된 경우에는 치환체가, 알킬, 아실, 시클로알킬(다이사이클알킬 및 트리사이클알킬을 포함), 퍼할로알킬, 아릴, 헤테로아릴, 헤테로알리시클릭, 히드록시, 알콕시, 아지드, 아민, 케톤, 에테르, 아미드, 에스테르, 트리아졸, 이소시아네이트, 아릴알킬옥시, 아릴옥시, 메르켑토, 알킬티오, 아릴티오, 시아노, 할로겐, 카르보닐, 티오카르보닐, O-카르바밀, N-카르바밀, O-티오카르바밀, N-티오카르바밀, C-아미도, N-아미도, S-술폰아미도, N-술폰아미도, C-카르복시, O-카르복시, 이소시아네이토, 티오시아네이토, 이소티오시아네이토, 니트로, 시릴, 트리할로메탄술포닐, 피롤리디논, 피롤리딘, 피페리딘, 피페라진, 몰포린, 아민, 아미노, 아미도, 니트로, 에테르, 에스테르, 할로겐, 티올, 알데하이드, 카보닐, 인, 황, 포스페이트, 포스파트, 포스피트, 술페이트, 디술피드, 옥시, 머캅토 및 히드로카르빌모노- 및 디-치환 아미노 그룹들을 포함한 아미노, 및 이들의 보호 유도체들로부터 개별적으로 그리고 독립적으로 선택된 하나 또는 그 이상의 그룹으로 치환된 경우를 포함하여, 이들에 한정됨이 없이 당업계에서 통용되는 다양한 치환기에 의해 치환된 경우를 포괄적으로 포함하는 의미이다. 경우에 따라서, 이들 역시 치환 또는 비치환될 수도 있다.The term "substituted or unsubstituted" means that both the substituted and unsubstituted substituents are substituted unless otherwise specified, and when substituted, the substituents are alkyl, acyl, cycloalkyl (dicyclealkyl and tri Cycloalkyl), perhaloalkyl, aryl, heteroaryl, heteroalicyclic, hydroxy, alkoxy, azide, amine, ketone, ether, amide, ester, triazole, isocyanate, arylalkyloxy, aryloxy, Merpento, alkylthio, arylthio, cyano, halogen, carbonyl, thiocarbonyl, O-carbamyl, N-carbamyl, O-thiocarbamyl, N-thiocarbamyl, C-amido, N- Amido, S-sulfonamido, N-sulfonamido, C-carboxy, O-carboxy, isocyanato, thiocyanato, isothiocyanato, nitro, cyryl, trihalomethanesulfonyl, Pyrrolidinone, pyrrolidine, piperidine, piperazine, morpholine, ah , Amino, amido, nitro, ether, ester, halogen, thiol, aldehyde, carbonyl, phosphorus, sulfur, phosphate, phosphat, phosphite, sulfate, disulfide, oxy, mercapto and hydrocarbylmono- and Amino, including di-substituted amino groups, and their derivatives, individually and independently selected from one or more groups, including, but not limited to, substituted by various substituents commonly used in the art. It is meant to include a comprehensive case. In some cases, these may also be substituted or unsubstituted.

용어 “헤테로원자’는 탄소 및 수소 이외의 원자를 의미한다.The term “heteroatom” means an atom other than carbon and hydrogen.

용어 “헤테로알킬”은 알킬그룹의 탄소원자 중 하나 이상이 다른 헤테로 원자로 치환된 형태를 의미한다.The term “heteroalkyl” refers to a form in which one or more of the carbon atoms of the alkyl group is substituted with another hetero atom.

본 발명의 유기금속 전구체 화합물 및 이를 이용하여 제조된 유기금속 함유 박막은 높은 휘발성, 우수한 화학적·열적 안정성을 만족함과 동시에 낮은 온도에서도 현저히 향상된 박막 증착 속도를 가진다. The organometallic precursor compound of the present invention and the organometallic thin film prepared using the same have high volatility, excellent chemical and thermal stability, and at the same time have a significantly improved thin film deposition rate even at low temperatures.

또한, 반도체 장치 내의 유전박막을 형성하는데 사용될 수 있는 유기금속 전구체 화합물을 제공하여 부산물에 의한 특성 저하가 개선된 유기금속 증착층 또는 금속 증착층을 포함하는 박막을 제조할 수 있다.In addition, by providing an organometallic precursor compound that can be used to form a dielectric thin film in a semiconductor device, a thin film including an organometallic deposition layer or a metal deposition layer having improved characteristics due to by-products can be manufactured.

도 1 및 도 2 각각은 실시예 1에서 합성한 텅스텐 전구체 화합물의 1H NMR 및 13C NMR 측정 결과이다.
도 3은 실시예 1-1에서 합성한 텅스텐 전구체 화합물의 TGA 분석 결과이다.
도 4는 제조예 1-1의 ALD 증착된 텅스텐 박막의 RF 전압 세기에 따른 비저항(resistivity), 증착률 측정 그래프이다.
도 5는 제조예 1-1의 ALD 증착된 텅스텐 박막의 퍼지 시간에 따른 비저항(resistivity), 증착률 측정 그래프이다.
도 6은 제조예 1-1의 ALD 증착된 텅스텐 박막의 RF 전압 세기에 따른 EDS 측정 그래프이다.
도 7은 실시예 2-1에서 합성한 몰리브덴 전구체 화합물의 1H NMR 및 13C NMR 측정 결과이다.
도 8은 실시예 2-1에서 합성한 몰리브덴 전구체 화합물의 TGA 분석 결과이다.
1 and 2 are each 1 H NMR and 13 C NMR measurement results of the tungsten precursor compound synthesized in Example 1.
3 is a TGA analysis result of the tungsten precursor compound synthesized in Example 1-1.
4 is a graph of measuring resistivity and deposition rate according to RF voltage intensity of the ALD-deposited tungsten thin film of Preparation Example 1-1.
5 is a graph of measuring resistivity and deposition rate according to purge time of the ALD-deposited tungsten thin film of Preparation Example 1-1.
6 is an EDS measurement graph according to the RF voltage intensity of the ALD deposited tungsten thin film of Preparation Example 1-1.
7 is a 1 H NMR and 13 C NMR measurement results of the molybdenum precursor compound synthesized in Example 2-1.
8 is a TGA analysis result of the molybdenum precursor compound synthesized in Example 2-1.

이하, 첨부한 도면을 참고로 하여 본 발명의 실시예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세하게 설명한다. 다만, 실시예가 본 발명의 범위를 제한하는 것은 아니며, 이는 본 발명의 이해를 돕기 위한 것으로 해석되어야 할 것이다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art to which the present invention pertains can easily practice. However, the examples are not intended to limit the scope of the present invention, which should be interpreted as to aid understanding of the present invention.

상술한 바와 같이, 종래의 유기금속 전구체 화합물은 낮은 박막 증착 속도로 인하여 반도체 양산공정에 한계가 있었고, 낮은 화학적·열적 안정성으로 인하여 증착 시 기질 온도가 높은 온도에서는 전구체의 분해로 인하여 단차 피복성이 저하되고, 공정 및 증착된 박막의 부산물에 의해 특성 저하가 발생하며 공정의 신뢰도 및 효율성이 낮은 문제가 있었다. As described above, the conventional organometallic precursor compound was limited in the semiconductor mass production process due to the low film deposition rate, and due to the low chemical and thermal stability, the stepped coatability due to the decomposition of the precursor at a high substrate temperature during deposition due to low chemical and thermal stability. There is a problem that the characteristics are lowered due to degradation, by-products of the process and the deposited thin film, and the reliability and efficiency of the process are low.

이에 본 발명은 하기 화학식 1로 표시되는 유기금속 전구체 화합물을 제공하여 상술한 문제점의 해결을 모색하였다. 이를 통해 높은 휘발성, 우수한 화학적·열적 안정성을 만족함과 동시에 낮은 온도에서도 현저히 향상된 박막 증착 속도를 가지는 효과가 있는 유기금속 전구체 화합물을 제공할 수 있는 효과가 있다. 또한, 부산물에 의한 특성 저하가 개선된 유기금속 증착층 또는 금속 증착층을 포함하는 박막을 제공할 수 있는 장점이 있다. Accordingly, the present invention seeks to solve the above-mentioned problem by providing an organometallic precursor compound represented by the following Chemical Formula 1. Through this, it is possible to provide an organometallic precursor compound having an effect of satisfying high volatility, excellent chemical and thermal stability, and having a significantly improved thin film deposition rate even at a low temperature. In addition, there is an advantage in that it is possible to provide a thin film comprising an organic metal deposition layer or a metal deposition layer with improved characteristic degradation due to by-products.

[화학식 1][Formula 1]

Figure pat00007
Figure pat00007

상기 화학식 1에서, M은 텅스텐 원자(W), 크롬 원자(Cr) 또는 몰리브덴 원자(Mo)이며, R1은 C2~10의 알킬렌기 또는 헤테로알킬렌기이고, R2는 C1~5의 알킬렌기 또는 헤테로알킬렌기이며, R3 및 R4는 각각 독립적으로 C1~10의 알킬기이고, X는 질소 원자(N), 인 원자(P), 비소 원자(As) 또는

Figure pat00008
이다. In Formula 1, M is a tungsten atom (W), a chromium atom (Cr) or a molybdenum atom (Mo), R 1 is a C 2-10 alkylene group or a heteroalkylene group, R 2 is a C 1-5 Alkylene group or heteroalkylene group, R 3 and R 4 are each independently a C 1-10 alkyl group, X is a nitrogen atom (N), phosphorus atom (P), arsenic atom (As) or
Figure pat00008
to be.

이와 같이 본 발명에 따른 유기금속 전구체 화합물은 시클로펜타디엔(Cp, cyclopentadiene), M, R1 및 X와 고리를 형성하는 구조를 가지고 있는데, 이러한 고리구조의 형성을 통해 낮은 온도에서도 현저히 향상된 박막 증착 속도를 가지는 텅스텐 전구체를 제공할 수 있다. 또한, 이러한 유기금속 전구체 화합물을 이용하여 부산물에 의한 특성 저하가 개선된 유기금속 함유 박막을 제조할 수 있다. As described above, the organometallic precursor compound according to the present invention has a structure to form a ring with cyclopentadiene (Cp, cyclopentadiene), M, R 1 and X, and through the formation of such a ring structure, thin film deposition is significantly improved even at low temperatures. It is possible to provide a tungsten precursor having a velocity. In addition, an organic metal-containing thin film having improved characteristics due to by-products can be manufactured using the organometallic precursor compound.

한편, 화학식 1의 상기 M은 텅스텐 원자(W), 크롬 원자(Cr) 또는 몰리브덴 원자(Mo)이며, 바람직하게는 텅스텐 원자 또는 몰리브덴 원자일 수 있다. 또한, 화학식 1의 상기 X는 질소원자(N), 인원자(P), 비소원자(As) 또는

Figure pat00009
이며, 바람직하게는 N, P 또는
Figure pat00010
일 수 있고, 보다 바람직하게는 N일 수 있다. Meanwhile, M of Formula 1 is a tungsten atom (W), a chromium atom (Cr), or a molybdenum atom (Mo), and preferably may be a tungsten atom or a molybdenum atom. In addition, X in Chemical Formula 1 is nitrogen atom (N), personnel atom (P), non-elemental atom (As) or
Figure pat00009
Is, preferably N, P or
Figure pat00010
It may be, and more preferably N.

또한, 화학식 1의 상기 R1은 C2~10의 알킬렌기 또는 헤테로알킬렌기이고, 바람직하게는 C2~5의 알킬렌기일 수 있고, 보다 바람직하게는 C2~3의 알킬렌기일 수 있으며, 가장 바람직하게는 -(CH2)-일 수 있다. 이를 통해 부산물에 의한 특성 저하가 개선된 고순도의 유기금속 함유 박막을 제공할 수 있다. 만일 R1이 C1의 알킬렌기 또는 헤테로알킬렌기인 경우에는 고순도의 텅스텐 함유 박막을 증착하기 어려우며, 이에 따라 부산물에 의한 박막의 특성 저하가 발생할 수 있다. In addition, R 1 of Chemical Formula 1 is a C 2-10 alkylene group or a heteroalkylene group, preferably a C 2-5 alkylene group, more preferably a C 2-3 alkylene group, , Most preferably-(CH 2 )-. Through this, it is possible to provide a high-purity organic metal-containing thin film with improved characteristics due to by-products. If R 1 is a C 1 alkylene group or a heteroalkylene group, it is difficult to deposit a high-purity tungsten-containing thin film, and accordingly, characteristics of the thin film due to by-products may occur.

화학식 1의 상기 R1이 C2~10의 알킬렌기 또는 헤테로알킬렌기라는 의미는, R1이 탄소수가 2 ~10개인 치환 또는 비치환 알킬렌기이거나 탄소수가 2~10개인 치환 또는 비치환 헤테로알킬렌기임을 의미한다. 보다 구체적으로, C2~10의 헤테로알킬렌기라는 의미는 탄소와 헤테로원자의 총 개수가 2~10개라는 의미가 아니라, 헤테로 원자를 제외한 탄소수가 2~10개임을 의미한다. Formula wherein R 1 is an alkyl group or a heteroalkyl of C 2-10 1 alkylene rep means is, R 1 is the number of carbon atoms is 2 to 10 private substituted or unsubstituted alkylene group or a carbon number of 2 to 10 private substituted or unsubstituted heteroaryl It means an alkylene group. More specifically, the meaning of a C 2-10 heteroalkylene group does not mean that the total number of carbons and heteroatoms is 2-10, but that the number of carbon atoms excluding the hetero atom is 2-10.

상기 헤테로알킬렌기 중 헤테로 원자는 통상적으로 알킬기 중의 탄소원자와 결합하여 안정적인 치환기를 구성할 수 있는 원자이면 폭넓게 선택될 수 있으나, 바람직하게는 질소 원자(N), 산소 원자(O) 또는 황 원자(S)일 수 있다. The hetero atom in the heteroalkylene group can be widely selected as long as it is an atom that can form a stable substituent by combining with a carbon atom in the alkyl group, but preferably a nitrogen atom (N), oxygen atom (O) or sulfur atom ( S).

또한, 상기 헤테로알킬렌기에서의 헤테로원자의 개수는 반드시 2~10개의 탄소를 포함하면서 안정한 유기금속화합물을 형성할 수 있는 정도이면 제한이 없으나, 바람직하게는 1 ~ 8개 일 수 있고, 보다 바람직하게는 1 ~ 4개일 수 있고, 더욱 바람직하게는 1 ~ 2개일 수 있다. In addition, the number of heteroatoms in the heteroalkylene group is not limited as long as it can form a stable organometallic compound while containing 2 to 10 carbons, but may preferably be 1 to 8, more preferably The number may be 1 to 4, and more preferably 1 to 2.

또한, 화학식 1의 상기 R2는 C1~5의 알킬기 또는 헤테로알킬기이며, 바람직하게는 C1~3의 알킬기일 수 있고, 보다 바람직하게는 C1~2의 알킬기일 수 있으며, 더욱 바람직하게는 -CH3일 수 있다. In addition, R 2 of Formula 1 is a C 1-5 alkyl group or a heteroalkyl group, preferably a C 1-3 alkyl group, more preferably a C 1-2 alkyl group, and more preferably May be -CH 3 .

상기 R2가 C1~5의 알킬기 또는 헤테로알킬기라는 의미는, R2가 탄소수가 1 ~5개인 치환 또는 비치환 알킬기이거나 탄소수가 1~5개인 치환 또는 비치환 헤테로알킬기임을 의미한다. 보다 구체적으로, C1~5의 헤테로알킬기이라는 의미는 탄소와 헤테로원자의 총 개수가 1~5개라는 의미가 아니라, 헤테로원자를 제외한 탄소수가 1~5개임을 의미한다. The meaning of R 2 is a C 1-5 alkyl group or heteroalkyl group means that R 2 is a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms or a substituted or unsubstituted heteroalkyl group having 1 to 5 carbon atoms. More specifically, the meaning of a C 1-5 heteroalkyl group does not mean that the total number of carbons and heteroatoms is 1-5, but that the number of carbon atoms excluding heteroatoms is 1-5.

상기 R2의 헤테로알킬기 중 헤테로원자는 통상적으로 알킬기 중의 탄소원자와 결합하여 안정적인 치환기를 구성할 수 있는 원자이면 폭넓게 선택될 수 있으나, 바람직하게는 질소 원자(N), 산소 원자(O) 또는 황 원자(S)일 수 있다.Among the heteroalkyl groups of R 2 , heteroatoms can be generally selected as long as they are atoms capable of forming a stable substituent by bonding with carbon atoms in the alkyl group, but preferably nitrogen atom (N), oxygen atom (O) or sulfur It may be an atom (S).

또한, 상기 R2의 헤테로알킬기에서의 헤테로 원자의 개수는 반드시 1~5개의 탄소를 포함하면서 안정한 유기금속 화합물을 형성할 수 있는 정도이면 제한이 없으나, 바람직하게는 1~3개 일 수 있고, 보다 바람직하게는 1~2개일 수 있고, 더욱 바람직하게는 1개일 수 있다. In addition, the number of hetero atoms in the heteroalkyl group of R 2 is not limited as long as it is capable of forming a stable organometallic compound while containing 1 to 5 carbons, preferably 1 to 3, It may be more preferably 1 to 2, and even more preferably 1.

한편, 화학식 1의 R3 및 R4는 각각 독립적으로 C1~10의 알킬기이고, 바람직하게는 각각 독립적으로 C1~5의 알킬기일 수 있다. 보다 바람직하게는 각각 독립적으로 C1~4의 알킬기일 수 있는데, CH3, C2H5, C3H7, i-C3H7, n-C4H9, t-C4H9로부터 선택될 수 있고, 가장 바람직하게는 t-C4H9일 수 있다. Meanwhile, R 3 and R 4 in Chemical Formula 1 are each independently a C 1-10 alkyl group, and preferably each independently a C 1-5 alkyl group. More preferably, each independently may be a C1-4 alkyl group, and may be selected from CH 3 , C 2 H 5 , C 3 H 7 , iC 3 H 7 , nC 4 H 9 , tC 4 H 9 , and most It may be preferably tC 4 H 9 .

상기 각각 독립적으로 존재할 수 있다는 의미는, 서로 다른 치환 단위인 R3 및 R4가 서로 동일하거나 상이한 치환기로 선택될 수 있음을 의미한다. The meaning that each of the above may exist independently means that R 3 and R 4 , which are different substitution units, may be selected from the same or different substituents.

이하, 중복되는 내용을 제외하고 상세히 설명한다.Hereinafter, it will be described in detail except for overlapping contents.

또한, 본 발명은 하기 화학식 2로 표시되는 유기금속 전구체 화합물을 제공한다. 이를 통해 고순도의 박막을 증착할 수 있어, 부산물에 의한 특성 저하가 개선된 박막을 얻을 수 있다. In addition, the present invention provides an organometallic precursor compound represented by Formula 2 below. Through this, a high purity thin film can be deposited, thereby obtaining a thin film with improved characteristics due to by-products.  

[화학식 2][Formula 2]

Figure pat00011
Figure pat00011

상기 화학식 2에서, M은 텅스텐 원자(W), 크롬 원자(Cr) 또는 몰리브덴 원자(Mo)이며, 바람직하게는 텅스텐 원자 또는 몰리브덴 원자이다. 화학식 2의 상기 R1’은 C1~4의 알킬렌기 또는 헤테로알킬렌기이고, R2는 C1~5의 알킬기 또는 헤테로알킬기이며, R3 및 R4는 각각 독립적으로 C1~10의 알킬기이다.In Chemical Formula 2, M is a tungsten atom (W), a chromium atom (Cr), or a molybdenum atom (Mo), and is preferably a tungsten atom or a molybdenum atom. R 1 ′ of Formula 2 is a C 1-4 alkylene group or a heteroalkylene group, R 2 is a C 1-5 alkyl group or a heteroalkyl group, and R 3 and R 4 are each independently a C 1-10 alkyl group. to be.

화학식 2의 상기 R1’은 C1~4의 알킬렌기 또는 헤테로알킬렌기이고, 바람직하게는 C1~3의 알킬렌기일 수 있고, 보다 바람직하게는 C1~2의 알킬렌기 또는 헤테로알킬렌기일 수 있으며, 가장 바람직하게는 -(CH2)-일 수 있다. The R 1 ′ of Formula 2 is a C 1-4 alkylene group or a heteroalkylene group, preferably a C 1-3 alkylene group, and more preferably a C 1-2 alkylene group or heteroalkylene. Group, and most preferably-(CH 2 )-.

상기 R1’이 C1~4의 알킬렌기 또는 헤테로알킬렌기라는 의미는, R1’이 탄소수가 1 ~4개인 치환 또는 비치환 알킬렌기이거나 탄소수가 1~4개인 치환 또는 비치환 헤테로알킬렌기임을 의미한다. 보다 구체적으로, C1~4의 헤테로알킬렌기이라는 의미는 탄소와 헤테로원자의 총 개수가 1~4개라는 의미가 아니라, 헤테로 원자를 제외한 탄소수가 1~4개임을 의미한다. The meaning of R 1 ′ is a C 1-4 alkylene group or heteroalkylene group, R 1 ′ is a substituted or unsubstituted alkylene group having 1 to 4 carbon atoms, or a substituted or unsubstituted heteroalkyl having 1 to 4 carbon atoms. It means Rengi. More specifically, the meaning of a C 1-4 heteroalkylene group does not mean that the total number of carbon and heteroatoms is 1-4, but that the number of carbon atoms excluding the hetero atom is 1-4.

마찬가지로 상기 R1’이 C1~2의 알킬렌기 또는 헤테로알킬렌기라는 의미는, R1’이 탄소수가 1~2개인 치환 또는 비치환 알킬렌기이거나 탄소수가 1~2개인 치환 또는 비치환 헤테로알킬렌기임을 의미한다. 또한, 상기 C1~2의 헤테로알킬렌기이라는 의미는 탄소와 헤테로원자의 총 개수가 1~2개라는 의미가 아니라, 헤테로 원자를 제외한 탄소수가 1~2개임을 의미한다. Likewise, the meaning of R 1 ′ is a C 1-2 alkylene group or heteroalkylene group, R 1 ′ is a substituted or unsubstituted alkylene group having 1 to 2 carbon atoms, or a substituted or unsubstituted hetero atom having 1 to 2 carbon atoms. It means an alkylene group. In addition, the meaning of the C 1 ~ 2 heteroalkylene group does not mean that the total number of carbon and heteroatoms is 1 to 2, but that the number of carbon atoms excluding the hetero atom is 1 to 2 carbon atoms.

상기 헤테로알킬렌기 중 헤테로 원자는 통상적으로 알킬기 중의 탄소 원자와 결합하여 안정적인 치환기를 구성할 수 있는 원자이면 폭넓게 선택될 수 있으나, 바람직하게는 N, O 또는 S일 수 있다. The heteroatom in the heteroalkylene group can be widely selected as long as it is an atom capable of forming a stable substituent by combining with a carbon atom in the alkyl group, but may preferably be N, O or S.

또한, 상기 헤테로알킬렌기에서의 헤테로 원자의 개수는 반드시 1~4개의 탄소를 포함하면서 안정한 유기금속 화합물을 형성할 수 있는 정도이면 제한이 없으나, 바람직하게는 1~6개 일 수 있고, 보다 바람직하게는 1~3개일 수 있고, 더욱 바람직하게는 1~2개일 수 있다. Further, the number of hetero atoms in the heteroalkylene group is not limited as long as it can form a stable organometallic compound while containing 1 to 4 carbons, but may preferably be 1 to 6, and more preferably It may be 1 to 3 crabs, and more preferably 1 to 2 crabs.

또한, 화학식 2의 상기 R2는 C1~5의 알킬기 또는 헤테로알킬기이며, 바람직하게는 C1~3의 알킬기일 수 있고, 보다 바람직하게는 C1~2의 알킬기일 수 있으며, 가장 바람직하게는 CH3일 수 있다. In addition, R 2 of Formula 2 is a C 1-5 alkyl group or a heteroalkyl group, preferably a C 1-3 alkyl group, more preferably a C 1-2 alkyl group, and most preferably May be CH 3 .

화학식 2의 상기 R2가 C1~5의 알킬기 또는 헤테로알킬기라는 의미는, R2가 탄소수가 1~5개인 치환 또는 비치환 알킬기이거나 탄소수가 1~5개인 치환 또는 비치환 헤테로알킬기임을 의미한다. 보다 구체적으로, C1~5의 헤테로알킬기이라는 의미는 탄소와 헤테로원자의 총 개수가 1~5개라는 의미가 아니라, 헤테로 원자를 제외한 탄소수가 1~5개임을 의미한다.Means that the R 2 of formula (II) of the alkyl group or heterocyclic group of C 1-5, means that R 2 has a carbon number of 1-5 individual substituted or unsubstituted alkyl group or a carbon number of 1-5 individual substituted or unsubstituted heteroaryl group . More specifically, the meaning of a C 1-5 heteroalkyl group does not mean that the total number of carbons and heteroatoms is 1-5, but that the number of carbon atoms excluding the hetero atom is 1-5.

화학식 2의 상기 헤테로알킬기 중 헤테로 원자는 통상적으로 알킬기 중의 탄소원자와 결합하여 안정적인 치환기를 구성할 수 있는 원자이면 폭넓게 선택될 수 있으나, 바람직하게는 N, O 또는 S일 수 있다. The hetero atom in the heteroalkyl group of Formula 2 may be widely selected as long as it is an atom that can form a stable substituent by combining with a carbon atom in the alkyl group, but may preferably be N, O or S.

또한, 화학식 2의 상기 헤테로알킬기에서의 헤테로 원자의 개수는 반드시 1~5개의 탄소를 포함하면서 안정한 유기금속 화합물을 형성할 수 있는 정도이면 제한이 없으나, 바람직하게는 1~6개 일 수 있고, 보다 바람직하게는 1~3개일 수 있고, 더욱 바람직하게는 1~2개일 수 있다. In addition, the number of hetero atoms in the heteroalkyl group of Chemical Formula 2 is not limited as long as it can form a stable organometallic compound while containing 1 to 5 carbons, but may preferably be 1 to 6, It may be more preferably 1 to 3, and more preferably 1 to 2.

한편, 화학식 2의 R3 및 R4는 각각 독립적으로 C1~10의 알킬기이고, 바람직하게는 각각 독립적으로 C1~5의 알킬기일 수 있다. 보다 바람직하게는 각각 독립적으로 C1~4의 알킬기일 수 있는데, CH3, C2H5, C3H7, i-C3H7, n-C4H9, t-C4H9로부터 선택될 수 있고, 가장 바람직하게는 t-C4H9일 수 있다. Meanwhile, R 3 and R 4 in Chemical Formula 2 are each independently a C 1-10 alkyl group, and preferably each independently a C 1-5 alkyl group. More preferably, each independently may be a C 1-4 alkyl group, and may be selected from CH 3 , C 2 H 5 , C 3 H 7 , iC 3 H 7 , nC 4 H 9 , tC 4 H 9 , Most preferably tC 4 H 9 .

상기 각각 독립적으로 존재할 수 있다는 의미는, 서로 다른 치환단위인 R3 및 R4가 서로 동일하거나 상이한 치환기로 선택될 수 있음을 의미한다. The meaning that each of the above may exist independently means that R 3 and R 4 , which are different substitution units, may be selected from the same or different substituents.

또한, 본 발명은 하기 화학식 3으로 표시되는 유기금속 전구체 화합물을 제공한다. 이를 통해 높은 휘발성, 우수한 화학적·열적 안정성을 만족함과 동시에 낮은 온도에서도 현저히 향상된 박막 증착 속도를 가지는 효과가 있는 유기금속 전구체 화합물을 제공할 수 있는 효과가 있다. 또한, 부산물에 의한 특성 저하가 개선된 텅스텐 함유 박막을 제공할 수 있는 장점이 있다.In addition, the present invention provides an organometallic precursor compound represented by Formula 3 below. Through this, it is possible to provide an organometallic precursor compound having an effect of satisfying high volatility, excellent chemical and thermal stability, and having a significantly improved thin film deposition rate even at a low temperature. In addition, there is an advantage that can provide a tungsten-containing thin film with improved characteristics due to by-products.

[화학식 3][Formula 3]

Figure pat00012
Figure pat00012

상기 화학식 3에서, M은 텅스텐 원자(W), 크롬 원자(Cr) 또는 몰리브덴 원자(Mo)이며, 바람직하게는 텅스텐 원자 또는 몰리브덴 원자이다. 그리고, 상기 R2는 C1~3의 알킬기이며, R3 및 R4는 각각 독립적으로 C1~5의 알킬기이다.In Chemical Formula 3, M is a tungsten atom (W), a chromium atom (Cr), or a molybdenum atom (Mo), preferably a tungsten atom or a molybdenum atom. In addition, R 2 is a C 1-3 alkyl group, and R 3 and R 4 are each independently a C 1-5 alkyl group.

화학식 3의 상기 R2는 C1~3의 알킬기이며, 바람직하게는 C1~2의 알킬기일 수 있으며, 보다 바람직하게는 CH3일 수 있다. The R 2 of Formula 3 is a C 1-3 alkyl group, preferably a C 1-2 alkyl group, and more preferably CH 3 .

또한, 화학식 3의상기 R3 및 R4는 각각 독립적으로 C1~5의 알킬기이며, 바람직하게는 각각 독립적으로 C1~4의 알킬기일 수 있는데, -CH3, -C2H5, -C3H7, i-C3H7, n-C4H9, t-C4H9로부터 선택될 수 있고, 가장 바람직하게는 t-C4H9일 수 있다. In addition, R 3 and R 4 in Chemical Formula 3 are each independently a C 1-5 alkyl group, and preferably each independently may be a C 1-4 alkyl group, -CH 3 , -C 2 H 5 ,- C 3 H 7 , iC 3 H 7 , nC 4 H 9 , tC 4 H 9 , and most preferably tC 4 H 9 .

상기 화학식 3으로 표시되는 유기금속 전구체 화합물은, 바람직하게는 하기 화학식 4로 표시되는 것을 특징으로 하는 유기금속 전구체 화합물로 표시될 수 있다.The organometallic precursor compound represented by Chemical Formula 3 may be preferably represented by an organometallic precursor compound characterized by being represented by Chemical Formula 4 below.

[화학식 4][Formula 4]

Figure pat00013
Figure pat00013

상기 화학식 4에 있어서, M은 텅스텐 원자(W), 크롬 원자(Cr) 또는 몰리브덴 원자(Mo)이며, 바람직하게는 텅스텐 원자 또는 몰리브덴 원자이며, R3 및 R4는 각각 독립적으로 C3~5의 알킬기이다.In Chemical Formula 4, M is a tungsten atom (W), a chromium atom (Cr) or a molybdenum atom (Mo), preferably a tungsten atom or a molybdenum atom, and R 3 and R 4 are each independently C 3 to 5 It is an alkyl group.

또한, 본 발명은 하기 화학식 5로 표시되는 것을 특징으로 하는 유기금속 전구체 화합물을 제공한다. 이를 통해 높은 휘발성, 우수한 화학적·열적 안정성을 만족함과 동시에 낮은 온도에서도 현저히 향상된 박막 증착 속도를 가지는 효과가 있는 유기금속 전구체 화합물을 제공할 수 있는 효과가 있다. 또한, 부산물에 의한 특성 저하가 개선된 유기금속 함유 박막을 제공할 수 있는 장점이 있다.In addition, the present invention provides an organometallic precursor compound characterized by being represented by the following formula (5). Through this, it is possible to provide an organometallic precursor compound having an effect of satisfying high volatility, excellent chemical and thermal stability, and having a significantly improved thin film deposition rate even at a low temperature. In addition, there is an advantage that it is possible to provide a thin film containing an organometal having improved characteristics due to by-products.

[화학식 5][Formula 5]

Figure pat00014
Figure pat00014

상기 화학식 5에 있어서,M은 텅스텐 원자(W), 크롬 원자(Cr) 또는 몰리브덴 원자(Mo)이며, 바람직하게는 텅스텐 원자 또는 몰리브덴 원자이다.In Chemical Formula 5, M is a tungsten atom (W), a chromium atom (Cr) or a molybdenum atom (Mo), and is preferably a tungsten atom or a molybdenum atom.

또한, 상기 유기금속 전구체 화합물은 통상적으로 이를 사용할 수 있는 분야이면 광범위하게 사용될 수 있으나, 바람직하게는 반도체 분야에서 반도체 물질을 제조하는 과정에 포함되거나 사용될 수 있다. 또한, 보다 바람직하게는 반도체용 유기금속 함유 박막 제조용으로 사용될 수 있다. In addition, the organometallic precursor compound can be widely used as long as it is a field in which it can be commonly used, but is preferably included or used in the process of manufacturing a semiconductor material in the semiconductor field. In addition, more preferably, it can be used for the production of thin films containing organic metal for semiconductors.

구체적으로 상기 유기금속 전구체 화합물들은 반도체에 포함되는 박막을 형성하는 전구체로 이용될 수 있다. 전구체란 물질대사나 반응에서 특정 물질이 되기 전 단계의 물질을 의미하며, 상기 유기금속 전구체 화합물을 기판 상에 물리/화학 흡착하는 방식으로 반도체에 이용되는 박막을 형성할 수 있다. Specifically, the organometallic precursor compounds may be used as precursors for forming thin films included in semiconductors. Precursor refers to a material prior to becoming a specific material in metabolism or reaction, and may form a thin film used in semiconductors by physically / chemically adsorbing the organometallic precursor compound on a substrate.

또한, 본 발명은 상기의 어느 하나의 유기금속 전구체 화합물을 전구체로 포함하여 제조된 유기금속 함유 박막 또는 금속 함유 박막을 제공한다. 이를 통해 부산물이 적게 포함하거나, 부산물이 없는 고순도 텅스텐, 크롬 또는 몰리브덴 함유 박막을 제공할 수 있어, 부산물에 의한 특성 저하를 개선할 수 있다. In addition, the present invention provides an organic metal-containing thin film or a metal-containing thin film prepared by including any one of the organometallic precursor compounds as a precursor. Through this, it is possible to provide a thin film containing low-by-product or high-purity tungsten, chromium or molybdenum without by-products, thereby improving the deterioration of properties due to by-products.

구체적으로, 본 발명의 유기금속 전구체 화합물을 전구체로 포함하여 유기금속 함유 박막을 제조하는 경우 본 발명의 유기금속 전구체 화합물은 유기금속 함유 박막 속에 그대로 포함될 수도 있고, 공정 수행 중 최종 형태가 변형될 수도 있다. 즉, 본 발명의 유기금속 전구체 화합물을 전구체로 사용하여 반도체에 포함되는 박막 제조 시 그 최종 형태는 다양하게 존재할 수 있다.Specifically, when the organometallic thin film containing the organometallic precursor compound of the present invention is prepared as a precursor, the organometallic precursor compound of the present invention may be included in the organometallic thin film as it is, or the final shape may be modified during the process. have. That is, the final shape may be various when the thin film included in the semiconductor is manufactured by using the organometallic precursor compound of the present invention as a precursor.

나아가, 본 발명은 a) 상기의 어느 하나의 유기금속 전구체 화합물을 포함하는 증기를 제공하는 단계 및 b) 상기 증기를 침착방법에 따라 기재와 반응시켜, 상기 기재의 적어도 일면 상에 유기금속-함유 착물층(증착층) 또는 금속 함유 착물층(증착층)을 형성하는 단계를 포함하는 유기금속 함유 박막 제조방법을 제공한다.  Furthermore, the present invention comprises the steps of: a) providing a vapor comprising any one of the organometallic precursor compounds above, and b) reacting the vapor with a substrate according to a deposition method, thereby containing an organometallic-on at least one side of the substrate. An organic metal-containing thin film production method comprising forming a complex layer (deposition layer) or a metal-containing complex layer (deposition layer).

상기의 제조방법을 이용하면 기판 형성 시 기판 흡착 효율이 향상됨과 동시에 안전성이 증가될 수 있고, 박막 증착 속도를 증가시켜 공정 속도를 단축시킬 수 있다. 또한, 공정상 오염을 저하시킴과 동시에 넓은 온도 범위에서 사용될 수 있고, 제조공정의 신뢰도 및 효율성을 현저히 향상시킬 수 있다. 나아가 상기의 제조방법을 통해서 부산물에 의한 특성 저하가 개선되고, 균일성이 우수하며 단차 피막 특성이 개선된 박막을 얻을 수 있다. When the above manufacturing method is used, the substrate adsorption efficiency can be improved and the safety can be increased at the time of forming the substrate, and the process speed can be shortened by increasing the film deposition rate. In addition, it can be used in a wide temperature range while reducing the contamination in the process, it is possible to significantly improve the reliability and efficiency of the manufacturing process. Furthermore, through the above-described manufacturing method, it is possible to obtain a thin film having improved characteristics due to by-products, excellent uniformity, and improved step coating properties.

이하 상술한 내용과 중복되는 내용을 제외하고 상세히 설명한다. Hereinafter, a description will be given in detail, excluding the contents overlapping with the above-described contents.

먼저, a) 상기의 어느 하나의 유기금속 전구체 화합물을 포함하는 증기를 제공하는 단계에 대해 설명한다.First, a) the step of providing a vapor containing any one of the organometallic precursor compounds described above will be described.

캐리어 기체를 본 발명의 유기금속 전구체 화합물을 금속 공급원으로 함유하는 가열된 용기에 도입함으로써 상기 금속 공급원의 증발을 실현한다. 용기는 바람직하게는 상기 금속 공급원을 충분한 증기압으로 획득할 수 있는 온도로 가열한다. 캐리어 기체는 Ar, He, H2, N2 또는 이들의 혼합물로부터 선택될 수 있다. 용기에서 상기 금속 공급원을 용매 또는 또다른 금속 공급원 또는 이들의 혼합물과 혼합할 수 있다. 용기는 바람직하게는 25℃ 내지 200℃ 범위의 온도에서 가열될 수 있고, 상기 용기의 온도를 조정하여 증발되는 전구체의 양을 조절할 수 있다. 용기 중 증발 수준의 제어를 위하여 용기 중 압력을 변경시킬 수 있다. 용기 중 압력을 감소시켜, 금속 공급원의 증발 수준을 증가시킬 수 있다. 바람직하게는, 상기 용기 중 압력은 1 Torr에서 800 Torr까지의 범위에서 변할 수 있다.Evaporation of the metal source is realized by introducing a carrier gas into a heated vessel containing the organometallic precursor compound of the present invention as a metal source. The vessel is preferably heated to a temperature at which the metal source can be obtained with sufficient vapor pressure. The carrier gas can be selected from Ar, He, H 2 , N 2 or mixtures thereof. The metal source can be mixed in a container with a solvent or another metal source or mixtures thereof. The vessel may be preferably heated at a temperature ranging from 25 ° C to 200 ° C, and the temperature of the container may be adjusted to control the amount of precursor evaporated. The pressure in the vessel can be changed to control the evaporation level in the vessel. By reducing the pressure in the vessel, the level of evaporation of the metal source can be increased. Preferably, the pressure in the vessel can vary from 1 Torr to 800 Torr.

또한, 상기 금속 공급원을 액체 상태로 증발이 일어나는 증발기로 공급할 수 있다. 상기 금속 공급원을 용매와 혼합하지 않을 수도 있고 혼합할 수도 있다. 또한, 상기 금속 공급원을 또다른 금속 공급원과 혼합할 수 있다. 상기 금속 공급원의 혼합물을 용매 또는 용매 혼합물과 혼합할 수 있다. 상기 금속 공급원을 안정화제와 혼합할 수 있다. 상기 용매는 알칸, 예컨대 헥산, 헵탄, 옥탄, 방향족 용매, 예컨대 벤젠, 톨루엔, 메시틸렌, 크실렌, 규소 함유 용매, 예컨대 헥사메틸디실록산, 헥사메틸디실라잔, 테트라메틸실란, 황 함유 용매, 예컨대 디메틸술폭시드, 산소 함유 용매, 예컨대 테트라히드로푸란, 디옥산으로 이루어진 군에서 선택될 수 있다. 한편 상기 용매를 포함한 혼합용액의 농도는 바람직하게는 상기 금속 공급원을 50 내지 99.9 중량% 포함할 수 있다. In addition, the metal source may be supplied to an evaporator in which evaporation occurs in a liquid state. The metal source may or may not be mixed with a solvent. Also, the metal source can be mixed with another metal source. The mixture of metal sources can be mixed with a solvent or a solvent mixture. The metal source can be mixed with a stabilizer. Such solvents include alkanes such as hexane, heptane, octane, aromatic solvents such as benzene, toluene, mesitylene, xylene, silicon containing solvents such as hexamethyldisiloxane, hexamethyldisilazane, tetramethylsilane, sulfur containing solvents such as Dimethyl sulfoxide, oxygen-containing solvents such as tetrahydrofuran, dioxane. Meanwhile, the concentration of the mixed solution containing the solvent may preferably include 50 to 99.9% by weight of the metal source.

다음으로, b) 상기 증기를 침착방법에 따라 기재와 반응시켜, 상기 기재의 적어도 일면 상에 텅스텐-함유 착물의 층을 형성하는 단계를 설명한다. Next, b) the step of forming a layer of a tungsten-containing complex on at least one surface of the substrate by reacting the vapor with the substrate according to the deposition method will be described.

상기 기화된 금속 공급원을 반응 챔버에 도입하고, 여기서 그것을 기재의 표면과 접촉시킨다. 기재는 충분한 성장 속도로 목적하는 물리적 상태 및 조성을 갖는 목적하는 박막을 얻기에 충분한 온도로 가열시킬 수 있다. 상기 가열 온도는 통상적으로는 목적하는 박막을 얻기 위한 온도로 가열할 수 있으나, 바람직하게는 100℃ 내지 700℃ 범위일 수 있고, 보다 바람직하게는 450℃ 이하일 수 있다. 한편, 상기 공정은 상기 기화된 금속 공급원의 반응성 및/또는 공정에 사용된 다른 기체 종의 반응성을 개선시키기 위하여 비제한적으로 선택된 플라즈마 기술에 의해 보조될 수 있다.The source of vaporized metal is introduced into the reaction chamber, where it is brought into contact with the surface of the substrate. The substrate can be heated to a temperature sufficient to obtain a desired thin film having a desired physical state and composition at a sufficient growth rate. The heating temperature may be generally heated to a temperature for obtaining a desired thin film, but may preferably be in the range of 100 ° C to 700 ° C, more preferably 450 ° C or less. Meanwhile, the process may be assisted by a non-limitingly selected plasma technique to improve the reactivity of the vaporized metal source and / or the reactivity of other gas species used in the process.

한편, 상기 b)단계에서 침착 방법은 기재의 표면 상에 유기금속-함유 착물층(증착층) 또는 금속-함유 착물층(증착층)을 형성할 수 있는 방법이면 제한 없으나, 바람직하게는 화학 기상 증착법(Chemical Vapor Deposition, CVD) 또는 원자층 증착법(Atomic Layer Deposition, ALD)을 사용할 수 있다. On the other hand, the deposition method in step b) is not limited as long as it is a method capable of forming an organometallic-containing complex layer (deposition layer) or a metal-containing complex layer (deposition layer) on the surface of the substrate, preferably chemical vapor phase Chemical vapor deposition (CVD) or atomic layer deposition (ALD) may be used.

먼저, 원자층 증착법(ALD)은 금속이 포함된 원료와 반응가스(예를 들면, NH3 등)를 교차하여 주입함으로써 박막을 성장시키는 방법으로, 원료와 가스를 반응시켜 원자단위 박막을 성장시키며 이를 반복하여 박막 두께를 조절하는 방법이다. 구체적으로, 본 발명에 따른 유기금속 전구체 화합물을 전구체를 기화기 내로 주입한 후 증기상(vapour phase)으로 챔버로 전달시킬 수 있다. 기화된 막형성 조성물을 챔버로 이송시킬 수 있다. 이 경우, 전구체 물질의 전달 방식은 증기압을 이용하여 휘발된 기체를 이송시키는 방식, 직접 액체 주입(Direct Liquid Injection) 방식 또는 전구체 물질을 유기 용매에 녹여 이송하는 액체이송방식(Liquid Delivery System; LDS)을 사용할 수 있다. 이 경우, 전구체 물질을 기판 상에 이동시키기 위한 운송가스 또는 희석 가스는 바람직하게는 Ar, N2, He 또는 H2 중에서 선택된 하나 이상의 비활성기체를 사용할 수 있으며, 보다 바람직하게는 Ar 또는 N2로부터 선택될 수 있다. 한편, N2 캐니스터 유량은 바람직하게는 30 ~ 200 sccm 범위일 수 있고, 보다 바람직하게는 50 sccm ~ 100 sccm일 수 있다.First, atomic layer deposition (ALD) is a method of growing a thin film by cross-injecting a raw material containing metal and a reaction gas (for example, NH 3, etc.), and reacting the raw material and gas to grow the atomic unit thin film. This is a method of repeatedly controlling the thickness of the thin film. Specifically, the organometallic precursor compound according to the present invention may be delivered to the chamber in a vapor phase after the precursor is injected into the vaporizer. The vaporized film-forming composition can be transferred to the chamber. In this case, the delivery method of the precursor material is a method of transporting volatile gas using vapor pressure, a direct liquid injection method, or a liquid delivery method in which the precursor material is dissolved in an organic solvent and transferred (Liquid Delivery System; LDS) Can be used. In this case, one or more inert gases selected from Ar, N 2 , He, or H 2 may be used as a transport gas or a diluent gas for moving the precursor material on the substrate, and more preferably from Ar or N 2 Can be selected. Meanwhile, the N 2 canister flow rate may be preferably in the range of 30 to 200 sccm, and more preferably in the range of 50 sccm to 100 sccm.

다음으로, 이송된 전구체 물질을 기판 상에 공급 및 흡착시키고 미흡착된 전구체 물질을 퍼지시킬 수 있다. 퍼지가스로는 비활성가스가 사용될 수 있다. 다음으로, 반응물질을 공급할 수 있다. 반응물질은 H2O, H2O2, O2, O3, N2O 등의 산화제를 포함할 수 있다. 반응물질과 전구체 물질이 반응하여 유기금속 및/또는 금속 함유 박막이 형성될 수 있으며, 상기 박막은 텅스텐, 크롬 또는 몰리브덴 등을 포함할 수 있다. 다음으로, 미반응 물질을 퍼지(purge)시킨다. 이에 따라, 과량의 반응물질 및 생성된 부산물을 제거할 수 있다. Next, the transferred precursor material may be supplied and adsorbed on the substrate, and the unadsorbed precursor material may be purged. Inert gas may be used as the purge gas. Next, a reactant can be supplied. The reactant may include oxidizing agents such as H 2 O, H 2 O 2 , O 2 , O 3 , and N 2 O. An organic metal and / or metal-containing thin film may be formed by reacting the reactant and the precursor material, and the thin film may include tungsten, chromium, or molybdenum. Next, the unreacted material is purged. Accordingly, excess reactants and generated by-products can be removed.

한편, 상기의 전구체 물질 공급 단계, 퍼지(purge), 반응물질 공급 단계 및 퍼지(purge)를 단위 사이클로 한다. 원하는 두께의 박막을 형성하기 위해, 단위 사이클을 반복할 수 있다. 바람직하게는, 10 ~ 10,000회의 사이클을 반복하여 실시할 수 있다.On the other hand, the precursor material supply step, purge (purge), reactant supply step and purge (purge) is a unit cycle. The unit cycle can be repeated to form a thin film of a desired thickness. Preferably, 10 to 10,000 cycles may be repeated.

또한, 상기 원자층 증착법을 이용하는 경우, 온도는 바람직하게는 100℃ ~ 450℃일 수 있고, 보다 바람직하게는 150℃ ~ 350℃ 범위일 수 있다. ALD에서 퍼지 시간은 바람직하게는 1~10초이고, 압력은 바람직하게는 0.01 Torr ~ 800 Torr일 수 있다.In addition, when using the atomic layer deposition method, the temperature may be preferably 100 ℃ ~ 450 ℃, more preferably 150 ℃ ~ 350 ℃ range. In ALD, the purge time is preferably 1 to 10 seconds, and the pressure may be preferably 0.01 Torr to 800 Torr.

구체적인 일례를 들면, 본 발명의 유기금속 전구체 화합물을 이용하여 ALD 증착된 박막은 박막 증착률이 0.7 Å/cycle를 초과, 바람직하게는 0.95 ~ 1.5Å/cycle, 바람직하게는 1.05 ~ 1.55Å/cycle를 만족할 수 있다. 또한, 비저항값(resistivity)이 3.0ⅹ10-3 Ω·㎝ 미만, 바람직하게는 1.9×10-3 ~ 2.9×10-3 Ω·㎝, 더욱 바람직하게는 2.0×10-3 ~ 2.4×10-3 Ω·㎝을 만족할 수 있다. 또한, 불순물인 탄소(C)를 12.5 at% 이하, 바람직하게는 11.0 at% 이하, 더욱 바람직하게는 7.0 at%로 포함하여 높은 순도의 ALD 증착 박막을 제공할 수 있다.For a specific example, the ALD-deposited thin film using the organometallic precursor compound of the present invention has a thin film deposition rate of more than 0.7 Å / cycle, preferably 0.95 to 1.5 Å / cycle, preferably 1.05 to 1.55 Å / cycle Can be satisfied. In addition, the resistivity is less than 3.0ⅹ10 -3 Ω · cm, preferably 1.9 × 10 -3 ~ 2.9 × 10 -3 Ω · cm, more preferably 2.0 × 10 -3 ~ 2.4 × 10 -3 Ω · cm can be satisfied. Further, it is possible to provide a high purity ALD deposited thin film by including impurity carbon (C) at 12.5 at% or less, preferably 11.0 at% or less, and more preferably 7.0 at%.

다음으로, 화학기상증착법(CVD)은 형성하고자 하는 박막 재료를 구성하는 원소를 포함하는 가스를 기재 위에 공급하여 기상 또는 기재 표면에서의 열분해, 광분해, 산화환원반응, 치환 등의 화학적 반응을 통해 기재 표면에서 박막을 형성하는 방법이다. 상기 화학기상증착법을 이용하는 경우, 온도는 바람직하게는 100℃ 내지 700℃일 수 있고, 보다 바람직하게는 200℃ ~ 500℃ 범위일 수 있다. 또한, 압력은 바람직하게는 0.01 Torr ~ 800 Torr일 수 있고, 보다 바람직하게는 1 Torr ~ 200 Torr 범위일 수 있다. 또한, 캐리어 기체는 바람직하게는 N2, He, Ar, H2일 수 있고, 보다 바람직하게는 Ar 또는 N2로부터 선택될 수 있다. 바람직한 N2 캐니스터 유량은 30 ~ 200 sccm 범위일 수 있고, 더욱 바람직하게는 50 sccm ~ 100 sccm일 수 있다.Next, the chemical vapor deposition method (CVD) supplies gas containing elements constituting the thin film material to be formed on the substrate, thereby performing the substrate through chemical reactions such as thermal decomposition, photolysis, redox reaction, and substitution on the substrate surface. It is a method of forming a thin film on the surface. When the chemical vapor deposition method is used, the temperature may be preferably 100 ° C to 700 ° C, and more preferably 200 ° C to 500 ° C. Further, the pressure may be preferably 0.01 Torr to 800 Torr, and more preferably 1 Torr to 200 Torr. In addition, the carrier gas may preferably be N 2 , He, Ar, H 2 , and more preferably Ar or N 2 . The preferred N 2 canister flow rate may range from 30 to 200 sccm, more preferably from 50 sccm to 100 sccm.

나아가 본 발명은 상기 유기금속 전구체 화합물 중 어느 하나 이상을 0.1% ∼ 99.9% 포함하고, 포화 또는 불포화 탄화수소류, 고리계 에테르류, 비고리계 에테르류, 에스테르류, 알콜류, 고리계 아민류, 비고리계 아민류, 고리계 설파이드류, 비고리계 설파이드류, 포스핀류, 베타-디키톤류, 베타-키토에스테르류에서 선택된 하나 또는 그 이상의 유기화합물 잔여량을 포함하는 조성물 및 이를 이용하여 금속 함유 박막을 제조하는 방법을 제공한다. Furthermore, the present invention contains 0.1% to 99.9% of any one or more of the organometallic precursor compounds, saturated or unsaturated hydrocarbons, cyclic ethers, acyclic ethers, esters, alcohols, cyclic amines, acyclic A composition containing a residual amount of one or more organic compounds selected from amines, cyclic sulfides, non-cyclic sulfides, phosphines, beta-dikytones, and beta-chitoesters, and a metal-containing thin film using the same How to do.

상기 유기금속 전구체 화합물을 포함하는 조성물을 이용하여 박막을 제조하는 경우 화합물의 기판 흡착 효율 및 안전성을 증가시키고 공정시간을 단축시키는 효과가 있다. 또한, 상기 조성물의 함유량을 조절하여 제조되는 박막의 물성적 특성 및 조성을 조절할 수 있으므로 목적과 수단에 적합한 박막을 용이하게 제조할 수 있다.When a thin film is prepared by using the composition containing the organometallic precursor compound, there is an effect of increasing the substrate adsorption efficiency and safety of the compound and shortening the process time. In addition, since the physical properties and composition of the thin film produced by adjusting the content of the composition can be adjusted, a thin film suitable for purposes and means can be easily prepared.

결국, 본 발명은 유기금속 전구체 화합물 및 이를 이용하여 제조된 박막을 제공하여 1) 제조공정의 신뢰도 및 효율성을 높일 수 있음은 물론이고, 2) 보다 향상된 화학적·열적 안정성을 만족하고, 3) 이와 동시에 낮은 온도에서도 현저히 향상된 박막 증착 속도를 달성할 수 있다. 또한, 상기와 같이 우수한 유기금속 전구체 화합물을 반도체용 박막 제조에 사용할 수 있어, 이를 통해 본 발명은 부산물에 의한 특성 저하가 개선되고, 단차 피복성이 우수하며, 고 유전율을 가져 전기적으로는 등가 산화막 두께(EOT)를 가지면서 물리적으로는 터널링이 일어나지 않는 두께의 반도체용 유기금속 함유 및/또는 금속 함유 박막 및 이를 포함하는 반도체 구조물을 제공할 수 있다.After all, the present invention provides an organometallic precursor compound and a thin film prepared using the same, 1) not only can increase the reliability and efficiency of the manufacturing process, but 2) satisfies the improved chemical and thermal stability, and 3) this At the same time, it is possible to achieve significantly improved thin film deposition rates even at low temperatures. In addition, the excellent organometallic precursor compound as described above can be used for the production of thin films for semiconductors, thereby improving the characteristics of the product due to by-products, having excellent step coverage, and having a high dielectric constant, which is an equivalent electrical oxide film. It is possible to provide an organic metal-containing and / or metal-containing thin film for semiconductors having a thickness (EOT) and physically without tunneling, and a semiconductor structure including the same.

이하에서, 본 발명의 전구체에 포함되는 화학식 1로 표시되는 화합물의 합성예 및 이를 이용한 필름형성 제조예에 관하여 실시예를 들어 구체적으로 설명하지만, 본 발명의 하기 실시예로 한정되는 것은 아니다.Hereinafter, examples of the synthesis of the compound represented by Chemical Formula 1 included in the precursor of the present invention and a film forming production example using the same will be specifically described, but are not limited to the following examples of the present invention.

[실시예][Example]

실시예 1-1 : 텅스텐(W) 전구체 화합물 합성Example 1-1: Tungsten (W) precursor compound synthesis

하기 (1), (2) 및 (3)단계의 반응을 순차적으로 수행하여 하기 화학식 1-1로 표시되는 화합물을 제조하였다. The reactions of the following steps (1), (2) and (3) were sequentially performed to prepare compounds represented by the following Chemical Formula 1-1.

Figure pat00015
Figure pat00015

Figure pat00016
Figure pat00016

Figure pat00017
Figure pat00017

(1) (1)단계((t-bytyl-N=)2WCl2 합성) (1) Step (1) ((t-bytyl-N =) 2 of WCl 2 synthesis)

500ml의 톨루엔이 들어있는 2L 슐렝크 플라스크에 WCl6 100g(0.252mol, 1.00 당량)을 넣고 교반시키면서 -50℃ 이하로 냉각 시킨 후 n-tert-부틸 트리메틸실릴 아민(n-tert-butyl trimethylsilyl amine) 146.5g(1.008mol, 4.00당량)을 5시간 첨가하였다. 이 혼합 반응용액을 상온으로 천천히 승온하고, 12시간 상온에서 교반하고 반응을 종결하였다. 생성된 고체을 여과하고, 이어 감압하에서 용매를 완전히 제거했다. 1단계 생성물 100g(수율: 84%)을 수득하였다. After adding 100 g (0.252 mol, 1.00 equivalent) of WCl 6 to a 2 L Schlenk flask containing 500 ml of toluene and cooling to -50 ° C or less while stirring, n-tert-butyl trimethylsilyl amine (n-tert-butyl trimethylsilyl amine) 146.5 g (1.008 mol, 4.00 eq) was added for 5 hours. The mixed reaction solution was slowly heated to room temperature, stirred at room temperature for 12 hours, and the reaction was terminated. The resulting solid was filtered, and then the solvent was completely removed under reduced pressure. 100 g (yield: 84%) of the first stage product was obtained.

(2) 2단계((t-bytyl-N=)2W(NMe2)2의 합성) : 500ml의 헥산이 들어 있는 2L 슐렝크 플라스크에 (t-bytyl-N=)2WCl2 100g(0.213mol, 1.00당량)을 넣고 교반시키면서 -50℃이하로 냉각 시킨 후 Li(AMD)을 27.1g(0.531mol, 2.50 당량)을 1시간 투입하였다. 혼합 반응용액을 상온에서 3시간 동안 교반시켜 반응을 종결시켰다. 생성된 고체(LiCl)를 여과하고, 이어서 감압 하에서 용매를 완전히 제거 했다. 생성된 액체를 감압 하에서 증류(70℃/0.2torr)하여 붉은색 액체 화합물 36g(수율: 38%)을 수득하였다. (2) Step 2 (Synthesis of (t-bytyl-N =) 2 W (NMe 2 ) 2 ): 100 g (0.213) of (t-bytyl-N =) 2 WCl 2 in a 2 L Schlenk flask containing 500 ml of hexane mol, 1.00 equiv.) and cooled to below -50 ° C while stirring, and then 27.1 g (0.531 mol, 2.50 equiv) of Li (AMD) was added for 1 hour. The reaction was terminated by stirring the mixed reaction solution at room temperature for 3 hours. The resulting solid (LiCl) was filtered, and then the solvent was completely removed under reduced pressure. The resulting liquid was distilled under reduced pressure (70 ° C./0.2 torr) to obtain 36 g of a red liquid compound (yield: 38%).

(3) 3단계((CpCH2CH2NCH3)W(=N-t-bytyl)2의 합성) : 500ml의 헥산이 들어 있는 2L 슐렝크 플라스크에 2단계에서 합성된 (+N=)2W(NMe2)2 36g(0.087mol, 1.00당량)을 넣고 교반시키면서 -50℃로 냉각시킨 후 시클로펜타디엔닐에틸메틸아민(CpCH2CH2NCH3)을 1시간 투입하였다. 혼합 반응용액을 상온에서 5시간 교반시켜 반응을 종결시켰다. 이어서 감압 하에서 용매를 완전히 제거 한 후, 생성된 액체를 감압 하에서 증류(끓는점: 100℃, 0.2torr)하여 노랑색 액체의 표제 화합물인 하기 화학식 1-1로 표시되는 화합물인 텅스텐 전구체 화합물(CEMAW) 25g(수율 64%)을 얻었다. (3) Step 3 (Synthesis of (CpCH 2 CH 2 NCH 3 ) W (= Nt-bytyl) 2 ): (+ N =) 2W (NMe) synthesized in Step 2 in a 2L Schlenk flask containing 500 ml of hexane 2 ) 2 36 g (0.087 mol, 1.00 eq) was added and cooled to -50 ° C. while stirring, and cyclopentadienylethylmethylamine (CpCH 2 CH 2 NCH 3 ) was added for 1 hour. The reaction was terminated by stirring the mixed reaction solution at room temperature for 5 hours. Subsequently, after completely removing the solvent under reduced pressure, the resulting liquid is distilled under reduced pressure (boiling point: 100 ° C., 0.2 torr) to produce 25 g of tungsten precursor compound (CEMAW), which is a compound represented by the following Chemical Formula 1-1, which is a yellow liquid. (Yield 64%).

그리고, 제조한 상기 CEMAW에 대한 1H NMR 및 13C NMR 분석 결과를 도 1(1H NMR) 및 도 2(13C NMR)에 각각 나타내었다.And, the results of 1 H NMR and 13 C NMR analysis of the prepared CEMAW are shown in FIGS. 1 ( 1 H NMR) and 2 ( 13 C NMR), respectively.

또한, 제조된 상기 화합물에 대한 TGA분석 결과를 도 3에 나타내었다. In addition, the results of TGA analysis on the prepared compounds are shown in FIG. 3.

[화학식 1-1][Formula 1-1]

Figure pat00018
Figure pat00018

화학식 1-1에서 M은 텅스텐 원자(W)이고, X는 질소 원자이고, R1은 -(CH2CH2)-이고, R1는 메틸기이며, R3 및 R4는 t-부틸기이다.In Formula 1-1, M is a tungsten atom (W), X is a nitrogen atom, R 1 is-(CH 2 CH 2 )-, R 1 is a methyl group, and R 3 and R 4 are t-butyl groups .

실시예 1-2 ~ 1-16Examples 1-2 to 1-16

하기 화학식 1의 구조를 갖고, 표 1 ~ 표 3에 따라 X, R1, R2, R3 및 R4가 결정되는 텅스텐 전구체 화합물을 합성하였다.A tungsten precursor compound having the structure of Formula 1 and having X, R 1 , R 2 , R 3 and R 4 determined according to Tables 1 to 3, was synthesized.

구분division 실시예 1-1Example 1-1 실시예 1-2Example 1-2 실시예 1-3Example 1-3 실시예 1-4Example 1-4 실시예 1-5Example 1-5 실시예 1-6Example 1-6 MM WW WW WW WW WW WW XX NN PP

Figure pat00019
Figure pat00019
AsAs NN NN R1 R 1 -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2CH2)--(CH 2 CH 2 CH 2 )- -(CH2CH2OCH2)--(CH 2 CH 2 OCH 2 )- R2 R 2 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 R3 R 3
Figure pat00020
Figure pat00020
R4 R 4
Figure pat00021
Figure pat00021

구분division 실시예 1-7Example 1-7 실시예 1-8Example 1-8 실시예 1-9Example 1-9 실시예 1-10Example 1-10 실시예 1-11Example 1-11 실시예 1-12Example 1-12 MM WW WW WW WW WW WW XX NN NN NN NN NN NN R1 R 1 -(CH2CH2CH2CH2CH2)--(CH 2 CH 2 CH 2 CH 2 CH 2 )- -(CH2CH2CH2CH2CH2CH2)--(CH 2 CH 2 CH 2 CH 2 CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- R2 R 2 -CH3 -CH 3 -CH3 -CH 3

Figure pat00022
Figure pat00022
Figure pat00023
Figure pat00023
Figure pat00024
Figure pat00024
Figure pat00025
Figure pat00025
R3 R 3
Figure pat00026
Figure pat00026
R4 R 4
Figure pat00027
Figure pat00027

구분division 실시예 1-13Example 1-13 실시예 1-14Example 1-14 실시예 1-15Example 1-15 실시예 1-16Example 1-16 MM WW WW WW WW XX NN NN NN NN R1 R 1 -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- R2 R 2 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 R3 R 3 -CH3 -CH 3

Figure pat00028
Figure pat00028
Figure pat00029
Figure pat00029
Figure pat00030
Figure pat00030
R4 R 4 -CH3 -CH 3
Figure pat00031
Figure pat00031
Figure pat00032
Figure pat00032
Figure pat00033
Figure pat00033

비교예 1-1Comparative Example 1-1

하기 화학식 6으로 표시되는 화합물을 합성하였다.Compound represented by the formula (6) was synthesized.

[화학식 6][Formula 6]

Figure pat00034
Figure pat00034

비교예 1-2Comparative Example 1-2

하기 화학식 7로 표시되는 화합물을 합성하였다.Compound represented by the formula (7) was synthesized.

[화학식 7][Formula 7]

Figure pat00035
Figure pat00035

제조예 1-1 : 원자층 증착법(ALD)을 이용한 텅스텐 박막 형성Preparation Example 1-1: Tungsten thin film formation using atomic layer deposition (ALD)

실시예 1-1에서 제조한 유기금속 전구체 화합물(CEMAW)를 이용하여, 원자층 증착법을 수행하여 텅스텐 박막을 형성시켰다. CEMAW를 기판상에 전구체 공급단계-1차 퍼지-반응물질 공급단계-2차 퍼지시키는 1사이클(cycle)로 하는 ALD 증착 조건은 하기 표 4와 같다.Using the organometallic precursor compound (CEMAW) prepared in Example 1-1, an atomic layer deposition method was performed to form a tungsten thin film. The ALD deposition conditions using CEMAW as a cycle for supplying the precursor on the substrate-1st purge-reactant supply step-2nd purging are shown in Table 4 below.

구분division 조건Condition ALD 증착기기ALD deposition equipment PEALD(아이작 리서치사, 모델명 : IOV-D300)PEALD (Isaac Research, model name: IOV-D300) 전구체 전달 방식Precursor delivery method LDS 타입LDS type 사이클 시간Cycle time *텅스텐 전구체 공급 시간 : 3초
*1차 퍼지(purge) 시간 : 3초 ~ 10초
*반응물질(NH3) 공급 시간 : 3초
*2차 퍼지(purge) 시간 : 3초 ~ 10초
* Tungsten precursor supply time: 3 seconds
* 1st purge time: 3 seconds to 10 seconds
* Reaction material (NH 3 ) supply time: 3 seconds
* 2nd purge time: 3 seconds to 10 seconds
사이클 수Cycle number 500 사이클500 cycles RF 전압(Power)세기RF voltage (Power) strength 300 ~ 700 W(PEALD)300 ~ 700 W (PEALD) 유량(flow rate)Flow rate LFM(0.05g/분), NH3(200 sccm)LFM (0.05 g / min), NH 3 (200 sccm) 작업 압력Working pressure 1.0 ~ 1.5 Torr1.0 ~ 1.5 Torr 기판Board 12”Si 웨이퍼12 ”Si wafer 온도Temperature *기판 = 400℃
*캐니스터(canister) : 상온(LDS)
*기화기(vaporizer) : 140℃
*이송라인 : 140℃
* Substrate = 400 ℃
* Canister: normal temperature (LDS)
* Vaporizer: 140 ℃
* Transfer line: 140 ℃

실험예 1 : ALD 증착된 텅스텐 박막의 비저항, 증착률, EDS 분석Experimental Example 1: Analysis of resistivity, deposition rate, and EDS of ALD-deposited tungsten thin film

박막의 비저항(resistivity), 증착률 및 EDS를 분석하였고, 그 결과를 하기 표 5에 나타내었다. 또한, RF 전압에 따른 비저항 및 증착률 측정 값을 도 4에 나타내었다. 이때, 도 4 측정값은 사이클 시간이 텅스텐 전구체 공급/1차 퍼지/반응물질 공급/2차 퍼지 시간은 3초/5초/3초/5초일 때 측정한 것이다. 그리고, RF 전압 세기 700W일 때, 퍼지 시간 변화에 따른 비저항 및 증착률 측정 값을 도 5에 나타내었다. 또한, 텅스텐 전구체 공급/1차 퍼지/반응물질 공급/2차 퍼지 시간이 3초/5초/3초/5초일 때, RF 전압 세기에 따른 EDS 측정 결과를 도 6에 나타내었다.The resistivity, deposition rate and EDS of the thin film were analyzed, and the results are shown in Table 5 below. In addition, measurement values of specific resistance and deposition rate according to RF voltage are shown in FIG. 4. In this case, the measurement value of FIG. 4 is measured when the cycle time is tungsten precursor supply / primary purge / reactant supply / secondary purge time is 3 seconds / 5 seconds / 3 seconds / 5 seconds. And, when the RF voltage strength of 700W, the specific resistance and deposition rate measurement values according to the change in purge time are shown in FIG. In addition, when the tungsten precursor supply / 1st purge / reactant supply / 2nd purge time is 3 sec / 5 sec / 3 sec / 5 sec, EDS measurement results according to RF voltage intensity are shown in FIG. 6.

도 4를 살펴보면, RF 전압 세기가 증가할수록 박막 증착률이 감소하는 경향이 있고, 비저항값은 300W일 때, 가장 높고, 500W에서 가장 낮으며, 500W 이후 다시 비저항값이 증가하는 경향을 보임을 확인할 수 있다. 즉, 비저항값 3.0ⅹ10-3 Ω·㎝ 미만, 바람직하게는 2.0×10-3 ~ 2.9×10-3 Ω·㎝을 만족하는 RF 전압 세기 400W ~ 750W, 바람직하게는 450W ~ 600W이 적정함을 확인할 수 있다.Looking at Figure 4, as the RF voltage intensity increases, the thin film deposition rate tends to decrease, and when the resistivity is 300 W, the highest, the lowest at 500 W, and after 500 W, the resistivity increases again. You can. That is, the RF voltage strength of 400W to 750W, preferably 450W to 600W, which satisfies the specific resistance value of 3.0ⅹ10 -3 Ω · cm or less, preferably 2.0 × 10 -3 to 2.9 × 10 -3 Ω · cm, is appropriate. Can be confirmed.

그리고, 도 5를 살펴보면, RF 전압 세기 700W일 때, 퍼지시간이 증가할수록 비저항 및 박막 증착률이 감소하다가 다시 증가하는 경향을 확인할 수 있으며, 적정 퍼지시간이 바람직하게는 3 ~ 6초, 또는 8초 ~ 10초인 것이 좋으며, 경제성을 고려하면 3 ~ 6초인 것이 더 바람직할 것이다.And, looking at Figure 5, when the RF voltage strength of 700W, as the purge time increases, it can be confirmed that the resistivity and the thin film deposition rate decreases and then increases again, and the appropriate purge time is preferably 3 to 6 seconds, or 8 Seconds are preferably 10 seconds, and considering economics, 3 to 6 seconds will be more preferable.

또한, 도 6을 살펴보면, RF 전압 세기가 증가할수록 불순물은 탄소(C), 질소(N)의 함량이 감소하는 경향이 있음을 확인할 수 있으며, 박막 내 불순물인 탄소 농도가 5.0 ~ 12.5 at%인 것을 확인할 수 있었다. 그리고, 질소 함량이 낮은 것을 통하여, 박막 내 W=N 이중결합이 끊어지지 않고 견고하게 결합하고 있음을 확인할 수 있었다.In addition, referring to FIG. 6, it can be seen that as the RF voltage intensity increased, the impurities tended to decrease in the content of carbon (C) and nitrogen (N), and the concentration of carbon as an impurity in the thin film was 5.0 to 12.5 at%. I could confirm that. And, through the low nitrogen content, it was confirmed that the W = N double bond in the thin film is not broken, but is firmly bonded.

낮은 비저항값, 높은 박막 증착률 및 낮은 불순물 함량 등을 고려하여, 종합적으로 판단할 때, CEMAW를 이용한 ALD 증착 공정 조건은 RF 전압 세기가 400 ~ 750W, 바람직하게는 450W ~ 600W이고, 퍼지(purge) 시간은 3 ~ 6초 정도인 것이 박막 형성 조건에 유리함을 확인할 수 있다.Considering low specific resistance value, high thin film deposition rate and low impurity content, ALD deposition process conditions using CEMAW have an RF voltage strength of 400 to 750 W, preferably 450 W to 600 W, and purge ) It can be seen that a time of about 3 to 6 seconds is advantageous for thin film formation conditions.

제조예 1-2 ~ 1-16 및 비교예 1-1 ~ 1-2Production Examples 1-2 to 1-16 and Comparative Examples 1-1 to 1-2

상기 제조예 1-1과 동일한 방법으로 ALD 증착공정을 통한 텅스텐 박막을 형성시키되, 실시예 1-2 ~ 1-16 및 비교예 1-1 ~ 1-2의 텅스텐 화합물을 각각을 이용하여 RF 전압세기 500 W, CEMAW를 기판 상에 전구체 공급단계-1차 퍼지-반응물질 공급단계-2차 퍼지를 3초/5초/3초/5초를 1사이클로 하여 500 사이클 수행하여 텅스텐 박막을 형성시켰다.In the same manner as in Preparation Example 1-1, a tungsten thin film was formed through an ALD deposition process, and the RF voltage was applied using the tungsten compounds of Examples 1-2 to 1-16 and Comparative Examples 1-1 to 1-2, respectively. The intensity of 500 W, CEMAW was performed on the substrate by performing 500 cycles of the precursor supply step-1st purge-reactant supply step-2nd purge at 3 sec / 5 sec / 3 sec / 5 sec 1 cycle to form a tungsten thin film. .

실험예 2 : ALD 증착된 텅스텐 박막의 비저항, 증착률, EDS 분석Experimental Example 2: Analysis of resistivity, deposition rate, and EDS of ALD-deposited tungsten thin film

상기 실험예 1과 동일한 방법으로 제조예 1-1 ~ 1-16 및 비교예 1-1 ~ 1-2의 텅스텐 박막의 비저항, 박막 증착률 및 EDS 분석(탄소 함량, at%)을 수행하였으며, 그 결과를 하기 표 5에 나타내었다.The resistivity, thin film deposition rate and EDS analysis (carbon content, at%) of the tungsten thin films of Preparation Examples 1-1 to 1-16 and Comparative Examples 1-1 to 1-2 were performed in the same manner as in Experimental Example 1, The results are shown in Table 5 below.

구분division 비저항값(Ω·㎝)Specific resistance value (Ω · ㎝) 박막 증착률(Å/cycle)Thin film deposition rate (Å / cycle) 불순물 농도Impurity concentration 탄소(at%)Carbon (at%) 제조예 1-1Preparation Example 1-1 (2.2 ~ 2.3)×10-3 (2.2 ~ 2.3) × 10 -3 1.05 ~ 1.101.05 ~ 1.10 10.5 ~ 11.010.5 ~ 11.0 제조예 1-2Preparation Example 1-2 (2.4 ~ 2.5)×10-3 (2.4 ~ 2.5) × 10 -3 1.03 ~ 1.081.03 ~ 1.08 9.8 ~ 10.39.8 ~ 10.3 제조예 1-3Preparation Example 1-3 (2.3 ~ 2.4)×10-3 (2.3 ~ 2.4) × 10 -3 1.05 ~ 1.101.05 ~ 1.10 11.5 ~ 12.011.5 ~ 12.0 제조예 1-4Preparation Example 1-4 (2.7 ~ 2.8)×10-3 (2.7 ~ 2.8) × 10 -3 0.95 ~ 1.000.95 ~ 1.00 8.8 ~ 9.38.8 ~ 9.3 제조예 1-5Preparation Example 1-5 (2.4 ~ 2.5)×10-3 (2.4 ~ 2.5) × 10 -3 1.00 ~ 1.051.00 ~ 1.05 9.0 ~ 9.59.0 ~ 9.5 제조예 1-6Preparation Example 1-6 (2.5 ~ 2.6)×10-3 (2.5 ~ 2.6) × 10 -3 1.05 ~ 1.101.05 ~ 1.10 10.8 ~ 11.310.8 ~ 11.3 제조예 1-7Preparation Example 1-7 (2.5 ~ 2.6)×10-3 (2.5 ~ 2.6) × 10 -3 0.90 ~ 0.950.90 ~ 0.95 10.5 ~ 11.010.5 ~ 11.0 제조예 1-8Preparation Example 1-8 (2.6 ~ 2.7)×10-3 (2.6 ~ 2.7) × 10 -3 0.85 ~ 0.900.85 ~ 0.90 10.9 ~ 11.410.9 ~ 11.4 제조예 1-9Preparation Example 1-9 (2.4 ~ 2.5)×10-3 (2.4 ~ 2.5) × 10 -3 1.20 ~ 1.251.20 ~ 1.25 8.8 ~ 9.38.8 ~ 9.3 제조예 1-10Preparation Example 1-10 (2.5 ~ 2.6)×10-3 (2.5 ~ 2.6) × 10 -3 1.25 ~ 1.301.25 ~ 1.30 9.0 ~ 9.59.0 ~ 9.5 제조예 1-11Preparation Example 1-11 (2.5 ~ 2.6)×10-3 (2.5 ~ 2.6) × 10 -3 1.17 ~ 1.221.17 ~ 1.22 10.0 ~ 10.510.0 ~ 10.5 제조예 1-12Preparation Example 1-12 (2.4 ~ 2.5)×10-3 (2.4 ~ 2.5) × 10 -3 1.00 ~ 1.051.00 ~ 1.05 11.0 ~ 11.511.0 ~ 11.5 제조예 1-13Preparation Example 1-13 (1.9 ~ 2.0)×10-3 (1.9 ~ 2.0) × 10 -3 1.30 ~ 1.351.30 ~ 1.35 5.5 ~ 6.05.5 ~ 6.0 제조예 1-14Preparation Example 1-14 (2.1 ~ 2.2)×10-3 (2.1 ~ 2.2) × 10 -3 1.25 ~ 1.301.25 ~ 1.30 5.5 ~ 6.05.5 ~ 6.0 제조예 1-15Preparation Example 1-15 (2.2 ~ 2.3)×10-3 (2.2 ~ 2.3) × 10 -3 1.10 ~ 1.151.10 ~ 1.15 8.5 ~ 9.08.5 ~ 9.0 제조예 1-16Preparation Example 1-16 (2.6 ~ 2.7)×10-3 (2.6 ~ 2.7) × 10 -3 0.95 ~ 1.000.95 ~ 1.00 7.5 ~ 8.07.5 ~ 8.0 비교제조예 1-1Comparative Production Example 1-1 (2.4 ~ 2.5)×10-3 (2.4 ~ 2.5) × 10 -3 1.00 ~ 1.051.00 ~ 1.05 14.5 ~ 15.014.5 ~ 15.0 비교제조예 1-2Comparative Production Example 1-2 (3.2 ~ 3.3)×10-3 (3.2 ~ 3.3) × 10 -3 0.70 ~ 0.750.70 ~ 0.75 16.7 ~ 17.216.7 ~ 17.2

상기 표 5를 통해서 본 발명의 유기금속 화합물 중 텅스텐 화합물을 전구체로 사용하여 제조된 박막의 박막 증착률은 모두 0.7 Å/cycle를 초과, 바람직하게는 0.95 ~ 1.5Å/cycle, 바람직하게는 1.05 ~ 1.55Å/cycle를 만족하여 우수한 박막 증착률을 가짐을 확인할 수 있으며, 비저항값이 3.0ⅹ10-3 Ω·㎝ 미만, 바람직하게는 1.9×10-3 ~ 2.9×10-3 Ω·㎝, 더욱 바람직하게는 2.0×10-3 ~ 2.4×10-3 Ω·㎝을 만족함을 확인할 수 있다.Through the Table 5, the thin film deposition rate of the thin film prepared using the tungsten compound as a precursor among the organometallic compounds of the present invention exceeds 0.7 Å / cycle, preferably 0.95 to 1.5 Å / cycle, preferably 1.05 to It can be confirmed that it has an excellent thin film deposition rate by satisfying 1.55Å / cycle, and the specific resistance value is less than 3.0ⅹ10 -3 Ω · cm, preferably 1.9 × 10 -3 ~ 2.9 × 10 -3 Ω · cm, more preferably It can be seen that satisfies 2.0 × 10 -3 to 2.4 × 10 -3 Ω · cm.

나아가, 박막 순도의 경우에도 오염원인 탄소가 모두 12.5 at% 이하, 바람직하게는 11.0 at% 이하이며, 이를 통해 본 발명이 잔존하는 불순물의 양이 현저히 낮아 순도가 개선된 박막을 제공할 수 있음을 알 수 있다. Furthermore, even in the case of thin film purity, all of the carbon that is a contaminant source is 12.5 at% or less, preferably 11.0 at% or less, and through this, the amount of impurities remaining in the present invention is remarkably low, thereby providing a thin film with improved purity. Able to know.

이에 반해, 비교예 1-1은 탄소 불순물 함량이 높은 문제가 있었고, 비교예 1-2는 ALD 증착시 막힘 현상이 있었을 뿐만 아니라, 제조예 보다 높은 비저항값 및 낮은 박막 증착률을 보였다.On the other hand, Comparative Example 1-1 had a problem of high carbon impurity content, Comparative Example 1-2 showed not only a clogging phenomenon during ALD deposition, but also a higher resistivity value and a lower thin film deposition rate than in Production Example.

실시예 2-1 : 몰리브덴(Mo) 전구체 화합물 합성Example 2-1: Molybdenum (Mo) precursor compound synthesis

하기 (1), (2) 및 (3)단계의 반응을 순차적으로 수행하여 하기 화학식 2-1로 표시되는 화합물을 제조하였다. The reactions of the following steps (1), (2) and (3) were sequentially performed to prepare compounds represented by the following Chemical Formula 2-1.

(1) (t-bytyl-N=)(1) (t-bytyl-N =) 22 MoClMoCl 22 of 합성 synthesis

2L 슐렝크 플라스크에 MoO2Cl2(25g)을 소분하고 아세토니트릴(Acetonitrile)을 투입시켰다. 교반 후 t-부틸 이소시아네이트(tert-Butyl Isocyanate)을 적가하였다. 다음으로, 상온 교반 후 12시간 동안 환류(reflux)시켰다. 다음으로, 용매(solven)t를 스트립(strip)한 후 재결정시켜서 켰다. (+N=)2MoCl2를 37g을 합성하였다(수율 : 93%). In a 2L Schlenk flask, MoO 2 Cl 2 (25 g) was fractionated and acetonitrile was added. After stirring, t-butyl isocyanate ( tert -Butyl Isocyanate) was added dropwise. Next, after stirring at room temperature, the mixture was refluxed for 12 hours. Next, the solvent t was stripped and then recrystallized to turn on. (+ N =) 37 g of 2 MoCl 2 was synthesized (yield: 93%).

(2) (t-bytyl-N=)(2) (t-bytyl-N =) 22 Mo(NMeMo (NMe 22 )) 22 of 합성 synthesis

500ml의 헥산이 들어 있는 2L 슐렝크 플라스크에 (+N=)2MoCl2(1.00당량)을 넣고 교반시키면서 -50℃ 이하로 냉각시킨 후 Li(AMD)을 (2.50 당량)을 1시간 동안 천천히 투입하였다. 다음으로, 이를 상온에서 3시간 동안 교반시켜 반응을 종결시켰다. 생성된 고체(LiCl)를 여과하고, 이어서 감압 하에서 용매를 완전히 제거했다. 생성된 액체를 감압 하에서 증류(70℃/0.2torr)하여 (+N=)2Mo(NMe2)2 33g을 합성하였다(수율 80%).After adding (+ N =) 2 MoCl 2 (1.00 eq.) To a 2L Schlenk flask containing 500 ml of hexane and cooling to -50 ° C or less while stirring, Li (AMD) (2.50 eq.) Was slowly added for 1 hour. Did. Next, the reaction was terminated by stirring it at room temperature for 3 hours. The resulting solid (LiCl) was filtered, then the solvent was completely removed under reduced pressure. The resulting liquid was distilled under reduced pressure (70 ° C./0.2 torr) to synthesize (+ N =) 2 Mo (NMe 2 ) 2 33 g (yield 80%).

(3) CpCH(3) CpCH 22 CHCH 22 NCHNCH 33 )Mo(=N-t-bytyl)) Mo (= N-t-bytyl) 22 of 합성 synthesis

500ml의 헥산이 들어 있는 2L 슐렝크 플라스크에 2단계에서 합성된 (+N=)2Mo(NMe2)2(1.00당량)을 넣고 교반시키면서 -50℃로 냉각시킨 후 시클로펜타디엔닐에틸메틸아민(CpCH2CH2NCH3)을 1시간 동안 천천히 투입하였다. 다음으로, 이를 상온에서 5시간 교반시켜 반응을 종결시켰다. 이어서 감압 하에서 용매를 완전히 제거한 후, 생성된 액체를 감압 하에서 증류(끓는점: 100℃, 0.1torr)하여 어두운 노란 액체(yellow liquid)인 하기 화학식 1-2로 표시되는 화합물인 몰리브덴 전구체 화합물 20g(수율 60%)을 얻었다. In a 2L Schlenk flask containing 500 ml of hexane, (+ N =) 2 Mo (NMe 2 ) 2 (1.00 equivalent) synthesized in step 2 was added and cooled to -50 ° C with stirring, followed by cyclopentadienylethylmethylamine (CpCH 2 CH 2 NCH 3 ) was slowly added for 1 hour. Next, the reaction was terminated by stirring it at room temperature for 5 hours. Subsequently, after completely removing the solvent under reduced pressure, the resulting liquid is distilled under reduced pressure (boiling point: 100 ° C., 0.1 torr) to yield 20 g of a molybdenum precursor compound, a compound represented by the following Chemical Formula 1-2, which is a dark yellow liquid. 60%).

그리고, 제조한 상기 CEMAW에 대한 1H NMR 및 13C NMR 분석 결과를 도 7(1H NMR))에 나타내었으며, TGA분석 결과를 도 8에 나타내었다.And, 1 H NMR and 13 C NMR analysis results for the prepared CEMAW are shown in FIG. 7 ( 1 H NMR), and the TGA analysis results are shown in FIG. 8.

[화학식 1-2][Formula 1-2]

Figure pat00036
Figure pat00036

화학식 1-2에서 M은 몰리브덴 원자(Mo)이고, X는 질소 원자이고, R1은 -(CH2CH2)-이고, R1는 메틸기이며, R3 및 R4는 t-부틸기이다.In Formula 1-2, M is a molybdenum atom (Mo), X is a nitrogen atom, R 1 is-(CH 2 CH 2 )-, R 1 is a methyl group, and R 3 and R 4 are t-butyl groups .

실시예 2-2 ~ 2-12Examples 2-2 to 2-12

상기 화학식 2-1의 구조를 갖고, 표 6 ~ 표 7에 따라 X, R1, R2, R3 및 R4가 결정되는 몰리브덴 전구체 화합물을 합성하였다.A molybdenum precursor compound having a structure of Formula 2-1 and X, R 1 , R 2 , R 3 and R 4 determined according to Tables 6 to 7 was synthesized.

구분division 실시예 2-1Example 2-1 실시예 2-2Example 2-2 실시예 2-3Example 2-3 실시예 2-4Example 2-4 실시예 2-5Example 2-5 실시예 2-6Example 2-6 MM MoMo MoMo MoMo MoMo MoMo MoMo XX NN PP

Figure pat00037
Figure pat00037
AsAs NN NN R1 R 1 -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2CH2)--(CH 2 CH 2 CH 2 )- -(CH2CH2OCH2)--(CH 2 CH 2 OCH 2 )- R2 R 2 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 -CH3 -CH 3 R3 R 3
Figure pat00038
Figure pat00038
R4 R 4
Figure pat00039
Figure pat00039

구분division 실시예 2-7Example 2-7 실시예 2-8Example 2-8 실시예 2-9Example 2-9 실시예 2-10Example 2-10 실시예 2-11Example 2-11 실시예 2-12Example 2-12 MM MoMo MoMo MoMo MoMo MoMo MoMo XX NN NN NN NN NN NN R1 R 1 -(CH2CH2CH2CH2CH2)--(CH 2 CH 2 CH 2 CH 2 CH 2 )- -(CH2CH2CH2CH2CH2CH2)--(CH 2 CH 2 CH 2 CH 2 CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- -(CH2CH2)--(CH 2 CH 2 )- R2 R 2 -CH3 -CH 3 -CH3 -CH 3

Figure pat00040
Figure pat00040
Figure pat00041
Figure pat00041
Figure pat00042
Figure pat00042
Figure pat00043
Figure pat00043
R3 R 3
Figure pat00044
Figure pat00044
R4 R 4
Figure pat00045
Figure pat00045

비교예 2-1Comparative Example 2-1

하기 화학식 8로 표시되는 화합물을 합성하였다.Compound represented by the formula (8) was synthesized.

[화학식 8][Formula 8]

Figure pat00046
Figure pat00046

제조예 2-1 ~ 2-12 및 비교제조예 2-1Production Example 2-1 to 2-12 and Comparative Production Example 2-1

실시예 2-1 ~ 2-12 및 비교예 2-1의 몰리브덴(Mo) 전구체 화합물 각각을 상기 제조예 1-1과 동일한 조건 및 방법으로, 원자층 증착법(ALD)을 이용한 몰리브덴 박막 형성하여, 제조예 2-1 ~ 2-12 및 비교제조예 2-1를 각각 실시하였다. 이때, 증착시, 1 사이클의 ALD 증착 조건은 상기 표 4와 같다.Each of the molybdenum (Mo) precursor compounds of Examples 2-1 to 2-12 and Comparative Example 2-1 was formed with a molybdenum thin film using atomic layer deposition (ALD) under the same conditions and methods as those of Preparation Example 1-1, Preparation Examples 2-1 to 2-12 and Comparative Production Example 2-1 were performed, respectively. At this time, during deposition, ALD deposition conditions of one cycle are shown in Table 4 above.

실험예 3 : ALD 증착된 텅스텐 박막의 비저항, 증착률, EDS 분석Experimental Example 3: Analysis of resistivity, deposition rate and EDS of ALD-deposited tungsten thin film

상기 실험예 1과 동일한 방법으로 제조예 2-1 ~ 2-12 및 비교제조예 2-1의 몰리브덴 박막의 비저항, 박막 증착률 및 EDS 분석(탄소 함량, at%)을 수행하였으며, 그 결과를 하기 표 8에 나타내었다.The resistivity, thin film deposition rate and EDS analysis (carbon content, at%) of the molybdenum thin films of Preparation Examples 2-1 to 2-12 and Comparative Production Example 2-1 were performed in the same manner as in Experimental Example 1, and the results were obtained. It is shown in Table 8 below.

구분division Mo 전구체
화합물
Mo precursor
compound
비저항값
(Ω·㎝)
Specific resistance value
(Ω · cm)
박막 증착률(Å/cycle)Thin film deposition rate (Å / cycle) 불순물 농도Impurity concentration
탄소(at%)Carbon (at%) 제조예 2-1Preparation Example 2-1 실시예 2-1Example 2-1 (1.1 ~ 1.3)×10-3 (1.1 ~ 1.3) × 10 -3 1.14 ~ 1.161.14 ~ 1.16 10.1 ~ 11.010.1 ~ 11.0 제조예 2-2Preparation Example 2-2 실시예 2-2Example 2-2 (1.4 ~ 1.6)×10-3 (1.4 ~ 1.6) × 10 -3 1.15 ~ 1.171.15 ~ 1.17 9.8 ~ 10.19.8 ~ 10.1 제조예 2-3Preparation Example 2-3 실시예 2-3Example 2-3 (1.3 ~ 1.5)×10-3 (1.3 ~ 1.5) × 10 -3 1.13 ~ 1.181.13 ~ 1.18 9.5 ~ 9.99.5 ~ 9.9 제조예 2-4Preparation Example 2-4 실시예 2-4Example 2-4 (1.7 ~ 1.8)×10-3 (1.7 ~ 1.8) × 10 -3 1.07 ~ 1.121.07 ~ 1.12 10.9 ~ 11.010.9 ~ 11.0 제조예 2-5Preparation Example 2-5 실시예 2-5Example 2-5 (1.4 ~ 1.5)×10-3 (1.4 ~ 1.5) × 10 -3 1.05 ~ 1.091.05 ~ 1.09 10.1 ~ 10.910.1 ~ 10.9 제조예 2-6Preparation Example 2-6 실시예 2-6Example 2-6 (1.6 ~ 1.7)×10-3 (1.6 ~ 1.7) × 10 -3 1.02 ~ 1.051.02 ~ 1.05 10.2 ~ 10.410.2 ~ 10.4 제조예 2-7Production Example 2-7 실시예 2-7Example 2-7 (1.5 ~ 1.6)×10-3 (1.5 ~ 1.6) × 10 -3 1.06 ~ 1.121.06 ~ 1.12 9.8 ~ 9.99.8 ~ 9.9 제조예 2-8Preparation Example 2-8 실시예 2-8Example 2-8 (1.6 ~ 1.7)×10-3 (1.6 ~ 1.7) × 10 -3 1.11 ~ 1.151.11 ~ 1.15 9.0 ~ 9.59.0 ~ 9.5 제조예 2-9Preparation Example 2-9 실시예 2-9Example 2-9 (1.4 ~ 1.5)×10-3 (1.4 ~ 1.5) × 10 -3 1.12 ~ 1.151.12 ~ 1.15 10.2 ~ 10.410.2 ~ 10.4 제조예 2-10Preparation Example 2-10 실시예 2-10Example 2-10 (1.3 ~ 1.4)×10-3 (1.3 ~ 1.4) × 10 -3 1.25 ~ 1.271.25 ~ 1.27 11.2 ~ 11.111.2 ~ 11.1 제조예 2-11Production Example 2-11 실시예 2-11Example 2-11 (1.4 ~ 1.6)×10-3 (1.4 ~ 1.6) × 10 -3 1.05 ~ 1.101.05 ~ 1.10 4.5 ~ 5.54.5 ~ 5.5 제조예 2-12Preparation Example 2-12 실시예 2-12Example 2-12 (1.4 ~ 1.5)×10-3 (1.4 ~ 1.5) × 10 -3 1.04 ~ 1.101.04 ~ 1.10 5.5 ~ 6.05.5 ~ 6.0 비교제조예 2-1Comparative Production Example 2-1 비교예 2-1Comparative Example 2-1 (1.0 ~ 1.5)×10-3 (1.0 ~ 1.5) × 10 -3 0.85 ~ 0.980.85 ~ 0.98 11.5 ~ 12.011.5 ~ 12.0

상기 표 8를 통해서 본 발명의 유기금속 화합물 중 몰리브덴 화합물을 전구체로 사용하여 제조된 박막의 박막 증착률은 모두 1.00 Å/cycle를 초과, 바람직하게는 1.00 ~ 1.50 Å/cycle, 더욱 바람직하게는 1.05 ~ 1.35 Å/cycle를 만족하여 우수한 박막 증착률을 가짐을 확인할 수 있었다. 그리고, 비저항값이 2.5ⅹ10-3 Ω·㎝ 미만, 바람직하게는 1.0×10-3 ~ 2.2×10-3 Ω·㎝, 더욱 바람직하게는 1.0×10-3 ~ 1.9×10-3 Ω·㎝을 만족함을 확인할 수 있었으며, 이러한 비저항값은 텅스텐 화합물 전구체 보다 상대적으로 낮은 비저항값이며, 텅스텐 보다 몰리브덴이 상대적으로 전기적 특성이 좋음을 확인할 수 있었다.Through the Table 8, the thin film deposition rate of the thin film prepared by using the molybdenum compound as a precursor among the organometallic compounds of the present invention exceeds 1.00 Å / cycle, preferably 1.00 to 1.50 Å / cycle, more preferably 1.05 It was confirmed that it has an excellent thin film deposition rate by satisfying ~ 1.35 Å / cycle. And, the specific resistance value is less than 2.5ⅹ10 -3 Ω · cm, preferably 1.0 × 10 -3 to 2.2 × 10 -3 Ω · cm, more preferably 1.0 × 10 -3 to 1.9 × 10 -3 Ω · cm It could be confirmed that, the specific resistance value is a relatively low specific resistance value than the tungsten compound precursor, it was confirmed that the molybdenum is relatively good electrical properties than tungsten.

상기 실시예 및 실험예를 통하여 본 발명의 유기금속 전구체 화합물을 이용하여 우수한 물성(낮은 비저항, 높은 박막 증착률, 낮은 불순물 함량)의 유기금속 함유 및/또는 금속 함유 박막을 형성시킬 수 있음을 확인할 수 있었다.Through the above Examples and Experimental Examples, it was confirmed that the organometallic and / or metal-containing thin films of excellent physical properties (low resistivity, high thin film deposition rate, low impurity content) can be formed using the organometallic precursor compounds of the present invention. Could.

Claims (11)

하기 화학식 1로 표시되는 유기금속 전구체 화합물.
[화학식 1]
Figure pat00047

상기 화학식 1에서, M은 텅스텐(W), 크롬(Cr) 또는 몰리브덴(Mo)이며, R1은 C2~10의 알킬렌기 또는 헤테로알킬렌기이고, R2는 C1~5의 알킬기 또는 헤테로알킬기이며, R3 및 R4는 각각 독립적으로 C1~10의 알킬기이고, X는 질소원자(N), 인원자(P), 비소원자(As) 또는
Figure pat00048
이다.
An organometallic precursor compound represented by Formula 1 below.
[Formula 1]
Figure pat00047

In Chemical Formula 1, M is tungsten (W), chromium (Cr) or molybdenum (Mo), R 1 is C 2-10 alkylene group or heteroalkylene group, R 2 is C 1-5 alkyl group or hetero Alkyl group, R 3 and R 4 are each independently a C 1-10 alkyl group, X is a nitrogen atom (N), personnel (P), non-atom (As) or
Figure pat00048
to be.
제1항에 있어서,
상기 X는 N인 것을 특징으로 하는 유기금속 전구체 화합물.
According to claim 1,
The X is an organometallic precursor compound, characterized in that N.
제1항에 있어서,
상기 R1은 C2~5의 알킬렌기인 것을 특징으로 하는 유기금속 전구체 화합물.
According to claim 1,
The R 1 is an organometallic precursor compound, characterized in that it is a C 2-5 alkylene group.
제1항에 있어서,
상기 R2는 C1~3의 알킬기이며, 상기 R3 및 R4는 각각 독립적으로 C1~5의 알킬기인 것을 특징으로 하는 유기금속 전구체 화합물.
According to claim 1,
The R 2 is an alkyl group of C 1 ~ 3 , R 3 and R 4 are each independently an organometallic precursor compound, characterized in that C 1 ~ 5 alkyl group.
하기 화학식 2로 표시되는 유기금속 전구체 화합물.
[화학식 2]
Figure pat00049

상기 화학식 2에서, M은 텅스텐(W), 크롬(Cr) 또는 몰리브덴(Mo)이며, R1’은 C1~4의 알킬렌기 또는 헤테로알킬렌기이고, R2는 C1~5의 알킬기 또는 헤테로알킬기이며, R3 및 R4는 각각 독립적으로 C1~10의 알킬기이다.
An organometallic precursor compound represented by the following Chemical Formula 2.
[Formula 2]
Figure pat00049

In Chemical Formula 2, M is tungsten (W), chromium (Cr) or molybdenum (Mo), R 1 ′ is a C 1-4 alkylene group or a heteroalkylene group, R 2 is a C 1-5 alkyl group, or Heteroalkyl group, R 3 and R 4 are each independently a C 1-10 alkyl group.
제5항에 있어서,
상기 R1’은 C1~2의 알킬렌기 또는 헤테로알킬렌기이고, R2는 C1~3의 알킬기이며, R3 및 R4는 각각 독립적으로 C1~5의 알킬기인 것을 특징으로 하는 유기금속 전구체 화합물.
The method of claim 5,
The R 1 'is a C 1 ~ 2 alkylene group or heteroalkylene group, R 2 is a C 1 ~ 3 alkyl group, R 3 and R 4 are each independently C 1 ~ 5 alkyl group characterized in that the organic Metal precursor compound.
하기 화학식 3으로 표시되는 유기금속 전구체 화합물.
[화학식 3]
Figure pat00050

상기 화학식 3에서, M은 텅스텐(W), 크롬(Cr) 또는 몰리브덴(Mo)이며, R2는 C1~3의 알킬기이며, R3 및 R4는 각각 독립적으로 C1~5의 알킬기이다.
An organometallic precursor compound represented by Formula 3 below.
[Formula 3]
Figure pat00050

In Chemical Formula 3, M is tungsten (W), chromium (Cr), or molybdenum (Mo), R 2 is a C 1-3 alkyl group, and R 3 and R 4 are each independently a C 1-5 alkyl group. .
제7항에 있어서, 상기 화학식 3으로 표시되는 텅스텐 전구체 화합물은 하기 화학식 4로 표시되는 것을 특징으로 하는 유기금속 전구체 화합물.
[화학식 4]
Figure pat00051

상기 화학식 4에 있어서, M은 텅스텐(W), 크롬(Cr) 또는 몰리브덴(Mo)이며, R3 및 R4는 각각 독립적으로 C3~5의 알킬기이다.
The organometallic precursor compound according to claim 7, wherein the tungsten precursor compound represented by Chemical Formula 3 is represented by the following Chemical Formula 4.
[Formula 4]
Figure pat00051

In Chemical Formula 4, M is tungsten (W), chromium (Cr), or molybdenum (Mo), and R 3 and R 4 are each independently a C 3-5 alkyl group.
하기 화학식 5로 표시되는 것을 특징으로 하는 유기금속 전구체 화합물.
[화학식 5]
Figure pat00052

상기 화학식 5에 있어서, M은 텅스텐(W), 크롬(Cr) 또는 몰리브덴(Mo)이다.
Organometallic precursor compound, characterized in that represented by the formula (5).
[Formula 5]
Figure pat00052

In Chemical Formula 5, M is tungsten (W), chromium (Cr), or molybdenum (Mo).
제1항 내지 제9항 중 어느 한 항의 유기금속 전구체 화합물은 반도체용 박막 제조용인 것을 특징으로 하는 유기금속 전구체 화합물.
The organometallic precursor compound according to any one of claims 1 to 9, wherein the organometallic precursor compound is for manufacturing thin films for semiconductors.
제1항 내지 제9항 중 어느 한 항의 유기금속 전구체 화합물을 전구체를 사용하여 제조된 유기금속 증착층 또는 금속 증착층을 포함하는 박막.A thin film comprising an organometallic deposition layer or a metal deposition layer prepared by using the organometallic precursor compound of any one of claims 1 to 9.
KR1020190094927A 2018-10-11 2019-08-05 A organic-metal precirsor compound and othin film prepared by using the same KR102231296B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020180121261 2018-10-11
KR20180121261 2018-10-11

Publications (2)

Publication Number Publication Date
KR20200041247A true KR20200041247A (en) 2020-04-21
KR102231296B1 KR102231296B1 (en) 2021-03-23

Family

ID=70456570

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190094927A KR102231296B1 (en) 2018-10-11 2019-08-05 A organic-metal precirsor compound and othin film prepared by using the same

Country Status (1)

Country Link
KR (1) KR102231296B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115584487A (en) * 2022-10-18 2023-01-10 合肥安德科铭半导体科技有限公司 Preparation method and application of bis (alkylimino) bis (alkylamino) tungsten (VI)
WO2023113308A1 (en) * 2021-12-13 2023-06-22 (주)디엔에프 Molybdenum compound, method for preparing same, and composition comprising same for thin film deposition
WO2023113309A1 (en) * 2021-12-13 2023-06-22 (주)디엔에프 Molybdenum compound, method for preparing same, and method for manufacturing thin film comprising same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220158601A (en) 2021-05-24 2022-12-01 에스케이트리켐 주식회사 Metal precursor compound for forming semiconductor film and metal-containing film prepared by using the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060125099A1 (en) 2002-07-12 2006-06-15 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
KR101581314B1 (en) * 2015-07-20 2015-12-31 (주)마이크로켐 Tungsten precursors and the method for depositing tungsten-containg films
KR20180115382A (en) * 2017-04-12 2018-10-23 (주)이지켐 Preparation of novel tungsten precursor and method of thin film using the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060125099A1 (en) 2002-07-12 2006-06-15 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
KR101581314B1 (en) * 2015-07-20 2015-12-31 (주)마이크로켐 Tungsten precursors and the method for depositing tungsten-containg films
KR20180115382A (en) * 2017-04-12 2018-10-23 (주)이지켐 Preparation of novel tungsten precursor and method of thin film using the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023113308A1 (en) * 2021-12-13 2023-06-22 (주)디엔에프 Molybdenum compound, method for preparing same, and composition comprising same for thin film deposition
WO2023113309A1 (en) * 2021-12-13 2023-06-22 (주)디엔에프 Molybdenum compound, method for preparing same, and method for manufacturing thin film comprising same
CN115584487A (en) * 2022-10-18 2023-01-10 合肥安德科铭半导体科技有限公司 Preparation method and application of bis (alkylimino) bis (alkylamino) tungsten (VI)

Also Published As

Publication number Publication date
KR102231296B1 (en) 2021-03-23

Similar Documents

Publication Publication Date Title
KR102231296B1 (en) A organic-metal precirsor compound and othin film prepared by using the same
US20190292659A1 (en) Volatile dihydropyrazinly and dihydropyrazine metal complexes
JP5746034B2 (en) Organometallic precursors of niobium and vanadium for thin film deposition
EP2609102B1 (en) Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
JP5275243B2 (en) Novel group V metal-containing precursors and their use for the deposition of metal-containing films
US20140235054A1 (en) Tungsten diazabutadiene precursors, their synthesis, and their use for tungsten containing film depositions
KR102015276B1 (en) The organometallic compounds and the thin film using thereof
JP6317370B2 (en) Cobalt-containing compounds, their synthesis and their use in the deposition of cobalt-containing films
US20140322924A1 (en) Silicon containing compounds for ald deposition of metal silicate films
JP2020517579A (en) Metal triamine compound, method for producing the same, and metal-containing thin film deposition composition containing the same
KR101546319B1 (en) Tungsten precursors and the method for depositing tungsten-containg films
KR20220014227A (en) Cobalt compounds, precursor composition including the same, and preparing method of thin film using the same
KR102070311B1 (en) The organometallic compounds and the method of manufacturing the same, and the thin film using the organometallic compounds and the method for manufacturing the thin film
KR102209476B1 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
KR102015283B1 (en) The organometallic compounds and the thin film using thereof
KR102211654B1 (en) A tungsten precursor compound and tungsten containing thin film prepared by using the same
KR20210089015A (en) Yttrium compound, forming method of thin film comprising the same, and semiconductor substrate preapared thereby
KR102163932B1 (en) The organometallic compounds and the thin film using thereof
KR102682682B1 (en) Group 5 metal compounds, precursor compositions including the same, and process for the formation of thin films using the same
KR102163933B1 (en) The organometallic compounds and the thin film using thereof
KR20230169618A (en) Thin film precursor material, method for forming thin film and semiconductor substrate prepared therefrom
KR20230048755A (en) Group 5 metal compounds, precursor compositions including the same, and process for the formation of thin films using the same
KR20240071803A (en) Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same
KR20230009325A (en) Molybdenum precursor compound, method for preparing the same, and method for depositing molybdenum-containing thin film using the same
KR20220089044A (en) Novel compounds, precursor composition including the same, and preparing method of thin film using the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant