KR101546319B1 - Tungsten precursors and the method for depositing tungsten-containg films - Google Patents

Tungsten precursors and the method for depositing tungsten-containg films Download PDF

Info

Publication number
KR101546319B1
KR101546319B1 KR1020150000235A KR20150000235A KR101546319B1 KR 101546319 B1 KR101546319 B1 KR 101546319B1 KR 1020150000235 A KR1020150000235 A KR 1020150000235A KR 20150000235 A KR20150000235 A KR 20150000235A KR 101546319 B1 KR101546319 B1 KR 101546319B1
Authority
KR
South Korea
Prior art keywords
meat
tungsten
reactor
branched
linear
Prior art date
Application number
KR1020150000235A
Other languages
Korean (ko)
Inventor
이종택
김호섭
이삼근
이준영
Original Assignee
(주)마이크로켐
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)마이크로켐 filed Critical (주)마이크로켐
Priority to KR1020150000235A priority Critical patent/KR101546319B1/en
Application granted granted Critical
Publication of KR101546319B1 publication Critical patent/KR101546319B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Abstract

The present invention provides a method for depositing a tungsten-containing film. The method for depositing a tungsten-containing film on a substrate comprises the steps of: introducing a tungsten precursor compound into a reactor in which at least one substrate is arranged inside; and depositing at least some of the tungsten precursor compound on at least one substrate and forming a tungsten-containing film. In addition, the method relates to a tungsten precursor composition capable of ensuring thermal stability and obtaining the tungsten-containing film under mild conditions at a high yield.

Description

텅스텐 함유 막을 증착시키기 위한 텅스텐 전구체 및 이를 포함하는 텅스텐 함유 필름 증착방법 {Tungsten precursors and the method for depositing tungsten-containg films} TECHNICAL FIELD The present invention relates to a tungsten precursor for depositing a tungsten-containing film and a tungsten-containing film containing the tungsten precursor,

본 발명은 유기금속으로서 반도체 장치내의 유전필름을 형성하는데 사용될 수 있는 증착공정인 화학적 증착 또는 원자층 증착에 사용하기에 적합한 유기-텅스텐 전구체 및 이를 이용한 필름 증착 제조방법에 관한 것이다.The present invention relates to an organic-tungsten precursor suitable for use in chemical or atomic layer deposition, which is a deposition process that can be used to form dielectric films in semiconductor devices as organometallics, and a method of making film deposition using the same.

화학적 증착 (CVD) 및 원자층 증착 (ALD)은 이들이 그 공정 동안 파라미터들의 미세한 조정을 통해 등각(conformal) 필름 (금속, 산화물, 질화물 등)을 달성할 수 있기 때문에, 반도체 소자를 위한 얇은 필름의 증착을 위한 기술로서 적용되어 왔다. 필름 성장은 주로 금속-유기 화합물 (전구체)의 화학적 반응에 의해 제어되기 때문에 그의 성질 및 반응 과정을 예측하여 최적 전구체를 개발하는 것이 중요하다. 따라서 특정 유형의 필름에 따른 특정 성질을 얻기 위한 효율적인 전구체 개발이 지속되어 왔다.Chemical vapor deposition (CVD) and atomic layer deposition (ALD) can be used to deposit thin films for semiconductor devices, such as metal, oxide, nitride, etc., because they can achieve conformal films Has been applied as a technique for deposition. Since film growth is mainly controlled by the chemical reaction of metal-organic compounds (precursors), it is important to develop optimal precursors by predicting their nature and reaction process. Thus, efficient precursors have been developed to obtain specific properties for certain types of films.

전구체들은 CVD 및 ALD 공정을 위한 분자로써 이를 사용하기 전에 그들의 몇 가지 고유 성질을 고려해야 한다. 첫째, 기체 상의 전구체를 함유 용기로부터 반응 챔버 내에 용이하게 수송하기 위해서 액체 형태 및/또는 충분한 증기 압력이 필요하다. 둘째, 저장 조건 및 수송 조건에서 장기간 열적 안정성이 요구되며, 기체 상 열적 안정성 또한 필름에 불순물 유입을 막기 위해 필요하다. 셋째 전구체를 샘플 기판 상에서 요구되는 필름으로 용이하게 전환시키기 위해 반응 기체, 예컨대 암모니아 또는 산소에 대한 강한 반응성이 요구된다. 전구체 설계 단계에서 전구체에 대해 고려되어야 하는 또 다른 중요한 요건은 증착 공정 동안 리간드로부터 유래하는 불순물을 필름에서 제거하는 것이다.Precursors are molecules for CVD and ALD processes and some of their unique properties must be considered before using them. First, liquid form and / or sufficient vapor pressure is required to facilitate transport of the gaseous precursor from the containing vessel into the reaction chamber. Second, long-term thermal stability is required under the storage conditions and transportation conditions, and gas phase thermal stability is also necessary to prevent impurities from entering the film. Strong reactivity to a reactive gas such as ammonia or oxygen is required in order to easily convert the third precursor into the required film on the sample substrate. Another important requirement to be considered for the precursor in the precursor design phase is to remove impurities from the film from the ligand during the deposition process.

텅스텐은 나노-소자의 제작에 유용한 다양한 응용분야에 사용된다. 트랜지스터 소스 및 드레인에 접합을 만드는 홀 ("접합 홀(contact hole)")을 채우기 위해 또는 금속의 연속적인 층들 사이에 바이아스를 채우기 위해 순수한 텅스텐의 증착을 이용할 수 있다. 이러한 방식은 "텅스텐 플러그" 공정으로 알려져 있다. WF6을 사용하면 증착된 필름의 양호한 성질 때문에 텅스텐의 이용이 다양화될 수 있다. 그러나, 불소에 의한 침투로 하부에 있는 Si를 보호하기 위해, 또는 이산화규소에 대한 텅스텐의 접착력을 확실히 하기 위해 접착/배리어 층, 예컨대 Ti/TiN을 제공할 필요가 있다.Tungsten is used in a variety of applications that are useful for making nano-devices. Deposition of pure tungsten may be used to fill the holes ("contact holes") that make the junctions to the transistor source and drain, or to fill the vias between successive layers of metal. This approach is known as the "tungsten plug" process. With WF 6 , the use of tungsten can be diversified because of the good properties of the deposited film. However, it is necessary to provide an adhesion / barrier layer, for example Ti / TiN, in order to protect the underlying Si by infiltration with fluorine, or to ensure the adhesion of tungsten to silicon dioxide.

폴리실리콘 게이트의 상부에 그 게이트 라인의 전도성을 증가시키고 이에따라 트랜지스터 속도를 증가시키기 위해 텅스텐-규화물이 사용될 수 있다. 이러한 방식은 DRAM 제작에서 널리 사용되고 있다. 이때의 게이트는 회로를 위한 워드 라인(word line)이다. WF6 및 SiH4가 사용될 수 있지만 디클로로실란 (SiCl2H2)이 규소 공급원으로서 더욱 통상적으로 사용되며, 이는 더 높은 증착 온도를 허용하여 결과적으로 증착된 필름에서 더 낮은 불소 농도를 만들어 내기 때문이다.Tungsten-silicide may be used to increase the conductivity of the gate line on top of the polysilicon gate and thus increase the transistor speed. This method is widely used in DRAM fabrication. The gate at this time is a word line for the circuit. Although WF 6 and SiH 4 can be used, dichlorosilane (SiCl 2 H 2 ) is more commonly used as a silicon source, because it allows higher deposition temperatures and results in lower fluorine concentrations in the deposited films .

텅스텐 질화물 (WNx)은 마이크로 전자 회로에서 구리 확산에 대한 양호한 배리어로 여겨진다. WNx는 얇은-필름 캐패시터 및 전계-효과 트랜지스터를 위한 전극에도 사용될 수 있다.Tungsten nitride (WNx) is considered a good barrier to copper diffusion in microelectronic circuits. WNx can also be used for electrodes for thin-film capacitors and field-effect transistors.

WF6는 액체이면서 고도로 휘발성인 W의 +6가 산화 상태 때문에, 고온에서 H2를 사용하여 CVD 모드로 순수한 텅스텐 필름의 증착에 사용할 수 있다 (문헌 [Applied Surface Science, 73, 1993, 51-57; AppliedSurface Science, 78, 2, 1994, 123-132]). 또한 WF6는 저온에서 텅스텐 규화물 필름의 제조를 위해 실란과 조합되어 CVD 모드로 사용될 수 있다 (문헌 [Y. Yamamoto et al. Proc. Int. Conf. on CVD - XIII (1996) 814;Surface Science 408 (1998) 190 - 194]). 그러나 WF6은 순수한 텅스텐 필름의 증착에 필요한 높은 열적 버짓(thermal budget)에 의해 또는 하부에 있는 규소 표면의 에칭에 원인이 되는 불소 때문에 제한된다.WF 6 can be used for the deposition of pure tungsten films in CVD mode using H 2 at high temperatures because of the +6 oxidation state of liquid and highly volatile W (Applied Surface Science, 73, 1993, 51-57 ; AppliedSurface Science, 78, 2, 1994, 123-132). WF 6 can also be used in CVD mode in combination with silane for the production of tungsten silicide films at low temperatures (Y. Yamamoto et al. Proc. Int. Conf. On CVD-XIII (1996) 814; Surface Science 408 (1998) 190-194). However, WF 6 is limited by the high thermal budget required for the deposition of pure tungsten film or due to fluorine which causes etching of the underlying silicon surface.

W(CO)6에서 W의 0가 산화 상태 때문에 CVD 모드로 순수한 텅스텐 또는 텅스텐 질화물 필름의 증착에 사용할 수 있다. 그러나 이 물질의 높은 독성 때문에 대량 제작에는 제한되었다 (문헌 [Kaplan et al J.Electrochem. Soc. 1979, 117, 693; Sun et al Thin Solid Films 2001, 397, 109]).Can be used to deposit pure tungsten or tungsten nitride films in CVD mode because of the zero oxidation state of W in W (CO) 6 . However, due to the high toxicity of this material, it has been limited to mass production (Kaplan et al J. Electrochem. Soc. 1979, 117, 693; Sun et al., Solid Films 2001, 397, 109).

W(CO)2(1,3-부타디엔)2이 CVD 모드로 사용될 수 있지만 텅스텐 카바이드 필름의 증착이 형성된다 (문헌 [Jipaet al Chemical Vapor Deposition 2010, 16 (7-9), 239]).W (CO) 2 (1,3-butadiene) 2 can be used in the CVD mode, but deposition of tungsten carbide film is formed (Jipa et al Chemical Vapor Deposition 2010, 16 (7-9), 239).

그러나, 화학식 W(RCp)2H2을 갖는 비스 시클로펜타디에닐 텅스텐 전구체에서 W의 +6가 산화 상태는 순수한 텅스텐의 증착을 위해 CVD 모드로 사용될 수 있지만 높은 증착 온도가 필요하여 탄소 오염을 초래한다 (문헌 [Zinn et al Adv Mater. 1992, 375; Spee et al Mat. Sci. Eng 1993 (B17) 108; Ogura et al J.of Vac. Sci.Tech. 2008, 26, 561]).However, the +6-oxidation state of W in the biscyclopentadienyl tungsten precursor having the formula W (RCp) 2 H 2 can be used in a CVD mode for the deposition of pure tungsten, but a high deposition temperature is required, resulting in carbon contamination (Zinn et al. Adv. Mater. 1992, 375; Spee et al., Sci. Eng 1993 (B17) 108; Ogura et al J.of Vac. Sci. Tech. 2008, 26, 561).

US 7,560,581B2에는 구리 배리어 확산 응용을 사용하거나 또는 사용하지 않는 ALD 모드로 텅스텐 질화물을 제조하기 위한 비스-알킬이미도 비스-디알킬아미노 텅스텐 전구체의 용도가 개시되어 있다.US 7,560,581 B2 discloses the use of bis-alkylimidobis-dialkylamino tungsten precursors for the production of tungsten nitride in ALD mode with or without copper barrier diffusion applications.

상기 언급한 텅스텐 전구체와 별도로 몇몇 디아자부타디엔계 분자가 개발되었다. 디아자부타디엔 (DAD) 리간드는 상이한 산화 상태 하에 사용될 수 있는 디이민 리간드이다.Several diazabutadiene molecules have been developed apart from the tungsten precursor mentioned above. Diazabutadiene (DAD) ligands are diimine ligands that can be used under different oxidation states.

류터(Reuter) 등의 미국 특허 7,754,908에는 텅스텐 함유 필름의 제작을 위한 비스-알킬이미도 디아자부타디엔 텅스텐 전구체의 용도가 개시되어 있다. 그러나, 알킬이미도기를 사용하면 생성된 필름에서 탄소 도입이 가능하다는 단점이 있다. 텅스텐 분자는 동종 리간드가 아닌 몇 가지 종류의 리간드를 함유할 수 있다. 따라서 그들의 합성은 몇 가지 단계로 이루어 지고 합성의 복잡성, 난이도 등이 있어 결국에는 비용이 상승하게 된다.U.S. Patent No. 7,754,908 to Reuter et al. Discloses the use of bis-alkylimidodiazabutadiene tungsten precursors for the production of tungsten-containing films. However, the use of an alkyl imido is disadvantageous in that carbon can be introduced into the resulting film. The tungsten molecule may contain several kinds of ligands other than homologous ligands. Thus, their synthesis takes place in several stages, resulting in complexity and difficulty of synthesis, which ultimately leads to higher costs.

윈터(Winter)의 WO2012/027357에는 전이 금속 및 하나 이상의 알킬-1,3-디아자부타디엔 리간드를 갖는 전구체 화합물과 표면을 접촉하는 단계를 포함하는 기판 상에 얇은 필름을 형성하는 방법이 개시되어 있다. WO 0212/027357 to Winter discloses a method of forming a thin film on a substrate comprising contacting the surface with a precursor compound having a transition metal and at least one alkyl-1,3-diazabutadiene ligand .

CVD 또는 ALD 모드로 텅스텐 함유 필름 (순수한 텅스텐, 텅스텐 질화물 또는 텅스텐 규화물)을 증착하는 것은 필름에서의 높은 C, O 또는 F 함량 등이 문제가 될 수 있다. 따라서, CVD 또는 ALD 증착 공정에 적절한 텅스텐 함유 전구체가 필요하다. 이들 응용을 위한 텅스텐 함유 전구체의 바람직한 성질은: i) 액체 형태 또는 낮은 용융점 고체; ii) 높은 휘발성; iii) 핸들링과 수송과정 동안 분해를 피하기 위한 열적 안정성; 및 iv) CVD/ALD 공정 동안 적절한 반응성; 및 v) 200 미만, 바람직하게는 150 미만의 온도에서 CVD 또는 ALD (열적 또는 플라즈마 모드)에서 순수한 텅스텐 필름이 증착되어야 하며, 동시에 저온에서 증착을 허용하기 위해 열적 안정성은 너무 높아서는 안된다.
The deposition of a tungsten-containing film (pure tungsten, tungsten nitride, or tungsten silicide) in the CVD or ALD mode can be problematic due to high C, O, or F content in the film. Therefore, a tungsten-containing precursor suitable for CVD or ALD deposition processes is needed. Preferred properties of tungsten-containing precursors for these applications are: i) liquid form or low melting point solids; ii) high volatility; iii) thermal stability to avoid degradation during handling and transportation; And iv) suitable reactivity during the CVD / ALD process; And v) a pure tungsten film must be deposited in CVD or ALD (thermal or plasma mode) at a temperature of less than 200, preferably less than 150, and at the same time thermal stability should not be too high to permit deposition at low temperatures.

KRKR 10201200594401020120059440 AA KRKR 10200800933931020080093393 AA KRKR 10201100447241020110044724 AA USUS 75605817560581 B2B2

본 발명은 화학기상 증착 또는 원자층 증착 공정 조건에서 텡스텐 박막을 증착시키기 위한 전구체로서 신규한 텅스텐 전구체 화합물을 제공하고 이를 이용한 텅스텐 증착 방법을 제공하는 것을 목적으로 한다.
It is an object of the present invention to provide a novel tungsten precursor compound as a precursor for depositing a tungsten thin film under chemical vapor deposition or atomic layer deposition process conditions, and to provide a tungsten deposition method using the same.

본 발명은 하기 화학식 1로 나타내는 텅스텐(W) 전구체 화합물을 제공한다. The present invention provides a tungsten (W) precursor compound represented by the following general formula (1).

[화학식 1][Chemical Formula 1]

Figure 112015000216818-pat00001
Figure 112015000216818-pat00001

상기 화학식 1에서, X, Y는 O, NR1, NR1 2, CR1로 이루어진 군에서 선택되고, Z는 -CR1-CR1C=CR1-, =CR1-CR1-, =CR1-, =CNR1 2-, -CH2-CR1 2- 로 이루어진 군에서 선택되고, R 및 R1은 각각 독립적으로 H; C1-C10 선형, 분지형, 또는 시클릭 알킬기; C1-C10 선형, 분지형, 또는 알킬실릴기, 시클릭알킬실릴기 (모노, 비스, 또는 트리스 알킬); NR2 2로 표시되는 C1-C10 선형, 분지형, 또는 시클릭알킬아미노기(여기서, R2는 H 또는 C1-C10 선형, 분지형, 또는 시클릭알킬 또는 C6-C12 아릴기로부터 독립적으로 선택된다); C1-C10 선형, 분지형, 또는 시클릭플루오로알킬기(여기서, 상기 치환체들의 일부 또는 모두는 F이다); 및 C1-C10 선형, 분지형, 시클릭 알킬기 또는 아릴기가 치환된 알콕시기로 이루어진 군으로부터 독립적으로 선택되며, n, m은 1 또는 2의 정수이다. In Formula 1, X, Y are O, NR 1, NR 1 2 , is selected from the group consisting of CR 1, Z is -CR 1 -CR 1 C = CR 1 -, = CR 1 -CR 1 -, = CR 1 -, = CNR 1 2 -, -CH 2 -CR 1 2 - is selected from the group consisting of, R and R 1 are each independently H; C 1 -C 10 linear, branched, or cyclic alkyl groups; C 1 -C 10 linear, branched, or alkylsilyl groups, cyclic alkylsilyl groups (mono, bis, or trisalkyl); C 1 -C 10 linear, branched, or cyclic alkylamino group represented by NR 2 2 , wherein R 2 is H or C 1 -C 10 linear, branched, or cyclic alkyl or C 6 -C 12 aryl Lt; / RTI > C 1 -C 10 linear, branched, or cyclic fluoroalkyl groups wherein some or all of the substituents are F; And alkoxy groups substituted with C 1 -C 10 linear, branched, cyclic alkyl or aryl groups, and n and m are integers of 1 or 2.

본 발명의 구체적인 예를 보면, 상기 화학식 1에서 R이 t-부틸기이고 m이 2로 표시되는 리간드가 배위된 전구체 화합물이 바람직하며 이러한 화합물은 하기 화학식 2로 표시된다.According to a specific example of the present invention, a precursor compound in which R is a t-butyl group and m is 2 is coordinated with a ligand in the above formula (1) is preferable, and these compounds are represented by the following formula (2).

[화학식 2](2)

Figure 112015000216818-pat00002
Figure 112015000216818-pat00002

본 발명에서 배위되는 리간드 중에 바람직한 예로는, 하기 화학식 3 내지 화학식 11로 표시되는 리간드이며 이들 리간드 중 하나로 표시되는 리간드가 배위된 전구체 화합물로 텅스텐 함유 필름을 증착할 수 있다.Preferable examples of ligands coordinated in the present invention are ligands represented by the following formulas (3) to (11), and a tungsten-containing film can be deposited with a precursor compound in which a ligand represented by one of these ligands is coordinated.

[화학식 3] [화학식 4] [화학식 5][Chemical Formula 3]

Figure 112015000216818-pat00003
Figure 112015000216818-pat00004
Figure 112015000216818-pat00005
Figure 112015000216818-pat00003
Figure 112015000216818-pat00004
Figure 112015000216818-pat00005

[화학식 6] [화학식 7] [화학식 8]   [Chemical Formula 7] < EMI ID =

Figure 112015000216818-pat00006
Figure 112015000216818-pat00007
Figure 112015000216818-pat00008
Figure 112015000216818-pat00006
Figure 112015000216818-pat00007
Figure 112015000216818-pat00008

[화학식 9] [화학식 10] [화학식 11]    [Chemical Formula 10] < EMI ID =

Figure 112015000216818-pat00009
Figure 112015000216818-pat00010
Figure 112015000216818-pat00011
Figure 112015000216818-pat00009
Figure 112015000216818-pat00010
Figure 112015000216818-pat00011

상기의 리간드가 배위된 텅스텐 화합물에서, Ra, Rb, Rc, Rd, Re은 각각 독립적으로 상기에서 정의한 R1과 동일하다. 상기 개시된 하나 이상의 텅스텐 전구체 화합물을 하나 이상의 기판이 배치된 반응기 내에 주입하고 텅스텐 전구체 화합물 분자 중 적어도 일부를 상기 하나 이상의 기판 상에 증착시켜 텅스텐 함유 필름을 형성함으로써, 텅스텐 함유 필름을 증착시키는 방법 또한 제공한다. 이러한 증착 방법은 또한 다음 증착 조건 중 하나 이상을 포함할 수 있다.In the tungsten compound in which the ligand is coordinated, R a , R b , R c , R d , and R e are each independently the same as R 1 defined above. There is also provided a method of depositing a tungsten containing film by implanting at least one of the disclosed tungsten precursor compounds into a reactor in which at least one substrate is disposed and depositing at least a portion of the molecules of the tungsten precursor compound onto the at least one substrate to form a tungsten containing film do. Such deposition methods may also include one or more of the following deposition conditions.

상기 방법은 약 20℃ 내지 약 600℃의 온도에서 수행될 수 있고, 바람직하게는 약 100℃ 내지 약 400℃의 온도에서 수행될 수 있다. 또 다른 양태로는 약 20℃ 내지 약 150℃의 온도에서 수행될 수 있다. 상기 방법에서 압력 조건은 약 0.1 Pa 내지 약 105 Pa의 압력에서 수행될 수 있고, 바람직하게는 약 2.5 Pa 내지 약 103 Pa의 압력에서 수행될 수 있다.The process may be carried out at a temperature of from about 20 ° C to about 600 ° C, and preferably at a temperature of from about 100 ° C to about 400 ° C. And in another embodiment from about 20 [deg.] C to about 150 < 0 > C. The pressure conditions in the process may be performed at a pressure of from about 0.1 Pa to about 10 5 Pa, and preferably at a pressure of from about 2.5 Pa to about 10 3 Pa.

본 발명에서 제공하는 상기 방법은 화학적 증착(CVD), 원자층 증착(ALD), 플라즈마 CVD, 플라즈마 ALD, 펄스 CVD, 저압 CVD, 대기압 이하의 CVD, 대기압 CVD, 핫-와이어 CVD, 핫-와이어 ALD 및 초임계 유체 증착으로 이루어진 군으로부터 선택되고, 바람직하게는 열적 원자층 증착(ALD)으로 한다. The methods provided in the present invention can be used for chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma CVD, plasma ALD, pulse CVD, low pressure CVD, subatmospheric CVD, atmospheric CVD, hot- And supercritical fluid deposition, preferably with thermal atomic layer deposition (ALD).

본 발명의 구체적인 예를 보면, 텅스텐 함유 필름은 텅스텐(W), 규소화텅스텐(WSi), 질화텅스텐(WN), 탄화텅스텐(WC), 탄소질소화텅스텐(WNC), 및 산화텅스텐 (WO)으로 이루어진 군으로부터 선택된다. According to a specific example of the present invention, a tungsten-containing film is made of tungsten (W), tungsten silicide (WSi), tungsten nitride (WN), tungsten carbide (WC), carbon nitride tungsten (WNC) ≪ / RTI >

또한 본 발명에서 제공하는 방법은 반응 기체를 텅스텐 화합물 분자의 도입과 동시에 또는 교대로 상기 반응기 내에 도입하는 것을 특징으로 하며, 상기 반응 기체는 환원제이다. 상기 환원제는 N2, H2; SiH4; Si2H6; Si3H8; NH3; (CH3)2SiH2; (C2H5)2SiH2; (CH3)SiH3; (C2H5)SiH3; 페닐실란; N2H4;N(SiH3)3; N(CH3)H2; N(C2H5)H2; N(CH3)2H; N(C2H5)2H; N(CH3)3; N(C2H5)3; (SiMe3)2NH; (CH3)HNNH2; (CH3)2NNH2; 페닐히드라진; B2H6; 9-보라비시클로[3,3,1]노난; 디히드로벤젠푸란; 피라졸린; 트리메틸알루미늄; 디메틸아연; 디에틸아연; 그의 라디칼 종; 및 그의 혼합물로 이루어진 군으로부터 선택된다.Further, the method provided in the present invention is characterized in that the reactive gas is introduced into the reactor simultaneously or alternatively with the introduction of the molecules of the tungsten compound, and the reactive gas is a reducing agent. The reducing agent may be selected from the group consisting of N 2 , H 2 ; SiH 4 ; Si 2 H 6 ; Si 3 H 8 ; NH 3 ; (CH 3) 2 SiH 2; (C 2 H 5 ) 2 SiH 2 ; (CH 3) SiH 3; (C 2 H 5 ) SiH 3 ; Phenylsilane; N 2 H 4 ; N (SiH 3 ) 3 ; N (CH 3) H 2; N (C 2 H 5) H 2; N (CH 3) 2 H; N (C 2 H 5) 2 H; N (CH 3) 3; N (C 2 H 5) 3 ; (SiMe 3 ) 2 NH; (CH 3) HNNH 2; (CH 3) 2 NNH 2; Phenylhydrazine; B 2 H 6 ; 9-borabicyclo [3,3,1] nonane; Dihydrobenzene furan; Pyrazoline; Trimethyl aluminum; Dimethyl zinc; Diethylzinc; Its radical species; And mixtures thereof.

또 다른 실시예에서 본 발명의 방법에 반응 기체로써 산화제를 도입할 수 있다. 상기 산화제는 O2; O3; H2O; H2O2; NO; NO2; 카르복실산; 그의 라디칼 종; 및 그의 혼합물로 이루어진 군으로부터 선택될 수 있다.In another embodiment, the oxidizing agent may be introduced as a reaction gas into the process of the present invention. The oxidizing agent is O 2; O 3 ; H 2 O; H 2 O 2 ; NO; NO 2 ; Carboxylic acid; Its radical species; And mixtures thereof.

본 발명에서 제공하는 텅스텐 전구체 화합물은 선행 기술에서 개시되어 있지 않은 신규한 화합물로서 손쉽게 합성이 가능하고, 높은 수율로 생산성을 높일 수 있으며 낮은 온도에서 텅스텐 함유 필름의 증착이 가능하다. 또한 본 발명에서 제공하는 텅스텐 함유 필름 증착방법은 상기 신규한 전구체 화합물을 이용하여 필요에 따라 얇은 텅스텐 함유 필름의 증착을 용이하게 할 수 있게 한다..
The tungsten precursor compound provided in the present invention is a novel compound which is not disclosed in the prior art, and can be easily synthesized, can increase productivity with a high yield, and can deposit a tungsten-containing film at a low temperature. The method of depositing a tungsten-containing film according to the present invention makes it possible to facilitate deposition of a thin tungsten-containing film if necessary by using the novel precursor compound.

도 1은 (t-BuN=)2W(Me-CO=CH-CMe=N-i-Pr)21H NMR 분석데이터를 나타낸다.
도 2는 (t-BuN=)2W(Me-CO=CH-CMe=N-i-Pr)213C NMR 분석데이터를 나타낸다.
도 3은 (t-BuN=)2W(Me-CO=CH-CMe=N-i-Pr)2의 열적 특성화를 나타내는 그래프이다.
도 4는 (t-BuN=)2W(Me-CO=CH-CMe=N-i-Pr)2의 증기압을 측정한 데이터를 나타낸다.
1 shows 1 H NMR analysis data of (t-BuN =) 2 W (Me-CO = CH-CMe = Ni-Pr) 2 .
2 shows 13 C NMR analysis data of (t-BuN =) 2 W (Me-CO = CH-CMe = Ni-Pr) 2 .
3 is a graph showing the thermal characterization of (t-BuN =) 2 W (Me-CO = CH-CMe = Ni-Pr) 2 .
FIG. 4 shows data obtained by measuring the vapor pressure of (t-BuN =) 2 W (Me-CO = CH-CMe = Ni-Pr) 2 .

이하, 본 발명의 실시예를 참조하여 상세하게 설명한다. 본 발명을 설명함에 있어, 관련된 공지 구성 또는 기능에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명은 생략한다.
Hereinafter, embodiments of the present invention will be described in detail. In the following description of the present invention, a detailed description of known functions and configurations incorporated herein will be omitted when it may make the subject matter of the present invention rather unclear.

본 명세서 및 첨부된 청구의 범위에서 사용된 바와 같이, 달리 언급하지 않는 한 하기 용어, 약어, 부호의 의미는 하기와 같다.As used in this specification and the appended claims, the meanings of the following terms, abbreviations, and symbols, unless otherwise stated, are as follows.

본 명세서에서 사용된, R기를 설명하는 문맥에서 사용될 때의 "독립적으로"라는 용어는 대상 R기가 동일하거나 상이한 숫자를 갖는 기타 R기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R기의 임의의 추가 종에 대해서 독립적으로 선택됨을 나타낸다. 예를 들어 두 개 또는 세 개의 Ra기들은 서로 또는 Rb 또는 Rc와 동일할 수는 있지만 동일할 필요는 없다. 또한, 달리 특별히 언급되지 않는 한 R기의 값은 상이한 화학식에서 사용될 때 서로 독립적이다.As used herein, the term "independently" when used in the context of describing an R group means that the subject R group is not only independently selected for other R groups having the same or different numbers, but also for any additional species of the same R group ≪ / RTI > For example, two or three R a groups may be the same as or different from R b or R c , but need not be the same. Also, unless otherwise stated, the values of the R groups are independent of each other when used in different formulas.

본 명세서에서 "알킬기"라 함은 탄소 및 수소 원자만을 함유하는 포화 작용기를 지칭한다. 또한, "알킬기"라 함은 다른 설명이 없는 한 1 내지 30의 탄소수의 단일결합을 가지며, 선형, 분지형 또는 고리형 알킬기를 지칭한다. 선형 알킬기의 예는 비제한적으로 메틸기, 에틸기, 프로필기, 부틸기 등을 포함하고, 분지형 알킬기의 예는 비제한적으로 t-부틸을 포함하며, 고리형 알킬기의 예는 비제한적으로 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함한다.The term "alkyl group" as used herein refers to a saturated functional group containing only carbon and hydrogen atoms. The term "alkyl group ", unless otherwise specified, has a single bond of 1 to 30 carbon atoms and refers to a linear, branched or cyclic alkyl group. Examples of linear alkyl groups include, but are not limited to, methyl, ethyl, propyl, butyl, and the like, examples of branched alkyl groups include, but are not limited to, t-butyl, and examples of cyclic alkyl groups include, , A cyclopentyl group, a cyclohexyl group, and the like.

본 발명에서 "아릴기"라 함은 방향족 분자, 예컨대 페닐, 벤질, 톨릴, o-크실롤, 등으로부터 유도된 리간드를 지칭한다.The term "aryl group" in the present invention refers to a ligand derived from an aromatic molecule such as phenyl, benzyl, tolyl, o-xylyl,

본 발명에서 "Me"라는 약어는 메틸기를 지칭하고, "Et"라는 약어는 에틸기를 지칭하고, "Pr"는 n-프로필기를 지칭하고, "i-Pr"는 이소프로필기를 지칭하고, "Bu"는 부틸(n-부틸)을 지칭하고, "t-Bu"는 tert-부틸을 지칭하고, "s-Bu"는 sec-부틸을 지칭하고; "Cp"라는 약어는 시클로펜타디에닐을 지칭하고, "THF"는 테트라히드로푸란을 의미하며, "DME"란 약어는 디메톡시 에탄을 지칭한다.The abbreviation "Me" in the present invention refers to a methyl group, the abbreviation "Et" refers to an ethyl group, "Pr" refers to an n-propyl group, "i-Pr" refers to an isopropyl group, Refers to butyl (n-butyl), "t-Bu" refers to tert-butyl, "s-Bu" refers to sec-butyl; The abbreviation "Cp" refers to cyclopentadienyl, "THF" refers to tetrahydrofuran, and the abbreviation "DME" refers to dimethoxyethane.

원소주기율표의 원소들의 표준 약어를 본 명세서에서 사용하며, 원소들은 이러한 약어로 지칭된다.(예를 들어 W는 텅스텐, N은 질소, O는 산소, C는 탄소, Si는 실리콘, F는 불소를 뜻하는 것과 같다).(For example, W is tungsten, N is nitrogen, O is oxygen, C is carbon, Si is silicon, F is fluorine, and so on.) The standard abbreviations of the elements of the Periodic Table of Elements are used herein, It means the same thing.

질소와 산소를 포함하는 알킬분자 유도체 리간드는 중심 원소인 텅스텐(W)과 리간드 사이의 결합 모드를 결정한다. Alkylmolecular derivative ligands containing nitrogen and oxygen determine the mode of bonding between the central element tungsten (W) and the ligand.

보다 명확한 이해를 위하여, 리간드들은 하기와 같이 나타내는 구조를 포함한다.For a clearer understanding, the ligands include the structure shown below.

[화학식 3] [화학식 4] [화학식 5] [Chemical Formula 3]

Figure 112015000216818-pat00012
Figure 112015000216818-pat00013
Figure 112015000216818-pat00014
Figure 112015000216818-pat00012
Figure 112015000216818-pat00013
Figure 112015000216818-pat00014

[화학식 6] [화학식 7] [화학식 8]   [Chemical Formula 7] < EMI ID =

Figure 112015000216818-pat00015
Figure 112015000216818-pat00016
Figure 112015000216818-pat00017
Figure 112015000216818-pat00015
Figure 112015000216818-pat00016
Figure 112015000216818-pat00017

[화학식 9] [화학식 10] [화학식 11]    [Chemical Formula 10] < EMI ID =

Figure 112015000216818-pat00018
Figure 112015000216818-pat00019
Figure 112015000216818-pat00020

Figure 112015000216818-pat00018
Figure 112015000216818-pat00019
Figure 112015000216818-pat00020

상기 리간드 (Ra-CO-CRb=CO-Rc, Ra-CO=CRb-CRc=N-Rd, Ra-N=CRb-CRc=CRd-N-Re, Ra-N=CRb-CRc-N-Rd, Ra-N=CRb-N-RcRd, Ra-N=C(-NRbRc)-N-RdRe, Ra-CO-N-RbRc, Ra-C=CRb-CH-Rc, RaRb-N-CH2-CO-RcRd)가 배위된 텅스텐(W) 전구체 화합물이 본 발명에서 제공된다. 여기서 Ra, Rb, Rc, Rd 및 Re의 각각은 H; C1-C10 선형, 분지형, 또는 시클릭 알킬기; C1-C10 선형, 분지형, 또는 알킬실릴기, 시클릭알킬실릴기 (모노, 비스, 또는 트리스 알킬); NR2 2로 표시되는 C1-C10 선형, 분지형, 또는 시클릭알킬아미노기(여기서, R2는 H 또는 C1-C10 선형, 분지형, 또는 시클릭알킬 또는 C6-C12 아릴기로부터 독립적으로 선택된다); C1-C10 선형, 분지형, 또는 시클릭플루오로알킬기(여기서, 상기 치환체들의 일부 또는 모두는 F이다); 및 C1-C10 선형, 분지형, 시클릭 알킬기 또는 아릴기가 치환된 알콕시기로 이루어진 군으로부터 독립적으로 선택되며, n, m은 1 또는 2의 정수이다. The ligand (R a -CO-CR b = CO-R c, R a -CO = CR b -CR c = NR d, R a -N = CR b -CR c = CR d -NR e, R a - N = CR b -CR c -NR d , R a -N═CR b -NR c R d , R a -N═C (-NR b R c ) -NR d R e , R a -CO-NR b (W) precursor compounds wherein R c , R a -C = CR b -CH-R c , R a R b -N-CH 2 -CO-R c R d are coordinated are provided herein. Wherein R a, R b, R c , R d and R e are each H; C 1 -C 10 linear, branched, or cyclic alkyl groups; C 1 -C 10 linear, branched, or alkylsilyl groups, cyclic alkylsilyl groups (mono, bis, or trisalkyl); C 1 -C 10 linear, branched, or cyclic alkylamino group represented by NR 2 2 , wherein R 2 is H or C 1 -C 10 linear, branched, or cyclic alkyl or C 6 -C 12 aryl Lt; / RTI > C 1 -C 10 linear, branched, or cyclic fluoroalkyl groups wherein some or all of the substituents are F; And alkoxy groups substituted with C 1 -C 10 linear, branched, cyclic alkyl or aryl groups, and n and m are integers of 1 or 2.

필름 증착 차원에서 W-N 결합의 가요성 때문에 텅스텐, 질화-텅스텐, 탄소질소화-텅스텐, 산화텅스텐 또는 임의의 다른 유형의 텅스텐-함유 필름을 위해 상기 리간드가 배위된 화합물을 사용할 수 있다. 이들 화합물은 그 적절한 열적 안정성 때문에 더욱 낮은 온도에서 텅스텐 함유 필름 증착 할 수 있다. 상기 화합물은 CVD 또는 ALD (열적 또는 플라즈마 모드)에서 순수한 텅스텐 필름의 증착이 200℃ 미만, 바람직하게는 150℃ 미만의 온도에서 실시할 수 있다. 상기 화합물은 목표로 하는 온도에서 제어된 두께 및 조성을 갖는 필름의 증착을 위해 사용될 수 있다.Due to the flexibility of the W-N bond in the film deposition dimension, the ligand-coordinated compound can be used for tungsten, nitride-tungsten, carbon nitrogen-tungsten, tungsten oxide, or any other type of tungsten-containing film. These compounds are capable of depositing tungsten-containing films at lower temperatures due to their appropriate thermal stability. The compound may be deposited at a temperature of less than 200 < 0 > C, preferably less than 150 < 0 > C, in a CVD or ALD (thermal or plasma mode) deposition of pure tungsten film. The compound may be used for the deposition of a film having a controlled thickness and composition at the desired temperature.

바람직한 예의 텅스텐(W) 함유 화합물은 하기와 같이 표시되는 화합물들을 포함한다: (t-Bu-N=)2W(Ra-CO-CRb=CO-Rc)2, (t-Bu-N=)2W(Ra-CO=CRb-CRc=N-Rd)2, (t-Bu-N=)2W(Ra-N=CRb-CRc=CRd-N-Re)2, (t-Bu-N=)2W(Ra-N=CRb-CRc-N-Rd)2, (t-Bu-N=)2W(Ra-N=CRb-N-RcRd)2, (t-Bu-N=)2W(Ra-N=C(-NRbRc)-N-RdRe)2, (t-Bu-N=)2W(Ra-CO-N-RbRc)2, (t-Bu-N=)2W(Ra-C=CRb-CH-Rc)2, (t-Bu-N=)2W(RaRb-N-CH2-CO-RcRd)2. 가장 바람직한 텅스텐 전구체 화합물은 (t-Bu-N=)2W(Me-CO=CH-CMe=N-i-Pr)2이다.A preferred example tungsten (W) containing compound comprises a compound represented as follows: (t-Bu-N = ) 2 W (R a -CO-CR b = CO-R c) 2, (t-Bu- N =) 2 W (R a -CO = CR b -CR c = NR d) 2, (t-Bu-N =) 2 W (R a -N = CR b -CR c = CR d -NR e) 2, (t-Bu-N =) 2 W (R a -N = CR b -CR c -NR d) 2, (t-Bu-N =) 2 W (R a -N = CR b -NR c R d) 2, (t- Bu-N =) 2 W (R a -N = c (-NR b R c) -NR d R e) 2, (t-Bu-N =) 2 W (R a -CO-NR b R c) 2 , (t-Bu-N =) 2 W (R a -C = CR b -CH-R c) 2, (t-Bu-N =) 2 W (R a R b is -N-CH2-CO-R c R d) 2. the most preferred tungsten precursor compounds are (t-Bu-N =) 2 W (Me-CO = CH-CMe = Ni-Pr) 2.

증착 공정을 사용하여 기판 상에 텅스텐 함유 층을 형성하는 방법 또한 제공한다. 상기 방법은 반도체, 광전지, LCD-TFT, 또는 평면 패널 유형 소자의 제조에 응용할 수 있다. 텅스텐 함유 필름은 상기 기재된 텅스텐 전구체 화합물 중 하나 이상을 하나 이상의 기판이 배치된 반응기 내에 도입하여 증착시켜 생성시킬 수 있다. 공지된 다양한 증착 방법을 이용하여 상기 개시된 텅스텐 화합물로 텅스텐-함유 필름을 증착시킬 수 있다. 적절한 증착 방법의 예는 종래의 화학적 증착(CVD) 또는 원자층 증착(ALD), 또는 플라즈마와 같은 기술을 사용하는 증기 코팅과 관련된 다른 방식의 증착 [플라즈마 강화 화학적 증착(PECVD) 또는 플라즈마 강화 원자층 증착(PEALD)], 조정된 도입 스킴(tuned introduction scheme) [펄스화 화학적 증착(PCVD)], 조정된 반응 압력 [저압 화학적 증착(LPCVD), 대기압 이하 CVD (SACVD), 또는 대기압 CVD(APCVD)], 핫-와이어 화학적 증착(HWCVD, catCVD), 핫-와이어 원자층 증착 (HWALD), 또는 초임계 유체 도입된 증착, 또는 그의 조합을 포함하며 이에 제한되지는 않는다. 일예로, 열적 CVD 증착은, 빠른 성장성, 등각성(conformality), 과정-순응(process-orientation) 및 측방향 필름이 필요할 때 바람직한 방법이다. 또 다른 예로, 열적 ALD 증착공정은 곤란한 표면(예를 들어, 트렌치, 홀, 바이아스) 상에 증착된 필름에서 우수한 등각성이 요구될 때 바람직하다.A method of forming a tungsten-containing layer on a substrate using a deposition process is also provided. The method can be applied to the fabrication of semiconductors, photovoltaic cells, LCD-TFTs, or flat panel type devices. The tungsten-containing film may be produced by depositing one or more of the tungsten precursor compounds described above into a reactor in which one or more substrates are disposed. A variety of known deposition methods can be used to deposit the tungsten-containing film with the tungsten compounds described above. Examples of suitable deposition methods include, but are not limited to, conventional chemical vapor deposition (CVD) or atomic layer deposition (ALD), or other methods of vapor deposition using techniques such as plasma [plasma enhanced chemical vapor deposition (PECVD) (LPCVD), atmospheric pressure CVD (SACVD), or atmospheric pressure CVD (APCVD), as well as a controlled introduction pressure (PEALD), a tuned introduction scheme (Pulsed Chemical Vapor Deposition ), Hot-wire chemical vapor deposition (HWCVD, catCVD), hot-wire atomic layer deposition (HWALD), or supercritical fluid-introduced deposition, or combinations thereof. For example, thermal CVD deposition is the preferred method when rapid growth, conformality, process-orientation, and lateral films are required. As another example, a thermal ALD deposition process is desirable when excellent conformality in films deposited on difficult surfaces (e.g., trenches, holes, vias) is desired.

개시된 텅스텐 화합물은 순수한 형태로 또는 적절한 용매 예컨대 에틸 벤젠, 크실렌, 메시틸렌, 데칸, 도데칸과 혼합용액으로 공급될 수 있다. 상기 개시된 화합물이 용매 내에 다양한 농도로 희석될 수 있다. 순수한 텅스텐 화합물 또는 혼합용액 중 하나 이상이 종래의 수단, 예컨대 튜빙 및/또는 유동 계량기에 의해 증기 형태로 반응기 내에 도입된다. 이러한 증기 형태는 종래의 증기화 단계, 예컨대 직접 증기화, 증류를 통해, 또는 버블링에 의해, 또는 서블리메이터(sublimator), 예컨대 국제공보 WO 2009/087609에 개시된 방법을 사용하여 순수한 화합물 또는 혼합용액을 증기화하여 제조될 수 있다. 순수한 화합물 또는 혼합용액을 액체 상태로 증기화기에 공급하고, 여기서 반응기 내에 도입되기 전에 증기화된다. 다른 구체적인 양태로, 순수한 화합물 또는 혼합용액을 함유하는 용기 내에 캐리어 기체를 통과시킴으로써, 또는 캐리어 기체를 상기 순수한 화합물 또는 혼합용액 내로 버블링함으로써 증기화될 수 있다. 상기 캐리어 기체는 Ar, He, N2, 및 그의 혼합물을 포함할 수 있으나 이에 제한되지는 않는다. 캐리어 기체로 버블링은 또한 순수한 화합물 또는 혼합용액 내에 존재하는 용해된 산소를 제거할 수 있다. 이어서 캐리어 기체 및 화합물을 증기로서 반응기 내에 도입한다.The disclosed tungsten compound can be supplied in pure form or in a suitable solvent such as ethylbenzene, xylene, mesitylene, decane, dodecane and mixed solution. The above-described compounds may be diluted to various concentrations in the solvent. At least one of the pure tungsten compound or mixed solution is introduced into the reactor in the form of a vapor by conventional means such as tubing and / or flow meters. This vapor form can be purified by conventional vaporization steps, such as direct vaporization, distillation, or by bubbling, or by using a sublimator, such as the method disclosed in International Publication WO 2009/087609, ≪ / RTI > can be prepared by vaporizing the solution. The pure compound or mixed solution is fed to the vaporizer in a liquid state, where it is vaporized before being introduced into the reactor. In another specific embodiment, the carrier gas may be vaporized by passing the carrier gas through a vessel containing a pure compound or mixed solution, or by bubbling the carrier gas into the pure compound or mixed solution. The carrier gas may include, but is not limited to, Ar, He, N 2 , and mixtures thereof. Bubbling with carrier gas may also remove dissolved oxygen present in the pure compound or mixed solution. The carrier gas and the compound are then introduced as vapor into the reactor.

필요에 따라, 개시된 화합물 또는 혼합용액의 용기는, 화합물/혼합용액이 액체 상으로 있게 하거나 충분한 증기압력을 갖게 하는 온도까지 가열될 수 있다. 상기 용기는 예를 들면 약 0℃ 내지 150℃의 범위의 온도에서 유지될 수 있다. 상기 용기의 온도에 따라 증기화된 화합물의 양을 조절할 수 있는 방법은 공지되어 있으며 이러한 방법으로 조절 가능하다. Optionally, the container of the disclosed compound or mixed solution may be heated to a temperature such that the compound / mixed solution is in a liquid phase or has sufficient vapor pressure. The vessel may be maintained at a temperature in the range, for example, from about 0 ° C to 150 ° C. Methods that can control the amount of vaporized compound depending on the temperature of the vessel are known and are adjustable in this manner.

반응기는 증착 방법을 수행하는 장치 내에 엔클로저(enclosure) 또는 챔버일 수 있으며, 예컨대 평행-판 유형 반응기, 냉벽 유형 반응기, 고온-벽 유형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 전구체가 반응하여 층을 형성하게 하는 적절한 조건 하에 다양한 유형의 증착 시스템일 수 있으나 이에 제한되지는 않는다.The reactor can be an enclosure or a chamber in an apparatus that performs the deposition process and can be a chamber or a chamber, for example, a parallel-plate reactor, a cold wall reactor, a high-temperature reactor, a single-wafer reactor, But are not limited to, various types of deposition systems under appropriate conditions to form a layer.

일반적으로, 반응기는 얇은 필름이 증착될 하나 이상의 기판을 함유한다. 하나 이상의 기판은 반도체, 광전지, 평면 패널, 또는 LCD-TFT 소자 제작에 사용된 임의의 적절한 기판일 수 있다. 기판은 예로서 규소 기판, 실리카 기판, 질화규소 기판, 규소 옥시 질화물 기판, 텅스텐 기판, 또는 그의 조합을 포함하며 이에 제한되지는 않는다. 부가적으로, 텅스텐 또는 귀금속 (예를 들어 백금, 팔라듐, 로듐, 또는 금)을 포함하는 기판이 사용될 수 있다. 기판은 또한 이전 제작 단계로부터 그 위에 이미 증착된 상이한 물질로 하나 이상의 층을 이룬 것일 수 있다.Generally, the reactor contains one or more substrates onto which a thin film is to be deposited. The at least one substrate may be a semiconductor, a photovoltaic cell, a flat panel, or any suitable substrate used in the fabrication of LCD-TFT devices. The substrate includes, but is not limited to, a silicon substrate, a silica substrate, a silicon nitride substrate, a silicon oxynitride substrate, a tungsten substrate, or a combination thereof. In addition, a substrate comprising tungsten or a noble metal (e.g., platinum, palladium, rhodium, or gold) may be used. The substrate may also be one or more layers of different materials already deposited thereon from a previous fabrication step.

반응기 안에 온도 및 압력은 텅스텐 전구체 화합물을 기판 상에 증착시키기 위해 적절한 조건, 즉 증기화된 화합물을 챔버 내에 도입한 후 챔버 내에 증기화된 화합물의 적어도 일부가 기판 상에 증착되어 텅스텐-함유 필름을 형성하도록 하는 조건으로 유지된다. 예를 들어, 반응기 내 압력은 증착 파라미터에 따라 요구되는 바와 같이, 약 0.1 Pa 내지 약 105 Pa, 더욱 바람직하게는 약 2.5 Pa 내지 약 103 Pa에서 유지될 수 있다. 마찬가지로, 반응기 내의 온도는 약 20℃ 내지 약 600℃, 바람직하게는 약 100℃ 내지 약 400℃ 또는 약 20℃ 내지 약 150℃로 유지될 수 있다.The temperature and pressure in the reactor can be adjusted by suitable conditions to deposit the tungsten precursor compound on the substrate, i. E., After introducing the vaporized compound into the chamber, at least a portion of the vaporized compound in the chamber is deposited on the substrate to form a tungsten- And the like. For example, the pressure in the reactor can be maintained at from about 0.1 Pa to about 10 5 Pa, more preferably from about 2.5 Pa to about 10 3 Pa, as required depending on the deposition parameters. Likewise, the temperature in the reactor can be maintained at from about 20 캜 to about 600 캜, preferably from about 100 캜 to about 400 캜, or from about 20 캜 to about 150 캜.

반응기의 온도는 기판 홀더의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 조절될 수 있다. 기판을 가열하기 위한 장치는 공지되어 있는 장치를 사용할 수 있다. 충분한 성장 속도로 요구되는 물리적 상태 및 조성을 갖는 필름을 수득하기 위해 반응기 벽을 적절한 온도까지 가열할 수 있다. 반응기 벽이 가열될 수 있는 예시적 온도 범위는 약 20℃ 내지 약 600℃의 범위이며 이에 제한되지는 않는다. 플라즈마 증착 공정이 사용되면 증착 온도는 약 20℃ 내지 약 350℃의 범위일 수 있다. 또 다른 예로, 열적 공정이 수행된다면 증착 온도는 약 200℃ 내지 약 600℃의 범위일 수 있다.The temperature of the reactor can be controlled by controlling the temperature of the substrate holder or by controlling the temperature of the reactor wall. The apparatus for heating the substrate may be a known apparatus. The reactor wall can be heated to a suitable temperature to obtain a film having the required physical state and composition at a sufficient growth rate. Exemplary temperature ranges at which the reactor wall can be heated are in the range of about 20 째 C to about 600 째 C and are not limited thereto. If a plasma deposition process is used, the deposition temperature may range from about 20 캜 to about 350 캜. As another example, if a thermal process is performed, the deposition temperature may range from about 200 ° C to about 600 ° C.

개시된 텅스텐 화합물과 더불어 반응 기체가 동시에 반응기 내에 도입될 수 있다. 반응 기체는 산화제 예컨대 O2; O3; H2O; H2O2; 산소 함유 라디칼 예컨대 O·또는 OH·; NO; NO2; 카르복실산, 예컨대 포름산, 아세트산, 프로피온산; N2O 또는 카르복실산의 라디칼 종; 및 그의 혼합물 중 하나일 수 있다. 바람직하게 산화제는 O2, O3, H2O, H2O2, 그의 산소 함유 라디칼, 예컨대 O·또는 OH· 및 그의 혼합물로 이루어진 군으로부터 선택된다.In addition to the disclosed tungsten compounds, reactive gases can be simultaneously introduced into the reactor. The reaction gas may include oxidizing agents such as O 2 ; O 3 ; H 2 O; H 2 O 2 ; An oxygen containing radical such as O. or OH; NO; NO 2 ; Carboxylic acids such as formic acid, acetic acid, propionic acid; N 2 O or a radical species of a carboxylic acid; And mixtures thereof. Preferably the oxidizing agent is selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , an oxygen-containing radical thereof such as O. or OH, and mixtures thereof.

또 다른 예로써, 반응 기체는 환원제 예를 들면 H2, NH3, SiH4, Si2H6, Si3H8, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3,(C2H5)SiH3, 페닐 실란, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH,(CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, N-함유 분자, B2H6, 9-보라비시클로[3,3,1]노난, 디히드로벤젠푸란, 피라졸린, 트리메틸알루미늄, 디메틸아연, 디에틸아연, 그의 라디칼 종, 및 그의 혼합물 중 하나일 수 있다. 바람직하게 환원제는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그의 수소 라디칼 또는 그의 혼합물이다.As another example, the reactive gas may include a reducing agent such as, for example, H 2 , NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , (CH 3 ) 2 SiH 2 , (C 2 H 5 ) 2 SiH 2 , CH 3) SiH 3, (C 2 H 5) SiH 3, phenyl silane, N 2 H 4, N ( SiH 3) 3, N (CH 3) H 2, N (C 2 H 5) H 2, N ( CH 3) 2 H, N ( C 2 H 5) 2 H, N (CH 3) 3, N (C 2 H 5) 3, (SiMe 3) 2 NH, (CH 3) HNNH 2, (CH 3) 2 NNH 2 , phenylhydrazine, N-containing molecules, B 2 H 6 , 9-borabicyclo [3,3,1] nonane, dihydrobenzene furan, pyrazoline, trimethylaluminum, dimethylzinc, diethylzinc Radical species, and mixtures thereof. Preferably, the reducing agent is H 2 , NH 3 , SiH 4 , Si 2 H 6, Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N (SiH 3 ) 3 ,

반응 기체를 라디칼 형태로 분해하기 위해 플라즈마에 의해 처리될 수 있다. N2는 또한 플라즈마로 처리될 때 환원제로서 사용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 200 W의 범위의 전력으로 발생될 수 있다. 플라즈마는 반응기 자체 내에서 발생하거나 존재할 수 있다. 또 다른 예로서, 플라즈마는 반응기로부터 떨어진 위치에 예를 들어 멀리 위치한 플라즈마 시스템으로 존재할 수 있다. It can be treated by plasma to decompose the reactive gas into radical form. N 2 can also be used as a reducing agent when treated with a plasma. For example, the plasma can be generated at a power in the range of about 50 W to about 500 W, preferably about 100 W to about 200 W. Plasma can be generated or present in the reactor itself. As another example, the plasma may be present at a location remote from the reactor, e. G., As a remotely located plasma system.

상기 챔버 내에 증착 조건은 텅스텐 화합물 및/또는 반응 기체가 기판 상에 텅스텐-함유 필름을 형성하게 할 수 있는 조건을 말하며 실시예에서 상기 반응 기체를 플라즈마로 처리하면 반응 기체와 개시된 화합물의 반응에 필요한 에너지를 제공할 수 있는 것으로 여겨지고 있다.The deposition conditions in the chamber refer to conditions under which the tungsten compound and / or the reactive gas can form a tungsten-containing film on the substrate. In the embodiment, the reaction gas is treated with a plasma to form a tungsten- It is believed to be able to provide energy.

어떠한 유형의 필름을 증착시키느냐에 따라 2차 전구체를 반응기 내에 도입시킬 수 있다. 2차 전구체는 또 다른 원소 공급원 예컨대 규소, 구리, 프라세오디뮴, 망간, 루테늄, 티타늄, 탄탈륨, 비스무쓰, 지르코늄, 하프늄, 납, 니오븀, 마그네슘, 알루미늄, 란타늄 또는 이들의 혼합물을 포함한다. 2차 전구체가 사용될 때 기판 상에 증착되어 생성된 필름은 2개 이상의 상이한 원소를 함유할 수 있다. 텅스텐 화합물 및 반응 기체를 동시에(화학적 증착), 순차적으로(원자층 증착) 또는 그의 상이한 조합으로 반응기 내에 도입할 수 있다. 반응기를 화합물의 도입과 반응 기체의 도입 사이에 불활성 기체로 퍼징할 수 있다. 대안적으로, 반응 기체 및 화합물을 함께 혼합하여 반응 기체/화합물 혼합물을 형성하고, 이어서 반응기에 혼합물 형태로 도입할 수 있다. 또 다른 예는 반응 기체를 연속적으로 도입하고 하나 이상의 텅스텐 화합물을 펄스(펄스화 화학적 증착)에 의해 도입하는 것이다.Depending on what type of film is deposited, a secondary precursor can be introduced into the reactor. The second precursor includes another elemental source such as silicon, copper, praseodymium, manganese, ruthenium, titanium, tantalum, bismuth, zirconium, hafnium, lead, niobium, magnesium, aluminum, lanthanum or mixtures thereof. When a secondary precursor is used, the resulting film deposited on the substrate may contain two or more different elements. The tungsten compound and the reactive gas may be simultaneously introduced into the reactor (chemical vapor deposition), sequentially (atomic layer deposition) or a different combination thereof. The reactor can be purged with an inert gas between the introduction of the compound and the introduction of the reaction gas. Alternatively, the reactive gas and compound may be mixed together to form a reactive gas / compound mixture, and then introduced into the reactor as a mixture. Another example is the introduction of a reactive gas continuously and the introduction of one or more tungsten compounds by pulses (pulsed chemical vapor deposition).

증기화 된 전구체 및 반응 기체를 반응기 내로 순차적으로 또는 동시에(펄스된 CVD) 펄스화 할 수 있다. 각각의 전구체 펄스는 약 0.01 초 내지 약 10 초, 바람직하게 약 0.3 초 내지 약 3 초, 보다 바람직하게는 약 0.5 초 내지 약 2 초의 범위의 기간 동안 지속될 수 있다. 또 다른 측면에서 반응 기체 또한 반응기 내에 펄스화 될 수 있다. 이러한 예에서 각각 기체의 펄스는 약 0.01 초 내지 약 10 초, 또는 약 0.3 초 내지 약 3 초, 바람직하게 약 0.5 초 내지 약 2 초의 범위내에서 지속될 수 있다. The vaporized precursor and the reactive gas may be pulsed into the reactor sequentially or simultaneously (pulsed CVD). Each precursor pulse may last for a period ranging from about 0.01 seconds to about 10 seconds, preferably from about 0.3 seconds to about 3 seconds, and more preferably from about 0.5 seconds to about 2 seconds. In another aspect, the reactive gas may also be pulsed into the reactor. In this example, the pulse of each gas may last in the range of about 0.01 seconds to about 10 seconds, or about 0.3 seconds to about 3 seconds, preferably about 0.5 seconds to about 2 seconds.

구체적인 공정 조건에 따라 다양하게 시간을 조절하여 증착할 수 있다. 일반적으로 증착은 필요한 성질의 필름을 제조하기 위해 필요에 따라 반복 실시할 수 있다. 일반적인 필름 두께는 특정 증착 공정에 따라 수 옹스트롬 내지 수백 마이크론까지 다양화할 수 있다. 증착 공정은 필요한 회수만큼 반복 수행될 수 있다.The deposition can be performed by varying the time according to the specific process conditions. In general, the deposition can be repeatedly carried out as necessary to produce a film having the required properties. Typical film thicknesses can range from a few angstroms to hundreds of microns depending on the particular deposition process. The deposition process can be repeated as many times as necessary.

한 가지 예시적인 CVD 유형 공정에서 개시된 텅스텐 화합물의 증기상 및 반응 기체와 반응기 내에 동시에 투입되며 이에 제한되지는 않는다. 상기 둘은 반응하여 텅스텐 함유 필름으로 기판 상에 증착시킨다. 이러한 예시적인 CVD 공정에서 반응 기체를 플라즈마로 처리할 때 CVD 공정은 전형적인 PECVD 공정이 된다. 반응 기체를 챔버 내에 후속적으로 도입하기 전 또는 그 후에 이어서 플라즈마로 처리할 수 있다.The vapor phase of the tungsten compound disclosed in one exemplary CVD-type process and the reactive gas are simultaneously introduced into the reactor and not limited thereto. The two are reacted and deposited on a substrate with a tungsten containing film. In this exemplary CVD process, when the reactive gas is treated with a plasma, the CVD process becomes a typical PECVD process. The reaction gas may be subsequently treated with the plasma before or after the subsequent introduction into the chamber.

한 가지 비제한적인 ALD 유형 공정에서 개시된 텅스텐 화합물의 증기 상이 반응기내에 도입되고 이때 조건은 상기 화합물을 기판과 반응시키기에 적절하다. 이어서 과잉의 화합물을 반응기에서 퍼징하여 비움으로써 반응기로부터 제거할 수 있다. 환원제 (예를 들면, H2)를 반응기 내에 도입하고 여기서 증착된 화합물과 자가 제한적 방식으로 반응시킨다. 임의의 과량의 환원제는 반응기에서 퍼징하여 비움으로써 반응기로부터 제거한다. 요구되는 필름이 텅스텐 필름이면, 이러한 2 단계 공정은 요구되는 필름 두께를 제공하거나 또는 필요한 두께를 갖는 필름이 수득될 때까지 반복될 수 있다.One vapor phase of the tungsten compound disclosed in one non-limiting ALD type process is introduced into the reactor, wherein the conditions are suitable for reacting the compound with the substrate. The excess compound can then be removed from the reactor by purging it in the reactor and emptying it. A reducing agent (e. G., H 2 ) is introduced into the reactor where it reacts with the deposited compound in a self limiting manner. Any excess reducing agent is removed from the reactor by purging and emptying in the reactor. If the required film is a tungsten film, this two step process can be repeated until a film having the required thickness is provided or a film having the required thickness is obtained.

대안적으로 요구되는 필름이 2개의 원소를 함유하면, 상기 2 단계 공정 후 2차 전구체의 증기를 반응기 내에 도입할 수 있다. 2차 전구체는 증착될 필름에서 요구되는 2차 원소를 기준으로 선택될 것이다. 2차 전구체를 반응기에 도입하고 이때 조건은 상기 2차 전구체를 증착된 텅스텐 층과 반응시키기에 적절하다. 임의의 과량의 2차 전구체는 반응기를 퍼징하여 비움으로써 반응기로부터 제거한다. 다시 한 번 환원제를 반응기 내에 도입하여 증착된 2차 전구체와 반응시킬 수 있다. 과잉의 환원제는 반응기를 퍼징하여 비움으로써 반응기로부터 제거한다. 요구되는 필름 두께가 달성되면 상기 공정을 종료할 수 있다. 그러나 더욱 두꺼운 필름이 요구되면 총 4 단계 공정을 반복할 수 있다. 텅스텐 화합물, 2차 전구체 및 반응 기체를 교호적으로 제공함으로써 요구되는 조성 및 두께의 필름이 증착될 수 있다.Alternatively, if the required film contains two elements, the vapor of the second precursor may be introduced into the reactor after the two step process. The secondary precursor will be selected based on the secondary elements required in the film to be deposited. A second precursor is introduced into the reactor wherein the conditions are suitable for reacting the second precursor with the deposited tungsten layer. Any excess secondary precursor is removed from the reactor by purging and evacuating the reactor. Once again, the reducing agent may be introduced into the reactor to react with the deposited secondary precursor. The excess reducing agent is removed from the reactor by purging and evacuating the reactor. Once the required film thickness is achieved, the process can be terminated. However, if a thicker film is required, a total of four steps can be repeated. By alternately providing a tungsten compound, a second precursor, and a reactive gas, films of the required composition and thickness can be deposited.

이러한 전형적인 ALD 공정에서 반응 기체가 플라즈마로 처리될 때 전형적인 ALD 공정은 전형적인 PEALD 공정이 된다. 반응 기체를 챔버 내에 도입하기 전 또는 그 후에 이어서 플라즈마로 처리할 수 있다.In this typical ALD process, a typical ALD process becomes a typical PEALD process when the reactive gas is treated with a plasma. The reaction gas may be subsequently treated with plasma before or after introduction into the chamber.

상기 기재된 공정으로부터 생성된 텅스텐-함유 필름은 순수한 텅스텐(W), 질화텅스텐(WN), 탄화텅스텐(WC), 탄소질소화텅스텐(WCN), 규소화텅스텐(WkSil) 또는 산화텅스텐(WnOm) 필름(여기서, k, l, m, 및 n은 1 이상 6 이하의 범위의 정수이다)을 포함할 수 있다. 적절한 텅스텐 화합물, 선택적 2차 전구체 및 반응 기체를 필요에 따라 선택하여 필요로 하는 필름 조성물이 얻어질 수 있다.The tungsten-containing film produced from the process described above can be used in the production of films of pure tungsten (W), tungsten nitride (WN), tungsten carbide (WC), tungsten carbide (WCN), silicide tungsten (WkSil) or tungsten oxide (Where k, l, m, and n are integers ranging from 1 to 6 inclusive). A suitable tungsten compound, a selective secondary precursor, and a reactive gas may be selected as needed to obtain the film composition required.

요구되는 필름 두께를 수득할 때 필름은 추가의 가공, 예컨대 열적 어닐링, 노(furnace)-어닐링, 신속 열적 어닐링, UV 또는 e-빔 경화 및/또는 플라즈마 기체 노출에 도입될 수 있다. 예를 들면, 텅스텐-함유 필름은 불활성 대기, H-함유 대기, N-함유 대기, O-함유 대기 또는 그들의 조합 하에 약 0.1 초 내지 약 7200 초의 범위의 시간 동안 약 200℃ 내지 약 1000℃ 범위의 온도까지 노출될 수 있다. 가장 바람직하게는 온도는 H-함유 대기 하에 3600초 동안 400℃이다. 생성된 필름은 더 적은 불순물을 함유하고 따라서 향상된 밀도를 가져 향상된 누출 전류를 생성할 수 있다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버 내에서 수행될 수 있다. 대안적으로 기판은 반응 챔버로부터 옮겨질 수 있고, 어닐링/플래시 어닐링 공정이 별도의 장치내에서 수행된다. 특히 열적 어닐링을 제외한 상기 후처리 방법 중 어느 하나가 텅스텐-함유 필름의 탄소 및 질소 오염을 감소시킬 수 있다. 이는 결국 필름의 저항을 향상시키는 경향이 있다.When obtaining the required film thickness, the film may be introduced into further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and / or plasma gas exposure. For example, the tungsten-containing film may be in the range of from about 200 ° C to about 1000 ° C for a time in the range of about 0.1 seconds to about 7200 seconds under an inert atmosphere, an H-containing atmosphere, an N-containing atmosphere, an O- Lt; / RTI > Most preferably, the temperature is 400 DEG C for 3600 seconds under an H-containing atmosphere. The resulting film contains less impurities and thus has an improved density and can produce improved leakage currents. The annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, and the annealing / flash annealing process is performed in a separate apparatus. Any of the above post-treatment methods, particularly thermal annealing, can reduce carbon and nitrogen contamination of the tungsten-containing film. Which in turn tends to improve the resistance of the film.

어닐링 후 개시된 공정 중 어느 하나에 의해 증착된 텅스텐 함유 필름은 약 5.5 μohm.cm 내지 약 70 μohm.cm, 바람직하게는 약 5.5 μohm.cm 내지 약 20 μohm.cm, 더욱 바람직하게는 약 5.5 μohm.cm 내지 약 12 μohm.cm의 실온에서 벌크 저항을 가질 수 있다. 실온은 계절에 따라 약 20℃ 내지 약 28℃이다.The tungsten containing film deposited by any of the processes disclosed after annealing has a thickness of from about 5.5 mu ohm.cm to about 70 mu ohm.cm, preferably from about 5.5 mu ohm.cm to about 20 mu ohm.cm, more preferably about 5.5 mu ohm.cm. cm < 2 > to about 12 mu m. cm. The room temperature is from about 20 [deg.] C to about 28 [deg.] C, depending on the season.

벌크 저항은 부피 저항으로도 알려져 있다. 벌크 저항이 일반적으로 약 50 nm 두께인 W 필름 상에서 실온에서 측정된다는 것이 알려져 있다. 벌크 저항은 전자 수송 메카니즘의 변화때문에 더 얇은 필름인 경우 증가하고, 더 높은 온도에서 증가한다.
Bulk resistors are also known as bulk resistors. It is known that the bulk resistance is measured at room temperature on a W film, generally about 50 nm thick. The bulk resistance increases with thinner films due to changes in the electron transport mechanism and increases at higher temperatures.

이하에서, 본 발명의 전구체에 포함되는 화학식 1로 표시되는 화합물의 합성예 및 이를 이용한 필름형성 제조예에 관하여 실시예를 들어 구체적으로 설명하지만, 본 발명의 하기 실시예로 한정되는 것은 아니다.
Hereinafter, synthesis examples of the compound represented by the formula (1) contained in the precursor of the present invention and production examples of the film using the same will be specifically described, but the present invention is not limited to these examples.

<실시예 1> : (t-Bu-N=)2W(Me-CO=CH-CMe=N-iPr)2의 합성 Example 1 Synthesis of (t-Bu-N =) 2 W (Me-CO = CH-CMe = N-iPr) 2

질소 하에 둥근바닥 플라스크에서, WCl6 10g (25.2mmole)과 n-부틸트리메틸실릴아민 16.5g (113.5mmole)을 무수 THF 100mL에서 밤새 반응하여 노란색 고체를 얻었다. 이 노란색 고체에 피리딘 10mL를 첨가하여 2시간 동안 교반하여 검은색 고체를 얻었다. Me-CO=CH-CMe=N-iPr 리간드 0.25g (1.8 mmole)에 n-부틸리튬 0.95mL (1.8 mmole)을 -78℃에서 첨가한 용액을, -78℃에서 상기 텅스텐 화합물 0.5g (0.9 mmole)에 첨가하여 밤새 반응시킨 후에 셀라이트에서 여과하고, 진공하에서 THF를 증발시켜 노란색 고체 0.45g (75% 수율)를 수득하였으며, 그 NMR 1H 시프트와 13C 시프트는 (t-Bu-N=)2W(Me-CO=CH-CMe=N-iPr)2의 구조에 상응하였다. In a round bottom flask under nitrogen, 10 g (25.2 mmole) of WCl 6 and 16.5 g (113.5 mmole) of n-butyltrimethylsilylamine were reacted overnight in 100 mL of anhydrous THF to obtain a yellow solid. To the yellow solid was added 10 mL of pyridine and the mixture was stirred for 2 hours to obtain a black solid. A solution of 0.95 mL (1.8 mmole) of n-butyllithium in 0.25 g (1.8 mmole) of Me-CO = CH-CMe = N-iPr ligand at -78 캜 was added dropwise at -78 캜 to the tungsten compound (75% yield) of a yellow solid. The NMR 1 H shift and 13 C shift (t-Bu-N) were carried out overnight at room temperature. = 2 W (Me-CO = CH-CMe = N-iPr) 2 .

Figure 112015000216818-pat00021
Figure 112015000216818-pat00021

Ra-CO-CRb=CO-Rc R a -CO-CR b = CO-R c Ra R a Rb R b Rc R c 1One MeMe HH MeMe 22 MeMe HH EtMeat 33 MeMe HH i-Pri-Pr 44 MeMe HH CF3 CF 3 55 EtMeat HH MeMe 66 EtMeat HH EtMeat 77 EtMeat HH i-Pri-Pr 88 EtMeat HH CF3 CF 3 99 i-Pri-Pr HH MeMe 1010 i-Pri-Pr HH EtMeat 1111 i-Pri-Pr HH i-Pri-Pr 1212 i-Pri-Pr HH CF3 CF 3 1313 CF3 CF 3 HH MeMe 1414 CF3 CF 3 HH EtMeat 1515 CF3 CF 3 HH i-Pri-Pr 1616 CF3 CF 3 HH CF3 CF 3

Figure 112015000216818-pat00022
Figure 112015000216818-pat00022

Ra-CO=CRb-CRc=N-Rd R a --CO - CR b --CR c = NR d Ra R a Rb R b Rc R c Rd R d 1717 MeMe HH MeMe MeMe 1818 MeMe HH MeMe EtMeat 1919 MeMe HH MeMe i-Pri-Pr 2020 MeMe HH EtMeat MeMe 2121 MeMe HH EtMeat EtMeat 2222 MeMe HH EtMeat iPriPr 2323 MeMe HH i-Pri-Pr MeMe 2424 MeMe HH i-Pri-Pr EtMeat 2525 MeMe HH i-Pri-Pr i-Pri-Pr 2626 MeMe HH CF3 CF 3 MeMe 2727 MeMe HH CF3 CF 3 EtMeat 2828 MeMe HH CF3 CF 3 i-Pri-Pr 2929 EtMeat HH MeMe MeMe 3030 EtMeat HH MeMe EtMeat 3131 EtMeat HH MeMe i-Pri-Pr 3232 EtMeat HH EtMeat MeMe 3333 EtMeat HH EtMeat EtMeat 3434 EtMeat HH EtMeat i-Pri-Pr 3535 EtMeat HH i-Pri-Pr MeMe 3636 EtMeat HH i-Pri-Pr EtMeat 3737 EtMeat HH i-Pri-Pr i-Pri-Pr 3838 EtMeat HH CF3 CF 3 MeMe 3939 EtMeat HH CF3 CF 3 EtMeat 4040 EtMeat HH CF3 CF 3 i-Pri-Pr 4141 i-Pri-Pr HH MeMe MeMe 4242 i-Pri-Pr HH MeMe EtMeat 4343 i-Pri-Pr HH MeMe i-Pri-Pr 4444 i-Pri-Pr HH EtMeat MeMe 4545 i-Pri-Pr HH EtMeat EtMeat 4646 i-Pri-Pr HH EtMeat iPriPr 4747 i-Pri-Pr HH i-Pri-Pr MeMe 4848 i-Pri-Pr HH i-Pri-Pr EtMeat 4949 i-Pri-Pr HH i-Pri-Pr i-Pri-Pr 5050 i-Pri-Pr HH CF3 CF 3 MeMe 5151 i-Pri-Pr HH CF3 CF 3 EtMeat 5252 i-Pri-Pr HH CF3 CF 3 i-Pri-Pr 5353 CF3 CF 3 HH MeMe MeMe 5454 CF3 CF 3 HH MeMe EtMeat 5555 CF3 CF 3 HH MeMe i-Pri-Pr 5656 CF3 CF 3 HH EtMeat MeMe 5757 CF3 CF 3 HH EtMeat EtMeat 5858 CF3 CF 3 HH EtMeat i-Pri-Pr 5959 CF3 CF 3 HH i-Pri-Pr MeMe 6060 CF3 CF 3 HH i-Pri-Pr EtMeat 6161 CF3 CF 3 HH i-Pri-Pr i-Pri-Pr 6262 CF3 CF 3 HH CF3 CF 3 MeMe 6363 CF3 CF 3 HH CF3 CF 3 EtMeat 6464 CF3 CF 3 HH CF3 CF 3 i-Pri-Pr

Figure 112015000216818-pat00023
Figure 112015000216818-pat00023

Ra-N=CRb-CRc=CRd-N-Re R a -N = CR b -CR c = CR d -NR e Ra R a Rb R b Rc R c Rd R d Re R e 6565 MeMe MeMe HH MeMe MeMe 6666 MeMe MeMe HH MeMe EtMeat 6767 MeMe MeMe HH MeMe i-Pri-Pr 6868 MeMe MeMe HH EtMeat MeMe 6969 MeMe MeMe HH EtMeat EtMeat 7070 MeMe MeMe HH EtMeat i-Pri-Pr 7171 MeMe MeMe HH i-Pri-Pr MeMe 7272 MeMe MeMe HH i-Pri-Pr EtMeat 7373 MeMe MeMe HH i-Pri-Pr i-Pri-Pr 7474 MeMe MeMe HH CF3 CF 3 MeMe 7575 MeMe MeMe HH CF3 CF 3 EtMeat 7676 MeMe MeMe HH CF3 CF 3 i-Pri-Pr 7777 MeMe EtMeat HH MeMe MeMe 7878 MeMe EtMeat HH MeMe EtMeat 7979 MeMe EtMeat HH MeMe i-Pri-Pr 8080 MeMe EtMeat HH EtMeat MeMe 8181 MeMe EtMeat HH EtMeat EtMeat 8282 MeMe EtMeat HH EtMeat i-Pri-Pr 8383 MeMe EtMeat HH i-Pri-Pr MeMe 8484 MeMe EtMeat HH i-Pri-Pr EtMeat 8585 MeMe EtMeat HH i-Pri-Pr i-Pri-Pr 8686 MeMe EtMeat HH CF3 CF 3 MeMe 8787 MeMe EtMeat HH CF3 CF 3 EtMeat 8888 MeMe EtMeat HH CF3 CF 3 i-Pri-Pr 8989 MeMe i-Pri-Pr HH MeMe MeMe 9090 MeMe i-Pri-Pr HH MeMe EtMeat 9191 MeMe i-Pri-Pr HH MeMe i-Pri-Pr 9292 MeMe i-Pri-Pr HH EtMeat MeMe 9393 MeMe i-Pri-Pr HH EtMeat EtMeat 9494 MeMe i-Pri-Pr HH EtMeat i-Pri-Pr 9595 MeMe i-Pri-Pr HH i-Pri-Pr MeMe 9696 MeMe i-Pri-Pr HH i-Pri-Pr EtMeat 9797 MeMe i-Pri-Pr HH i-Pri-Pr i-Pri-Pr 9898 MeMe i-Pri-Pr HH CF3CF3 MeMe 9999 MeMe i-Pri-Pr HH CF3CF3 EtMeat 100100 MeMe i-Pri-Pr HH CF3CF3 i-Pri-Pr 101101 MeMe CF3 CF 3 HH MeMe MeMe 102102 MeMe CF3 CF 3 HH MeMe EtMeat 103103 MeMe CF3 CF 3 HH MeMe i-Pri-Pr 104104 MeMe CF3 CF 3 HH EtMeat MeMe 105105 MeMe CF3 CF 3 HH EtMeat EtMeat 106106 MeMe CF3 CF 3 HH EtMeat i-Pri-Pr 107107 MeMe CF3 CF 3 HH i-Pri-Pr MeMe 108108 MeMe CF3 CF 3 HH i-Pri-Pr EtMeat 109109 MeMe CF3 CF 3 HH i-Pri-Pr i-Pri-Pr 110110 MeMe CF3 CF 3 HH CF3 CF 3 MeMe 111111 MeMe CF3 CF 3 HH CF3 CF 3 EtMeat 112112 MeMe CF3 CF 3 HH CF3 CF 3 i-Pri-Pr 113113 EtMeat MeMe HH MeMe MeMe 114114 EtMeat MeMe HH MeMe EtMeat 115115 EtMeat MeMe HH MeMe i-Pri-Pr 116116 EtMeat MeMe HH EtMeat MeMe 117117 EtMeat MeMe HH EtMeat EtMeat 118118 EtMeat MeMe HH EtMeat i-Pri-Pr 119119 EtMeat MeMe HH i-Pri-Pr MeMe 120120 EtMeat MeMe HH i-Pri-Pr EtMeat 121121 EtMeat MeMe HH i-Pri-Pr i-Pri-Pr 122122 EtMeat MeMe HH CF3 CF 3 MeMe 123123 EtMeat MeMe HH CF3 CF 3 EtMeat 124124 EtMeat MeMe HH CF3 CF 3 i-Pri-Pr 125125 EtMeat EtMeat HH MeMe MeMe 126126 EtMeat EtMeat HH MeMe EtMeat 127127 EtMeat EtMeat HH MeMe i-Pri-Pr 128128 EtMeat EtMeat HH EtMeat MeMe 129129 EtMeat EtMeat HH EtMeat EtMeat 130130 EtMeat EtMeat HH EtMeat i-Pri-Pr 131131 EtMeat EtMeat HH i-Pri-Pr MeMe 132132 EtMeat EtMeat HH i-Pri-Pr EtMeat 133133 EtMeat EtMeat HH i-Pri-Pr i-Pri-Pr 134134 EtMeat EtMeat HH CF3 CF 3 MeMe 135135 EtMeat EtMeat HH CF3 CF 3 EtMeat 136136 EtMeat EtMeat HH CF3 CF 3 i-Pri-Pr 137137 EtMeat i-Pri-Pr HH MeMe MeMe 138138 EtMeat i-Pri-Pr HH MeMe EtMeat 139139 EtMeat i-Pri-Pr HH MeMe i-Pri-Pr 140140 EtMeat i-Pri-Pr HH EtMeat MeMe 141141 EtMeat i-Pri-Pr HH EtMeat EtMeat 142142 EtMeat i-Pri-Pr HH EtMeat i-Pri-Pr 143143 EtMeat i-Pri-Pr HH i-Pri-Pr MeMe 144144 EtMeat i-Pri-Pr HH i-Pri-Pr EtMeat 145145 EtMeat i-Pri-Pr HH i-Pri-Pr i-Pri-Pr 146146 EtMeat i-Pri-Pr HH CF3 CF 3 MeMe 147147 EtMeat i-Pri-Pr HH CF3 CF 3 EtMeat 148148 EtMeat i-Pri-Pr HH CF3 CF 3 i-Pri-Pr 149149 EtMeat CF3 CF 3 HH MeMe MeMe 150150 EtMeat CF3 CF 3 HH MeMe EtMeat 151151 EtMeat CF3 CF 3 HH MeMe i-Pri-Pr 152152 EtMeat CF3 CF 3 HH EtMeat MeMe 153153 EtMeat CF3 CF 3 HH EtMeat EtMeat 154154 EtMeat CF3 CF 3 HH EtMeat i-Pri-Pr 155155 EtMeat CF3 CF 3 HH i-Pri-Pr MeMe 156156 EtMeat CF3 CF 3 HH i-Pri-Pr EtMeat 157157 EtMeat CF3 CF 3 HH i-Pri-Pr i-Pri-Pr 158158 EtMeat CF3 CF 3 HH CF3 CF 3 MeMe 159159 EtMeat CF3 CF 3 HH CF3 CF 3 EtMeat 160160 EtMeat CF3 CF 3 HH CF3 CF 3 i-Pri-Pr 161161 i-Pri-Pr MeMe HH MeMe MeMe 162162 i-Pri-Pr MeMe HH MeMe EtMeat 163163 i-Pri-Pr MeMe HH MeMe i-Pri-Pr 164164 i-Pri-Pr MeMe HH EtMeat MeMe 165165 i-Pri-Pr MeMe HH EtMeat EtMeat 166166 i-Pri-Pr MeMe HH EtMeat i-Pri-Pr 167167 i-Pri-Pr MeMe HH i-Pri-Pr MeMe 168168 i-Pri-Pr MeMe HH i-Pri-Pr EtMeat 169169 i-Pri-Pr MeMe HH i-Pri-Pr i-Pri-Pr 170170 i-Pri-Pr MeMe HH CF3CF3 MeMe 171171 i-Pri-Pr MeMe HH CF3CF3 EtMeat 172172 i-Pri-Pr MeMe HH CF3CF3 i-Pri-Pr 173173 i-Pri-Pr EtMeat HH MeMe MeMe 174174 i-Pri-Pr EtMeat HH MeMe EtMeat 175175 i-Pri-Pr EtMeat HH MeMe i-Pri-Pr 176176 i-Pri-Pr EtMeat HH EtMeat MeMe 177177 i-Pri-Pr EtMeat HH EtMeat EtMeat 178178 i-Pri-Pr EtMeat HH EtMeat i-Pri-Pr 179179 i-Pri-Pr EtMeat HH i-Pri-Pr MeMe 180180 i-Pri-Pr EtMeat HH i-Pri-Pr EtMeat 181181 i-Pri-Pr EtMeat HH i-Pri-Pr i-Pri-Pr 182182 i-Pri-Pr EtMeat HH CF3 CF 3 MeMe 183183 i-Pri-Pr EtMeat HH CF3 CF 3 EtMeat 184184 i-Pri-Pr EtMeat HH CF3 CF 3 i-Pri-Pr 185185 i-Pri-Pr i-Pri-Pr HH MeMe MeMe 186186 i-Pri-Pr i-Pri-Pr HH MeMe EtMeat 187187 i-Pri-Pr i-Pri-Pr HH MeMe i-Pri-Pr 188188 i-Pri-Pr i-Pri-Pr HH EtMeat MeMe 189189 i-Pri-Pr i-Pri-Pr HH EtMeat EtMeat 190190 i-Pri-Pr i-Pri-Pr HH EtMeat i-Pri-Pr 191191 i-Pri-Pr i-Pri-Pr HH i-Pri-Pr MeMe 192192 i-Pri-Pr i-Pri-Pr HH i-Pri-Pr EtMeat 193193 i-Pri-Pr i-Pri-Pr HH i-Pri-Pr i-Pri-Pr 194194 i-Pri-Pr i-Pri-Pr HH CF3 CF 3 MeMe 195195 i-Pri-Pr i-Pri-Pr HH CF3 CF 3 EtMeat 196196 i-Pri-Pr i-Pri-Pr HH CF3 CF 3 i-Pri-Pr 197197 i-Pri-Pr CF3 CF 3 HH MeMe MeMe 198198 i-Pri-Pr CF3 CF 3 HH MeMe EtMeat 199199 i-Pri-Pr CF3 CF 3 HH MeMe i-Pri-Pr 200200 i-Pri-Pr CF3 CF 3 HH EtMeat MeMe 201201 i-Pri-Pr CF3 CF 3 HH EtMeat EtMeat 202202 i-Pri-Pr CF3 CF 3 HH EtMeat i-Pri-Pr 203203 i-Pri-Pr CF3 CF 3 HH i-Pri-Pr MeMe 204204 i-Pri-Pr CF3 CF 3 HH i-Pri-Pr EtMeat 205205 i-Pri-Pr CF3 CF 3 HH i-Pri-Pr i-Pri-Pr 206206 i-Pri-Pr CF3 CF 3 HH CF3 CF 3 MeMe 207207 i-Pri-Pr CF3 CF 3 HH CF3 CF 3 EtMeat 208208 i-Pri-Pr CF3 CF 3 HH CF3 CF 3 i-Pri-Pr

Figure 112015000216818-pat00024
Figure 112015000216818-pat00024

Ra-N=CRb-CRc-N-Rd R a -N = CR b -CR c -NR d Ra R a Rb R b Rc R c Rd R d 209209 MeMe HH HH MeMe 210210 MeMe HH HH EtMeat 211211 MeMe HH HH i-Pri-Pr 212212 MeMe MeMe MeMe MeMe 213213 MeMe MeMe MeMe EtMeat 214214 MeMe MeMe MeMe i-Pri-Pr 215215 MeMe MeMe EtMeat MeMe 216216 MeMe MeMe EtMeat EtMeat 217217 MeMe MeMe EtMeat i-Pri-Pr 218218 MeMe MeMe i-Pri-Pr MeMe 219219 MeMe MeMe i-Pri-Pr EtMeat 220220 MeMe MeMe i-Pri-Pr i-Pri-Pr 221221 MeMe MeMe CF3 CF 3 MeMe 222222 MeMe MeMe CF3 CF 3 EtMeat 223223 MeMe MeMe CF3 CF 3 i-Pri-Pr 224224 MeMe EtMeat MeMe MeMe 225225 MeMe EtMeat MeMe EtMeat 226226 MeMe EtMeat MeMe i-Pri-Pr 227227 MeMe EtMeat EtMeat MeMe 228228 MeMe EtMeat EtMeat EtMeat 229229 MeMe EtMeat EtMeat i-Pri-Pr 230230 MeMe EtMeat i-Pri-Pr MeMe 231231 MeMe EtMeat i-Pri-Pr EtMeat 232232 MeMe EtMeat i-Pri-Pr i-Pri-Pr 233233 MeMe EtMeat CF3 CF 3 MeMe 234234 MeMe EtMeat CF3 CF 3 EtMeat 235235 MeMe EtMeat CF3 CF 3 i-Pri-Pr 236236 MeMe i-Pri-Pr MeMe MeMe 237237 MeMe i-Pri-Pr MeMe EtMeat 238238 MeMe i-Pri-Pr MeMe i-Pri-Pr 239239 MeMe i-Pri-Pr EtMeat MeMe 240240 MeMe i-Pri-Pr EtMeat EtMeat 241241 MeMe i-Pri-Pr EtMeat i-Pri-Pr 242242 MeMe i-Pri-Pr i-Pri-Pr MeMe 243243 MeMe i-Pri-Pr i-Pri-Pr EtMeat 244244 MeMe i-Pri-Pr i-Pri-Pr i-Pri-Pr 245245 MeMe i-Pri-Pr CF3 CF 3 MeMe 246246 MeMe i-Pri-Pr CF3 CF 3 EtMeat 247247 MeMe i-Pri-Pr CF3 CF 3 i-Pri-Pr 248248 MeMe CF3 CF 3 MeMe MeMe 249249 MeMe CF3 CF 3 MeMe EtMeat 250250 MeMe CF3 CF 3 MeMe i-Pri-Pr 260260 MeMe CF3 CF 3 EtMeat MeMe 261261 MeMe CF3 CF 3 EtMeat EtMeat 262262 MeMe CF3 CF 3 EtMeat i-Pri-Pr 263263 MeMe CF3 CF 3 i-Pri-Pr MeMe 264264 MeMe CF3 CF 3 i-Pri-Pr EtMeat 265265 MeMe CF3 CF 3 i-Pri-Pr i-Pri-Pr 266266 MeMe CF3 CF 3 CF3 CF 3 MeMe 267267 MeMe CF3 CF 3 CF3 CF 3 EtMeat 268268 MeMe CF3 CF 3 CF3 CF 3 i-Pri-Pr 269269 EtMeat HH HH MeMe 270270 EtMeat HH HH EtMeat 271271 EtMeat HH HH i-Pri-Pr 272272 EtMeat MeMe MeMe MeMe 273273 EtMeat MeMe MeMe EtMeat 274274 EtMeat MeMe MeMe i-Pri-Pr 275275 EtMeat MeMe EtMeat MeMe 276276 EtMeat MeMe EtMeat EtMeat 277277 EtMeat MeMe EtMeat i-Pri-Pr 278278 EtMeat MeMe i-Pri-Pr MeMe 279279 EtMeat MeMe i-Pri-Pr EtMeat 280280 EtMeat MeMe i-Pri-Pr i-Pri-Pr 281281 EtMeat MeMe CF3CF3 MeMe 282282 EtMeat MeMe CF3CF3 EtMeat 283283 EtMeat MeMe CF3CF3 iPriPr 284284 EtMeat EtMeat MeMe MeMe 285285 EtMeat EtMeat MeMe EtMeat 286286 EtMeat EtMeat MeMe iPriPr 287287 EtMeat EtMeat EtMeat MeMe 288288 EtMeat EtMeat EtMeat EtMeat 289289 EtMeat EtMeat EtMeat i-Pri-Pr 290290 EtMeat EtMeat i-Pri-Pr MeMe 291291 EtMeat EtMeat i-Pri-Pr EtMeat 292292 EtMeat EtMeat i-Pri-Pr i-Pri-Pr 293293 EtMeat EtMeat CF3 CF 3 MeMe 294294 EtMeat EtMeat CF3 CF 3 EtMeat 295295 EtMeat EtMeat CF3 CF 3 i-Pri-Pr 296296 EtMeat i-Pri-Pr MeMe MeMe 297297 EtMeat i-Pri-Pr MeMe EtMeat 298298 EtMeat i-Pri-Pr MeMe i-Pri-Pr 299299 EtMeat i-Pri-Pr EtMeat MeMe 300300 EtMeat i-Pri-Pr EtMeat EtMeat 301301 EtMeat i-Pri-Pr EtMeat i-Pri-Pr 302302 EtMeat i-Pri-Pr i-Pri-Pr MeMe 303303 EtMeat i-Pri-Pr i-Pri-Pr EtMeat 304304 EtMeat i-Pri-Pr i-Pri-Pr i-Pri-Pr 305305 EtMeat i-Pri-Pr CF3 CF 3 MeMe 306306 EtMeat i-Pri-Pr CF3 CF 3 EtMeat 307307 EtMeat i-Pri-Pr CF3 CF 3 i-Pri-Pr 308308 EtMeat CF3 CF 3 MeMe MeMe 309309 EtMeat CF3 CF 3 MeMe EtMeat 310310 EtMeat CF3 CF 3 MeMe i-Pri-Pr 311311 EtMeat CF3 CF 3 EtMeat MeMe 312312 EtMeat CF3 CF 3 EtMeat EtMeat 313313 EtMeat CF3 CF 3 EtMeat i-Pri-Pr 314314 EtMeat CF3 CF 3 i-Pri-Pr MeMe 315315 EtMeat CF3 CF 3 i-Pri-Pr EtMeat 316316 EtMeat CF3 CF 3 i-Pri-Pr i-Pri-Pr 317317 EtMeat CF3 CF 3 CF3 CF 3 MeMe 318318 EtMeat CF3 CF 3 CF3 CF 3 EtMeat 319319 EtMeat CF3 CF 3 CF3 CF 3 i-Pri-Pr 320320 i-Pri-Pr HH HH MeMe 321321 i-Pri-Pr HH HH EtMeat 322322 i-Pri-Pr HH HH i-Pri-Pr 323323 i-Pri-Pr MeMe MeMe MeMe 324324 i-Pri-Pr MeMe MeMe EtMeat 325325 i-Pri-Pr MeMe MeMe i-Pri-Pr 326326 i-Pri-Pr MeMe EtMeat MeMe 327327 i-Pri-Pr MeMe EtMeat EtMeat 328328 i-Pri-Pr MeMe EtMeat i-Pri-Pr 329329 i-Pri-Pr MeMe i-Pri-Pr MeMe 330330 i-Pri-Pr MeMe i-Pri-Pr EtMeat 331331 i-Pri-Pr MeMe i-Pri-Pr i-Pri-Pr 332332 i-Pri-Pr MeMe CF3 CF 3 MeMe 333333 i-Pri-Pr MeMe CF3 CF 3 EtMeat 334334 i-Pri-Pr MeMe CF3 CF 3 i-Pri-Pr 335335 i-Pri-Pr EtMeat MeMe MeMe 336336 i-Pri-Pr EtMeat MeMe EtMeat 337337 i-Pri-Pr EtMeat MeMe i-Pri-Pr 338338 i-Pri-Pr EtMeat EtMeat MeMe 339339 i-Pri-Pr EtMeat EtMeat EtMeat 340340 i-Pri-Pr EtMeat EtMeat i-Pri-Pr 341341 i-Pri-Pr EtMeat i-Pri-Pr MeMe 342342 i-Pri-Pr EtMeat i-Pri-Pr EtMeat 343343 i-Pri-Pr EtMeat i-Pri-Pr i-Pri-Pr 344344 i-Pri-Pr EtMeat CF3 CF 3 MeMe 345345 i-Pri-Pr EtMeat CF3 CF 3 EtMeat 346346 i-Pri-Pr EtMeat CF3 CF 3 i-Pri-Pr 347347 i-Pri-Pr i-Pri-Pr MeMe MeMe 348348 i-Pri-Pr i-Pri-Pr MeMe EtMeat 349349 i-Pri-Pr i-Pri-Pr MeMe i-Pri-Pr 350350 i-Pri-Pr i-Pri-Pr EtMeat MeMe 351351 i-Pri-Pr i-Pri-Pr EtMeat EtMeat 352352 i-Pri-Pr i-Pri-Pr EtMeat i-Pri-Pr 353353 i-Pri-Pr i-Pri-Pr i-Pri-Pr MeMe 354354 i-Pri-Pr i-Pri-Pr i-Pri-Pr EtMeat 355355 i-Pri-Pr i-Pri-Pr i-Pri-Pr i-Pri-Pr 356356 i-Pri-Pr i-Pri-Pr CF3 CF 3 MeMe 357357 i-Pri-Pr i-Pri-Pr CF3 CF 3 EtMeat 358358 i-Pri-Pr i-Pri-Pr CF3 CF 3 i-Pri-Pr 359359 i-Pri-Pr CF3 CF 3 MeMe MeMe 360360 i-Pri-Pr CF3 CF 3 MeMe EtMeat 361361 i-Pri-Pr CF3 CF 3 MeMe i-Pri-Pr 362362 i-Pri-Pr CF3 CF 3 EtMeat MeMe 363363 i-Pri-Pr CF3 CF 3 EtMeat EtMeat 364364 i-Pri-Pr CF3 CF 3 EtMeat i-Pri-Pr 365365 i-Pri-Pr CF3 CF 3 i-Pri-Pr MeMe 366366 i-Pri-Pr CF3 CF 3 i-Pri-Pr EtMeat 367367 i-Pri-Pr CF3 CF 3 i-Pri-Pr i-Pri-Pr 368368 i-Pri-Pr CF3 CF 3 CF3 CF 3 MeMe 369369 i-Pri-Pr CF3 CF 3 CF3 CF 3 EtMeat 370370 i-Pri-Pr CF3 CF 3 CF3 CF 3 i-Pri-Pr

Figure 112015000216818-pat00025
Figure 112015000216818-pat00025

Ra-N=CRb-N-RcRd R a -N = CR b -NR c R d Ra R a Rb R b Rc R c Rd R d 371371 MeMe HH HH MeMe 372372 MeMe HH HH EtMeat 373373 MeMe HH HH i-Pri-Pr 374374 MeMe HH MeMe MeMe 375375 MeMe HH MeMe EtMeat 376376 MeMe HH MeMe i-Pri-Pr 377377 MeMe HH EtMeat EtMeat 378378 MeMe HH EtMeat i-Pri-Pr 379379 MeMe HH i-Pri-Pr i-Pri-Pr 380380 MeMe MeMe MeMe MeMe 381381 MeMe MeMe MeMe EtMeat 382382 MeMe MeMe MeMe i-Pri-Pr 383383 MeMe MeMe EtMeat EtMeat 384384 MeMe MeMe EtMeat i-Pri-Pr 385385 MeMe MeMe i-Pri-Pr i-Pri-Pr 386386 MeMe MeMe CF3 CF 3 MeMe 387387 MeMe MeMe CF3 CF 3 EtMeat 388388 MeMe MeMe CF3 CF 3 i-Pri-Pr 389389 MeMe EtMeat MeMe MeMe 390390 MeMe EtMeat MeMe EtMeat 391391 MeMe EtMeat MeMe i-Pri-Pr 392392 MeMe EtMeat EtMeat EtMeat 393393 MeMe EtMeat EtMeat i-Pri-Pr 394394 MeMe EtMeat i-Pri-Pr i-Pri-Pr 395395 MeMe EtMeat CF3 CF 3 MeMe 396396 MeMe EtMeat CF3 CF 3 EtMeat 397397 MeMe EtMeat CF3 CF 3 i-Pri-Pr 398398 MeMe i-Pri-Pr MeMe MeMe 399399 MeMe i-Pri-Pr MeMe EtMeat 400400 MeMe i-Pri-Pr MeMe i-Pri-Pr 401401 MeMe i-Pri-Pr EtMeat EtMeat 402402 MeMe i-Pri-Pr EtMeat i-Pri-Pr 403403 MeMe i-Pri-Pr i-Pri-Pr i-Pri-Pr 404404 MeMe i-Pri-Pr CF3 CF 3 MeMe 405405 MeMe i-Pri-Pr CF3 CF 3 EtMeat 406406 MeMe i-Pri-Pr CF3 CF 3 i-Pri-Pr 407407 MeMe CF3 CF 3 MeMe MeMe 408408 MeMe CF3 CF 3 MeMe EtMeat 409409 MeMe CF3 CF 3 MeMe i-Pri-Pr 410410 MeMe CF3 CF 3 EtMeat EtMeat 411411 MeMe CF3 CF 3 EtMeat i-Pri-Pr 412412 MeMe CF3 CF 3 i-Pri-Pr i-Pri-Pr 413413 MeMe CF3 CF 3 CF3 CF 3 MeMe 414414 MeMe CF3 CF 3 CF3 CF 3 EtMeat 415415 MeMe CF3 CF 3 CF3 CF 3 i-Pri-Pr 416416 EtMeat HH HH MeMe 417417 EtMeat HH HH EtMeat 418418 EtMeat HH HH i-Pri-Pr 419419 EtMeat HH MeMe MeMe 420420 EtMeat HH MeMe EtMeat 421421 EtMeat HH MeMe i-Pri-Pr 422422 EtMeat HH EtMeat EtMeat 423423 EtMeat HH EtMeat i-Pri-Pr 424424 EtMeat HH i-Pri-Pr i-Pri-Pr 425425 EtMeat MeMe MeMe MeMe 426426 EtMeat MeMe MeMe EtMeat 427427 EtMeat MeMe MeMe i-Pri-Pr 428428 EtMeat MeMe EtMeat EtMeat 429429 EtMeat MeMe EtMeat i-Pri-Pr 430430 EtMeat MeMe i-Pri-Pr i-Pri-Pr 431431 EtMeat MeMe CF3 CF 3 MeMe 432432 EtMeat MeMe CF3 CF 3 EtMeat 433433 EtMeat MeMe CF3 CF 3 i-Pri-Pr 434434 EtMeat EtMeat MeMe MeMe 435435 EtMeat EtMeat MeMe EtMeat 436436 EtMeat EtMeat MeMe i-Pri-Pr 437437 EtMeat EtMeat EtMeat EtMeat 438438 EtMeat EtMeat EtMeat i-Pri-Pr 439439 EtMeat EtMeat i-Pri-Pr i-Pri-Pr 440440 EtMeat EtMeat CF3 CF 3 MeMe 441441 EtMeat EtMeat CF3 CF 3 EtMeat 442442 EtMeat EtMeat CF3 CF 3 iPriPr 443443 EtMeat i-Pri-Pr MeMe MeMe 444444 EtMeat i-Pri-Pr MeMe EtMeat 445445 EtMeat i-Pri-Pr MeMe i-Pri-Pr 446446 EtMeat i-Pri-Pr EtMeat EtMeat 447447 EtMeat i-Pri-Pr EtMeat i-Pri-Pr 448448 EtMeat i-Pri-Pr i-Pri-Pr i-Pri-Pr 449449 EtMeat i-Pri-Pr CF3 CF 3 MeMe 450450 EtMeat i-Pri-Pr CF3 CF 3 EtMeat 451451 EtMeat i-Pri-Pr CF3 CF 3 i-Pri-Pr 452452 EtMeat CF3 CF 3 MeMe MeMe 453453 EtMeat CF3 CF 3 MeMe EtMeat 454454 EtMeat CF3 CF 3 MeMe i-Pri-Pr 455455 EtMeat CF3 CF 3 EtMeat EtMeat 456456 EtMeat CF3 CF 3 EtMeat i-Pri-Pr 457457 EtMeat CF3 CF 3 i-Pri-Pr i-Pri-Pr 458458 EtMeat CF3 CF 3 CF3 CF 3 MeMe 459459 EtMeat CF3 CF 3 CF3 CF 3 EtMeat 460460 EtMeat CF3 CF 3 CF3 CF 3 i-Pri-Pr 461461 i-Pri-Pr HH HH MeMe 462462 i-Pri-Pr HH HH EtMeat 463463 i-Pri-Pr HH HH i-Pri-Pr 464464 i-Pri-Pr HH MeMe MeMe 465465 i-Pri-Pr HH MeMe EtMeat 466466 i-Pri-Pr HH MeMe i-Pri-Pr 467467 i-Pri-Pr HH EtMeat EtMeat 468468 i-Pri-Pr HH EtMeat i-Pri-Pr 469469 i-Pri-Pr HH i-Pri-Pr i-Pri-Pr 470470 i-Pri-Pr MeMe MeMe MeMe 471471 i-Pri-Pr MeMe MeMe EtMeat 472472 i-Pri-Pr MeMe MeMe i-Pri-Pr 473473 i-Pri-Pr MeMe EtMeat EtMeat 474474 i-Pri-Pr MeMe EtMeat i-Pri-Pr 475475 i-Pri-Pr MeMe i-Pri-Pr i-Pri-Pr 476476 i-Pri-Pr MeMe CF3 CF 3 MeMe 477477 i-Pri-Pr MeMe CF3 CF 3 EtMeat 478478 i-Pri-Pr MeMe CF3 CF 3 i-Pri-Pr 479479 i-Pri-Pr EtMeat MeMe MeMe 480480 i-Pri-Pr EtMeat MeMe EtMeat 481481 i-Pri-Pr EtMeat MeMe i-Pri-Pr 482482 i-Pri-Pr EtMeat EtMeat EtMeat 483483 i-Pri-Pr EtMeat EtMeat i-Pri-Pr 484484 i-Pri-Pr EtMeat i-Pri-Pr i-Pri-Pr 485485 i-Pri-Pr EtMeat CF3 CF 3 MeMe 486486 i-Pri-Pr EtMeat CF3 CF 3 EtMeat 487487 i-Pri-Pr EtMeat CF3 CF 3 i-Pri-Pr 488488 i-Pri-Pr i-Pri-Pr MeMe MeMe 489489 i-Pri-Pr i-Pri-Pr MeMe EtMeat 490490 i-Pri-Pr i-Pri-Pr MeMe i-Pri-Pr 491491 i-Pri-Pr i-Pri-Pr EtMeat EtMeat 492492 i-Pri-Pr i-Pri-Pr EtMeat i-Pri-Pr 493493 i-Pri-Pr i-Pri-Pr i-Pri-Pr i-Pri-Pr 494494 i-Pri-Pr i-Pri-Pr CF3 CF 3 MeMe 495495 i-Pri-Pr i-Pri-Pr CF3 CF 3 EtMeat 496496 i-Pri-Pr i-Pri-Pr CF3 CF 3 i-Pri-Pr 497497 i-Pri-Pr CF3 CF 3 MeMe MeMe 498498 i-Pri-Pr CF3 CF 3 MeMe EtMeat 499499 i-Pri-Pr CF3 CF 3 MeMe i-Pri-Pr 500500 i-Pri-Pr CF3 CF 3 EtMeat EtMeat 501501 i-Pri-Pr CF3 CF 3 EtMeat i-Pri-Pr 502502 i-Pri-Pr CF3 CF 3 i-Pri-Pr i-Pri-Pr 503503 i-Pri-Pr CF3 CF 3 CF3 CF 3 MeMe 504504 i-Pri-Pr CF3 CF 3 CF3 CF 3 EtMeat 505505 i-Pri-Pr CF3 CF 3 CF3 CF 3 i-Pri-Pr

Figure 112015000216818-pat00026
Figure 112015000216818-pat00026

Ra-N=C(-NRbRc)-N-RdRe R a -N = C (-NR b R c ) -NR d R e Ra R a Rb R b Rc R c Rd R d Re R e 506506 HH HH HH HH HH 507507 HH HH HH HH MeMe 508508 HH HH HH HH EtMeat 509509 HH HH HH HH i-Pri-Pr 510510 HH HH HH MeMe MeMe 511511 HH HH HH MeMe EtMeat 512512 HH HH HH MeMe i-Pri-Pr 513513 HH HH HH EtMeat EtMeat 514514 HH HH HH EtMeat i-Pri-Pr 515515 HH HH HH i-Pri-Pr i-Pri-Pr 516516 HH HH MeMe HH MeMe 517517 HH HH MeMe HH EtMeat 518518 HH HH MeMe HH i-Pri-Pr 519519 HH HH MeMe MeMe MeMe 520520 HH HH MeMe MeMe EtMeat 521521 HH HH MeMe MeMe i-Pri-Pr 522522 HH HH MeMe EtMeat EtMeat 523523 HH HH MeMe EtMeat i-Pri-Pr 524524 HH HH MeMe i-Pri-Pr i-Pri-Pr 525525 HH HH EtMeat HH MeMe 526526 HH HH EtMeat HH EtMeat 527527 HH HH EtMeat HH i-Pri-Pr 528528 HH HH EtMeat MeMe MeMe 529529 HH HH EtMeat MeMe EtMeat 530530 HH HH EtMeat MeMe i-Pri-Pr 531531 HH HH EtMeat EtMeat EtMeat 532532 HH HH EtMeat EtMeat i-Pri-Pr 533533 HH HH EtMeat i-Pri-Pr i-Pri-Pr 534534 HH HH i-Pri-Pr HH MeMe 535535 HH HH i-Pri-Pr HH EtMeat 536536 HH HH i-Pri-Pr HH i-Pri-Pr 537537 HH HH i-Pri-Pr MeMe MeMe 538538 HH HH i-Pri-Pr MeMe EtMeat 539539 HH HH i-Pri-Pr MeMe i-Pri-Pr 540540 HH HH i-Pri-Pr EtMeat EtMeat 541541 HH HH i-Pri-Pr EtMeat i-Pri-Pr 542542 HH HH i-Pri-Pr i-Pri-Pr i-Pri-Pr 543543 HH MeMe MeMe HH MeMe 544544 HH MeMe MeMe HH EtMeat 545545 HH MeMe MeMe HH i-Pri-Pr 546546 HH MeMe MeMe MeMe MeMe 547547 HH MeMe MeMe MeMe EtMeat 548548 HH MeMe MeMe MeMe i-Pri-Pr 549549 HH MeMe MeMe EtMeat EtMeat 550550 HH MeMe MeMe EtMeat i-Pri-Pr 551551 HH MeMe MeMe i-Pri-Pr i-Pri-Pr 552552 HH MeMe EtMeat HH MeMe 553553 HH MeMe EtMeat HH EtMeat 554554 HH MeMe EtMeat HH i-Pri-Pr 555555 HH MeMe EtMeat MeMe MeMe 556556 HH MeMe EtMeat MeMe EtMeat 557557 HH MeMe EtMeat MeMe i-Pri-Pr 558558 HH MeMe EtMeat EtMeat EtMeat 559559 HH MeMe EtMeat EtMeat i-Pri-Pr 560560 HH MeMe EtMeat i-Pri-Pr i-Pri-Pr 561561 HH MeMe i-Pri-Pr HH MeMe 562562 HH MeMe i-Pri-Pr HH EtMeat 563563 HH MeMe i-Pri-Pr HH i-Pri-Pr 564564 HH MeMe i-Pri-Pr MeMe MeMe 565565 HH MeMe i-Pri-Pr MeMe EtMeat 566566 HH MeMe i-Pri-Pr MeMe i-Pri-Pr 567567 HH MeMe i-Pri-Pr EtMeat EtMeat 568568 HH MeMe i-Pri-Pr EtMeat i-Pri-Pr 569569 HH MeMe i-Pri-Pr i-Pri-Pr i-Pri-Pr 570570 HH EtMeat EtMeat HH MeMe 571571 HH EtMeat EtMeat HH EtMeat 572572 HH EtMeat EtMeat HH i-Pri-Pr 573573 HH EtMeat EtMeat MeMe MeMe 574574 HH EtMeat EtMeat MeMe EtMeat 575575 HH EtMeat EtMeat MeMe i-Pri-Pr 576576 HH EtMeat EtMeat EtMeat EtMeat 577577 HH EtMeat EtMeat EtMeat i-Pri-Pr 578578 HH EtMeat EtMeat i-Pri-Pr i-Pri-Pr 579579 HH EtMeat i-Pri-Pr HH MeMe 580580 HH EtMeat i-Pri-Pr HH EtMeat 581581 HH EtMeat i-Pri-Pr HH i-Pri-Pr 582582 HH EtMeat i-Pri-Pr MeMe MeMe 583583 HH EtMeat i-Pri-Pr MeMe EtMeat 584584 HH EtMeat i-Pri-Pr MeMe i-Pri-Pr 585585 HH EtMeat i-Pri-Pr EtMeat EtMeat 586586 HH EtMeat i-Pri-Pr EtMeat i-Pri-Pr 587587 HH EtMeat i-Pri-Pr i-Pri-Pr i-Pri-Pr 588588 HH i-Pri-Pr EtMeat HH MeMe 589589 HH i-Pri-Pr i-Pri-Pr HH MeMe 590590 HH i-Pri-Pr i-Pri-Pr HH EtMeat 591591 HH i-Pri-Pr i-Pri-Pr HH i-Pri-Pr 592592 HH i-Pri-Pr i-Pri-Pr MeMe MeMe 593593 HH i-Pri-Pr i-Pri-Pr MeMe EtMeat 594594 HH i-Pri-Pr i-Pri-Pr MeMe i-Pri-Pr 595595 HH i-Pri-Pr i-Pri-Pr EtMeat EtMeat 596596 HH i-Pri-Pr i-Pri-Pr EtMeat i-Pri-Pr 597597 HH i-Pri-Pr i-Pri-Pr i-Pri-Pr i-Pri-Pr 598598 MeMe HH HH HH HH 599599 MeMe HH HH HH MeMe 600600 MeMe HH HH HH EtMeat 601601 MeMe HH HH HH i-Pri-Pr 602602 MeMe HH HH MeMe MeMe 603603 MeMe HH HH MeMe i-Pri-Pr 604604 MeMe HH HH MeMe i-Pri-Pr 605605 MeMe HH HH EtMeat EtMeat 606606 MeMe HH HH EtMeat i-Pri-Pr 607607 MeMe HH HH i-Pri-Pr i-Pri-Pr 608608 MeMe HH MeMe HH MeMe 609609 MeMe HH MeMe HH EtMeat 610610 MeMe HH MeMe HH i-Pri-Pr 611611 MeMe HH MeMe MeMe MeMe 612612 MeMe HH MeMe MeMe EtMeat 613613 MeMe HH MeMe MeMe i-Pri-Pr 614614 MeMe HH MeMe EtMeat EtMeat 615615 MeMe HH MeMe EtMeat i-Pri-Pr 616616 MeMe HH MeMe i-Pri-Pr i-Pri-Pr 617617 MeMe HH EtMeat HH MeMe 618618 MeMe HH EtMeat HH EtMeat 619619 MeMe HH EtMeat HH i-Pri-Pr 620620 MeMe HH EtMeat MeMe MeMe 621621 MeMe HH EtMeat MeMe EtMeat 622622 MeMe HH EtMeat MeMe i-Pri-Pr 623623 MeMe HH EtMeat EtMeat EtMeat 624624 MeMe HH EtMeat EtMeat i-Pri-Pr 625625 MeMe HH EtMeat i-Pri-Pr i-Pri-Pr 626626 MeMe HH i-Pri-Pr HH MeMe 527527 MeMe HH i-Pri-Pr HH EtMeat 628628 MeMe HH i-Pri-Pr HH i-Pri-Pr 629629 MeMe HH i-Pri-Pr MeMe MeMe 630630 MeMe HH i-Pri-Pr MeMe EtMeat 631631 MeMe HH i-Pri-Pr MeMe i-Pri-Pr 632632 MeMe HH i-Pri-Pr EtMeat EtMeat 633633 MeMe HH i-Pri-Pr EtMeat i-Pri-Pr 634634 MeMe HH i-Pri-Pr i-Pri-Pr i-Pri-Pr 635635 MeMe MeMe MeMe HH MeMe 636636 MeMe MeMe MeMe HH EtMeat 637637 MeMe MeMe MeMe HH i-Pri-Pr 638638 MeMe MeMe MeMe MeMe MeMe 639639 MeMe MeMe MeMe MeMe EtMeat 640640 MeMe MeMe MeMe MeMe i-Pri-Pr 641641 MeMe MeMe MeMe EtMeat EtMeat 642642 MeMe MeMe MeMe EtMeat i-Pri-Pr 643643 MeMe MeMe MeMe i-Pri-Pr i-Pri-Pr 644644 MeMe MeMe EtMeat HH MeMe 645645 MeMe MeMe EtMeat HH EtMeat 646646 MeMe MeMe EtMeat HH i-Pri-Pr 647647 MeMe MeMe EtMeat MeMe MeMe 648648 MeMe MeMe EtMeat MeMe EtMeat 649649 MeMe MeMe EtMeat MeMe iPriPr 650650 MeMe MeMe EtMeat EtMeat EtMeat 651651 MeMe MeMe EtMeat EtMeat i-Pri-Pr 652652 MeMe MeMe EtMeat i-Pri-Pr i-Pri-Pr 653653 MeMe MeMe i-Pri-Pr HH MeMe 654654 MeMe MeMe i-Pri-Pr HH EtMeat 655655 MeMe MeMe i-Pri-Pr HH i-Pri-Pr 656656 MeMe MeMe i-Pri-Pr MeMe MeMe 657657 MeMe MeMe i-Pri-Pr MeMe EtMeat 658658 MeMe MeMe i-Pri-Pr MeMe i-Pri-Pr 659659 MeMe MeMe i-Pri-Pr EtMeat EtMeat 660660 MeMe MeMe i-Pri-Pr EtMeat i-Pri-Pr 661661 MeMe MeMe i-Pri-Pr i-Pri-Pr i-Pri-Pr 662662 MeMe EtMeat EtMeat HH MeMe 663663 MeMe EtMeat EtMeat HH EtMeat 664664 MeMe EtMeat EtMeat HH iPriPr 665665 MeMe EtMeat EtMeat MeMe MeMe 666666 MeMe EtMeat EtMeat MeMe EtMeat 667667 MeMe EtMeat EtMeat MeMe iPriPr 668668 MeMe EtMeat EtMeat EtMeat EtMeat 669669 MeMe EtMeat EtMeat EtMeat i-Pri-Pr 670670 MeMe EtMeat EtMeat i-Pri-Pr i-Pri-Pr 671671 MeMe EtMeat i-Pri-Pr HH MeMe 672672 MeMe EtMeat i-Pri-Pr HH EtMeat 673673 MeMe EtMeat i-Pri-Pr HH i-Pri-Pr 674674 MeMe EtMeat i-Pri-Pr MeMe MeMe 675675 MeMe EtMeat i-Pri-Pr MeMe EtMeat 676676 MeMe EtMeat i-Pri-Pr MeMe i-Pri-Pr 678678 MeMe EtMeat i-Pri-Pr EtMeat EtMeat 679679 MeMe EtMeat i-Pri-Pr EtMeat i-Pri-Pr 680680 MeMe EtMeat i-Pri-Pr i-Pri-Pr i-Pri-Pr 681681 MeMe i-Pri-Pr EtMeat HH MeMe 682682 MeMe i-Pri-Pr i-Pri-Pr HH MeMe 683683 MeMe i-Pri-Pr i-Pri-Pr HH EtMeat 684684 MeMe i-Pri-Pr i-Pri-Pr HH i-Pri-Pr 685685 MeMe i-Pri-Pr i-Pri-Pr MeMe MeMe 686686 MeMe i-Pri-Pr i-Pri-Pr MeMe EtMeat 687687 MeMe i-Pri-Pr i-Pri-Pr MeMe i-Pri-Pr 688688 MeMe i-Pri-Pr i-Pri-Pr EtMeat EtMeat 689689 MeMe i-Pri-Pr i-Pri-Pr EtMeat i-Pri-Pr 690690 MeMe i-Pri-Pr i-Pri-Pr i-Pri-Pr i-Pri-Pr 691691 EtMeat HH HH HH HH 692692 EtMeat HH HH HH MeMe 693693 EtMeat HH HH HH EtMeat 694694 EtMeat HH HH HH i-Pri-Pr 695695 EtMeat HH HH MeMe MeMe 696696 EtMeat HH HH MeMe EtMeat 697697 EtMeat HH HH MeMe i-Pri-Pr 698698 EtMeat HH HH EtMeat EtMeat 699699 EtMeat HH HH EtMeat iPriPr 700700 EtMeat HH HH iPriPr iPriPr 701701 EtMeat HH MeMe HH MeMe 702702 EtMeat HH MeMe HH EtMeat 703703 EtMeat HH MeMe HH i-Pri-Pr 704704 EtMeat HH MeMe MeMe MeMe 705705 EtMeat HH MeMe MeMe EtMeat 706706 EtMeat HH MeMe MeMe i-Pri-Pr 707707 EtMeat HH MeMe EtMeat EtMeat 708708 EtMeat HH MeMe EtMeat i-Pri-Pr 709709 EtMeat HH MeMe i-Pri-Pr i-Pri-Pr 710710 EtMeat HH EtMeat HH MeMe 711711 EtMeat HH EtMeat HH EtMeat 712712 EtMeat HH EtMeat HH i-Pri-Pr 713713 EtMeat HH EtMeat MeMe MeMe 714714 EtMeat HH EtMeat MeMe EtMeat 715715 EtMeat HH EtMeat MeMe i-Pri-Pr 716716 EtMeat HH EtMeat EtMeat EtMeat 717717 EtMeat HH EtMeat EtMeat i-Pri-Pr 718718 EtMeat HH EtMeat i-Pri-Pr i-Pri-Pr 719719 EtMeat HH i-Pri-Pr HH MeMe 720720 EtMeat HH i-Pri-Pr HH EtMeat 721721 EtMeat HH i-Pri-Pr HH i-Pri-Pr 722722 EtMeat HH i-Pri-Pr MeMe MeMe 723723 EtMeat HH i-Pri-Pr MeMe EtMeat 724724 EtMeat HH i-Pri-Pr MeMe i-Pri-Pr 725725 EtMeat HH i-Pri-Pr EtMeat EtMeat 726726 EtMeat HH i-Pri-Pr EtMeat i-Pri-Pr 727727 EtMeat HH i-Pri-Pr i-Pri-Pr i-Pri-Pr 728728 EtMeat MeMe MeMe HH MeMe 729729 EtMeat MeMe MeMe HH EtMeat 730730 EtMeat MeMe MeMe HH i-Pri-Pr 731731 EtMeat MeMe MeMe MeMe MeMe 732732 EtMeat MeMe MeMe MeMe EtMeat 733733 EtMeat MeMe MeMe MeMe i-Pri-Pr 734734 EtMeat MeMe MeMe EtMeat EtMeat 735735 EtMeat MeMe MeMe EtMeat i-Pri-Pr 736736 EtMeat MeMe MeMe i-Pri-Pr i-Pri-Pr 737737 EtMeat MeMe EtMeat HH MeMe 738738 EtMeat MeMe EtMeat HH EtMeat 739739 EtMeat MeMe EtMeat HH i-Pri-Pr 740740 EtMeat MeMe EtMeat MeMe MeMe 741741 EtMeat MeMe EtMeat MeMe EtMeat 742742 EtMeat MeMe EtMeat MeMe i-Pri-Pr 743743 EtMeat MeMe EtMeat EtMeat EtMeat 744744 EtMeat MeMe EtMeat EtMeat i-Pri-Pr 745745 EtMeat MeMe EtMeat i-Pri-Pr i-Pri-Pr 746746 EtMeat MeMe i-Pri-Pr HH MeMe 747747 EtMeat MeMe i-Pri-Pr HH EtMeat 748748 EtMeat MeMe i-Pri-Pr HH i-Pri-Pr 749749 EtMeat MeMe i-Pri-Pr MeMe MeMe 750750 EtMeat MeMe i-Pri-Pr MeMe EtMeat 751751 EtMeat MeMe i-Pri-Pr MeMe i-Pri-Pr 752752 EtMeat MeMe i-Pri-Pr EtMeat EtMeat 753753 EtMeat MeMe i-Pri-Pr EtMeat i-Pri-Pr 754754 EtMeat MeMe i-Pri-Pr i-Pri-Pr i-Pri-Pr 755755 EtMeat EtMeat EtMeat HH MeMe 756756 EtMeat EtMeat EtMeat HH EtMeat 757757 EtMeat EtMeat EtMeat HH i-Pri-Pr 758758 EtMeat EtMeat EtMeat MeMe MeMe 759759 EtMeat EtMeat EtMeat MeMe EtMeat 760760 EtMeat EtMeat EtMeat MeMe i-Pri-Pr 761761 EtMeat EtMeat EtMeat EtMeat EtMeat 762762 EtMeat EtMeat EtMeat EtMeat i-Pri-Pr 763763 EtMeat EtMeat EtMeat i-Pri-Pr i-Pri-Pr 764764 EtMeat EtMeat i-Pri-Pr HH MeMe 765765 EtMeat EtMeat i-Pri-Pr HH EtMeat 766766 EtMeat EtMeat i-Pri-Pr HH i-Pri-Pr 767767 EtMeat EtMeat i-Pri-Pr MeMe MeMe 768768 EtMeat EtMeat i-Pri-Pr MeMe EtMeat 769769 EtMeat EtMeat i-Pri-Pr MeMe i-Pri-Pr 770770 EtMeat EtMeat i-Pri-Pr EtMeat EtMeat 771771 EtMeat EtMeat i-Pri-Pr EtMeat i-Pri-Pr 772772 EtMeat EtMeat i-Pri-Pr i-Pri-Pr i-Pri-Pr 773773 EtMeat iPriPr EtMeat HH MeMe 774774 EtMeat iPriPr i-Pri-Pr HH MeMe 775775 EtMeat iPriPr i-Pri-Pr HH EtMeat 776776 EtMeat iPriPr i-Pri-Pr HH i-Pri-Pr 777777 EtMeat iPriPr i-Pri-Pr MeMe MeMe 778778 EtMeat iPriPr i-Pri-Pr MeMe EtMeat 779779 EtMeat iPriPr i-Pri-Pr MeMe i-Pri-Pr 780780 EtMeat iPriPr i-Pri-Pr EtMeat EtMeat 781781 EtMeat iPriPr i-Pri-Pr EtMeat iPriPr 782782 EtMeat iPriPr i-Pri-Pr i-Pri-Pr i-Pri-Pr 783783 i-Pri-Pr HH HH HH HH 784784 i-Pri-Pr HH HH HH MeMe 785785 i-Pri-Pr HH HH HH EtMeat 786786 i-Pri-Pr HH HH HH i-Pri-Pr 787787 i-Pri-Pr HH HH MeMe MeMe 788788 i-Pri-Pr HH HH MeMe EtMeat 789789 i-Pri-Pr HH HH MeMe i-Pri-Pr 790790 i-Pri-Pr HH HH EtMeat EtMeat i-Pri-Pr HH HH EtMeat i-Pri-Pr 791791 i-Pri-Pr HH HH i-Pri-Pr i-Pri-Pr 792792 i-Pri-Pr HH MeMe HH MeMe 793793 i-Pri-Pr HH MeMe HH EtMeat 794794 i-Pri-Pr HH MeMe HH i-Pri-Pr 795795 i-Pri-Pr HH MeMe MeMe MeMe 796796 i-Pri-Pr HH MeMe MeMe EtMeat 797797 i-Pri-Pr HH MeMe MeMe i-Pri-Pr 798798 i-Pri-Pr HH MeMe EtMeat EtMeat 799799 i-Pri-Pr HH MeMe EtMeat i-Pri-Pr 800800 i-Pri-Pr HH MeMe i-Pri-Pr i-Pri-Pr 801801 i-Pri-Pr HH EtMeat HH MeMe 802802 i-Pri-Pr HH EtMeat HH EtMeat 803803 i-Pri-Pr HH EtMeat HH i-Pri-Pr 804804 i-Pri-Pr HH EtMeat MeMe MeMe 805805 i-Pri-Pr HH EtMeat MeMe EtMeat 806806 i-Pri-Pr HH EtMeat MeMe i-Pri-Pr 807807 i-Pri-Pr HH EtMeat EtMeat EtMeat 808808 i-Pri-Pr HH EtMeat EtMeat i-Pri-Pr 809809 i-Pri-Pr HH EtMeat i-Pri-Pr i-Pri-Pr 810810 i-Pri-Pr HH i-Pri-Pr HH MeMe 811811 i-Pri-Pr HH i-Pri-Pr HH EtMeat 812812 i-Pri-Pr HH i-Pri-Pr HH i-Pri-Pr 813813 i-Pri-Pr HH i-Pri-Pr MeMe MeMe 814814 i-Pri-Pr HH i-Pri-Pr MeMe EtMeat 1515 i-Pri-Pr HH i-Pri-Pr MeMe i-Pri-Pr 816816 i-Pri-Pr HH i-Pri-Pr EtMeat EtMeat 817817 i-Pri-Pr HH i-Pri-Pr EtMeat i-Pri-Pr 818818 i-Pri-Pr HH i-Pri-Pr i-Pri-Pr i-Pri-Pr 819819 i-Pri-Pr MeMe MeMe HH MeMe 820820 i-Pri-Pr MeMe MeMe HH EtMeat 821821 i-Pri-Pr MeMe MeMe HH i-Pri-Pr 822822 i-Pri-Pr MeMe MeMe MeMe MeMe 823823 i-Pri-Pr MeMe MeMe MeMe EtMeat 824824 i-Pri-Pr MeMe MeMe MeMe i-Pri-Pr 825825 i-Pri-Pr MeMe MeMe EtMeat EtMeat 826826 i-Pri-Pr MeMe MeMe EtMeat i-Pri-Pr 827827 i-Pri-Pr MeMe MeMe i-Pri-Pr i-Pri-Pr 828828 i-Pri-Pr MeMe EtMeat HH MeMe 829829 i-Pri-Pr MeMe EtMeat HH EtMeat 830830 i-Pri-Pr MeMe EtMeat HH iPriPr 831831 i-Pri-Pr MeMe EtMeat MeMe MeMe 832832 i-Pri-Pr MeMe EtMeat MeMe EtMeat 833833 i-Pri-Pr MeMe EtMeat MeMe i-Pri-Pr 834834 i-Pri-Pr MeMe EtMeat EtMeat EtMeat 835835 i-Pri-Pr MeMe EtMeat EtMeat i-Pri-Pr 836836 i-Pri-Pr MeMe EtMeat i-Pri-Pr i-Pri-Pr 837837 i-Pri-Pr MeMe i-Pri-Pr HH MeMe 838838 i-Pri-Pr MeMe i-Pri-Pr HH EtMeat 839839 i-Pri-Pr MeMe i-Pri-Pr HH i-Pri-Pr 840840 i-Pri-Pr MeMe i-Pri-Pr MeMe MeMe 841841 i-Pri-Pr MeMe i-Pri-Pr MeMe EtMeat 842842 i-Pri-Pr MeMe i-Pri-Pr MeMe i-Pri-Pr 843843 i-Pri-Pr MeMe i-Pri-Pr EtMeat EtMeat 844844 i-Pri-Pr MeMe i-Pri-Pr EtMeat i-Pri-Pr 845845 i-Pri-Pr MeMe i-Pri-Pr i-Pri-Pr i-Pri-Pr 846846 i-Pri-Pr EtMeat EtMeat HH MeMe 847847 i-Pri-Pr EtMeat EtMeat HH EtMeat 848848 i-Pri-Pr EtMeat EtMeat HH i-Pri-Pr 849849 i-Pri-Pr EtMeat EtMeat MeMe MeMe 850850 i-Pri-Pr EtMeat EtMeat MeMe EtMeat 851851 i-Pri-Pr EtMeat EtMeat MeMe i-Pri-Pr 852852 i-Pri-Pr EtMeat EtMeat EtMeat EtMeat 853853 i-Pri-Pr EtMeat EtMeat EtMeat i-Pri-Pr 854854 i-Pri-Pr EtMeat EtMeat iPriPr i-Pri-Pr 855855 i-Pri-Pr EtMeat i-Pri-Pr HH MeMe 856856 i-Pri-Pr EtMeat i-Pri-Pr HH EtMeat 857857 i-Pri-Pr EtMeat i-Pri-Pr HH i-Pri-Pr 858858 i-Pri-Pr EtMeat i-Pri-Pr MeMe MeMe 859859 i-Pri-Pr EtMeat i-Pri-Pr MeMe EtMeat 860860 i-Pri-Pr EtMeat i-Pri-Pr MeMe i-Pri-Pr 861861 i-Pri-Pr EtMeat i-Pri-Pr EtMeat EtMeat 862862 i-Pri-Pr EtMeat i-Pri-Pr EtMeat i-Pri-Pr 863863 i-Pri-Pr EtMeat i-Pri-Pr i-Pri-Pr i-Pri-Pr 864864 i-Pri-Pr iPriPr EtMeat HH MeMe 865865 i-Pri-Pr i-Pri-Pr i-Pri-Pr HH MeMe 866866 i-Pri-Pr i-Pri-Pr i-Pri-Pr HH EtMeat 867867 i-Pri-Pr i-Pri-Pr i-Pri-Pr HH i-Pri-Pr 868868 i-Pri-Pr i-Pri-Pr i-Pri-Pr MeMe MeMe 869869 i-Pri-Pr i-Pri-Pr i-Pri-Pr MeMe EtMeat 870870 i-Pri-Pr i-Pri-Pr i-Pri-Pr MeMe i-Pri-Pr 871871 i-Pri-Pr i-Pri-Pr i-Pri-Pr EtMeat EtMeat 872872 i-Pri-Pr i-Pri-Pr i-Pri-Pr EtMeat i-Pri-Pr 873873 i-Pri-Pr i-Pri-Pr i-Pri-Pr i-Pri-Pr i-Pri-Pr

Figure 112015000216818-pat00027
Figure 112015000216818-pat00027

Ra-CO-N-RbRc R a is -CO-NR b R c Ra R a Rb R b Rc R c 874874 HH HH MeMe 875875 HH HH EtMeat 876876 HH HH i-Pri-Pr 877877 HH MeMe MeMe 878878 HH MeMe EtMeat 879879 HH MeMe i-Pri-Pr 880880 HH EtMeat EtMeat 881881 HH EtMeat i-Pri-Pr 882882 HH i-Pri-Pr i-Pri-Pr 883883 MeMe MeMe MeMe 884884 MeMe MeMe EtMeat 885885 MeMe MeMe i-Pri-Pr 886886 MeMe EtMeat EtMeat 887887 MeMe EtMeat i-Pri-Pr 889889 MeMe i-Pri-Pr i-Pri-Pr 890890 MeMe CF3 CF 3 MeMe 891891 MeMe CF3 CF 3 EtMeat 892892 MeMe CF3 CF 3 i-Pri-Pr 893893 EtMeat MeMe MeMe 894894 EtMeat MeMe EtMeat 895895 EtMeat MeMe iPriPr 896896 EtMeat EtMeat EtMeat 897897 EtMeat EtMeat i-Pri-Pr 898898 EtMeat i-Pri-Pr i-Pri-Pr 899899 EtMeat CF3 CF 3 MeMe 900900 EtMeat CF3 CF 3 EtMeat 901901 EtMeat CF3 CF 3 i-Pri-Pr 902902 i-Pri-Pr MeMe MeMe 903903 i-Pri-Pr MeMe EtMeat 904904 i-Pri-Pr MeMe i-Pri-Pr 905905 i-Pri-Pr EtMeat EtMeat 906906 i-Pri-Pr EtMeat i-Pri-Pr 907907 i-Pri-Pr i-Pri-Pr i-Pri-Pr 908908 i-Pri-Pr CF3 CF 3 MeMe 909909 i-Pri-Pr CF3 CF 3 EtMeat 910910 i-Pri-Pr CF3 CF 3 i-Pri-Pr 911911 CF3 CF 3 MeMe MeMe 912912 CF3 CF 3 MeMe EtMeat 913913 CF3 CF 3 MeMe i-Pri-Pr 914914 CF3 CF 3 EtMeat EtMeat 915915 CF3 CF 3 EtMeat i-Pri-Pr 916916 CF3 CF 3 i-Pri-Pr i-Pri-Pr 917917 CF3 CF 3 CF3 CF 3 MeMe 918918 CF3 CF 3 CF3 CF 3 EtMeat 919919 CF3 CF 3 CF3 CF 3 i-Pri-Pr 920920 HH HH MeMe 921921 HH HH EtMeat 922922 HH HH i-Pri-Pr 923923 HH MeMe MeMe 924924 HH MeMe EtMeat 925925 HH MeMe i-Pri-Pr 926926 HH EtMeat EtMeat 927927 HH EtMeat i-Pri-Pr 928928 HH i-Pri-Pr i-Pri-Pr 929929 MeMe MeMe MeMe 930930 MeMe MeMe EtMeat 931931 MeMe MeMe i-Pri-Pr 932932 MeMe EtMeat EtMeat 933933 MeMe EtMeat i-Pri-Pr 934934 MeMe i-Pri-Pr i-Pri-Pr 935935 MeMe CF3 CF 3 MeMe 936936 MeMe CF3 CF 3 EtMeat 937937 MeMe CF3 CF 3 i-Pri-Pr 938938 EtMeat MeMe MeMe 939939 EtMeat MeMe EtMeat 940940 EtMeat MeMe iPriPr 941941 EtMeat EtMeat EtMeat 942942 EtMeat EtMeat i-Pri-Pr 943943 EtMeat i-Pri-Pr i-Pri-Pr 944944 EtMeat CF3 CF 3 MeMe 945945 EtMeat CF3 CF 3 EtMeat 946946 EtMeat CF3 CF 3 i-Pri-Pr 947947 i-Pri-Pr MeMe MeMe 948948 i-Pri-Pr MeMe EtMeat 949949 i-Pri-Pr MeMe i-Pri-Pr 950950 i-Pri-Pr EtMeat EtMeat 951951 i-Pri-Pr EtMeat i-Pri-Pr 952952 i-Pri-Pr i-Pri-Pr i-Pri-Pr 953953 i-Pri-Pr CF3 CF 3 MeMe 954954 i-Pri-Pr CF3 CF 3 EtMeat 955955 i-Pri-Pr CF3 CF 3 i-Pri-Pr 956956 CF3 CF 3 MeMe MeMe 957957 CF3 CF 3 MeMe EtMeat 958958 CF3 CF 3 MeMe i-Pri-Pr 959959 CF3 CF 3 EtMeat EtMeat 960960 CF3 CF 3 EtMeat i-Pri-Pr 961961 CF3 CF 3 i-Pri-Pr i-Pri-Pr 962962 CF3 CF 3 CF3 CF 3 MeMe 963963 CF3 CF 3 CF3 CF 3 EtMeat 964964 CF3 CF 3 CF3 CF 3 iPriPr 965965 HH HH MeMe 966966 HH HH EtMeat 967967 HH HH i-Pri-Pr 968968 HH MeMe MeMe 969969 HH MeMe EtMeat 970970 HH MeMe i-Pri-Pr 971971 HH EtMeat EtMeat 972972 HH EtMeat i-Pri-Pr 973973 HH i-Pri-Pr i-Pri-Pr 974974 MeMe MeMe MeMe 975975 MeMe MeMe EtMeat 976976 MeMe MeMe i-Pri-Pr 977977 MeMe EtMeat EtMeat 978978 MeMe EtMeat i-Pri-Pr 979979 MeMe i-Pri-Pr i-Pri-Pr 980980 MeMe CF3 CF 3 MeMe 981981 MeMe CF3 CF 3 EtMeat 982982 MeMe CF3 CF 3 iPriPr 983983 EtMeat MeMe MeMe 984984 EtMeat MeMe EtMeat 985985 EtMeat MeMe i-Pri-Pr 986986 EtMeat EtMeat EtMeat 987987 EtMeat EtMeat i-Pri-Pr 988988 EtMeat i-Pri-Pr i-Pri-Pr 989989 EtMeat CF3 CF 3 MeMe 990990 EtMeat CF3 CF 3 EtMeat 991991 EtMeat CF3 CF 3 i-Pri-Pr 992992 i-Pri-Pr MeMe MeMe 993993 i-Pri-Pr MeMe EtMeat 994994 i-Pri-Pr MeMe i-Pri-Pr 995995 i-Pri-Pr EtMeat EtMeat 996996 i-Pri-Pr EtMeat i-Pri-Pr 997997 i-Pri-Pr i-Pri-Pr i-Pri-Pr 998998 i-Pri-Pr CF3 CF 3 MeMe 999999 i-Pri-Pr CF3 CF 3 EtMeat 10001000 i-Pri-Pr CF3 CF 3 i-Pri-Pr 10011001 CF3 CF 3 MeMe MeMe 10021002 CF3 CF 3 MeMe EtMeat 10031003 CF3 CF 3 MeMe i-Pri-Pr 10041004 CF3 CF 3 EtMeat EtMeat 10051005 CF3 CF 3 EtMeat i-Pri-Pr 10061006 CF3 CF 3 i-Pri-Pr i-Pri-Pr 10071007 CF3 CF 3 CF3 CF 3 MeMe 10081008 CF3 CF 3 CF3 CF 3 EtMeat 10091009 CF3 CF 3 CF3 CF 3 i-Pri-Pr

Figure 112015000216818-pat00028
Figure 112015000216818-pat00028

Ra-C=CRb-CH-Rc R a -C = CR b -CH-R c Ra R a RbRb Rc R c 10101010 MeMe HH Me Me 10111011 MeMe HH Et Meat 10121012 MeMe HH i-Pri-Pr 10131013 MeMe HH CF3 CF 3 10141014 EtMeat HH Me Me 10151015 EtMeat HH Et Meat 10161016 EtMeat HH i-Pri-Pr 10171017 EtMeat HH CF3 CF 3 10181018 i-Pri-Pr HH MeMe 10191019 i-Pri-Pr HH EtMeat 10201020 i-Pri-Pr HH i-Pri-Pr 10211021 i-Pri-Pr HH CF3 CF 3 10221022 CF3 CF 3 HH MeMe 10231023 CF3 CF 3 HH EtMeat 10241024 CF3 CF 3 HH i-Pri-Pr 10251025 CF3 CF 3 HH CF3 CF 3

Figure 112015000216818-pat00029
Figure 112015000216818-pat00029

RaRb-N-CH2-CO-RcRd R a R b -N-CH 2 -CO-R c R d Ra R a Rb R b Rc R c Rd R d 10261026 HH MeMe HH HH 10271027 HH MeMe MeMe HH 10281028 HH MeMe EtMeat HH 10291029 HH MeMe i-Pri-Pr HH 10301030 HH MeMe CF3 CF 3 HH 10311031 HH MeMe MeMe MeMe 10321032 HH MeMe EtMeat MeMe 10331033 HH MeMe i-Pri-Pr MeMe 10341034 HH MeMe CF3 CF 3 MeMe 10351035 HH MeMe EtMeat EtMeat 10361036 HH MeMe i-Pri-Pr EtMeat 10371037 HH MeMe CF3 CF 3 EtMeat 10381038 HH MeMe i-Pri-Pr iPriPr 10391039 HH MeMe CF3 CF 3 iPriPr 10401040 HH MeMe CF3 CF 3 CF3 CF 3 10411041 HH EtMeat HH HH 10421042 HH EtMeat MeMe HH 10431043 HH EtMeat EtMeat HH 10441044 HH EtMeat iPriPr HH 10451045 HH EtMeat CF3 CF 3 HH 10461046 HH EtMeat MeMe MeMe 10471047 HH EtMeat EtMeat MeMe 10481048 HH EtMeat i-Pri-Pr MeMe 10491049 HH EtMeat CF3 CF 3 MeMe 10501050 HH EtMeat EtMeat EtMeat 10511051 HH EtMeat iPriPr EtMeat 10521052 HH EtMeat CF3 CF 3 EtMeat 10531053 HH EtMeat i-Pri-Pr i-Pri-Pr 10541054 HH EtMeat CF3 CF 3 i-Pri-Pr 10551055 HH EtMeat CF3 CF 3 CF3 CF 3 10561056 HH i-Pri-Pr HH HH 10571057 HH i-Pri-Pr MeMe HH 10581058 HH i-Pri-Pr EtMeat HH 10591059 HH i-Pri-Pr i-Pri-Pr HH 10601060 HH i-Pri-Pr CF3 CF 3 HH 10611061 HH i-Pri-Pr MeMe MeMe 10621062 HH i-Pri-Pr EtMeat MeMe 10631063 HH i-Pri-Pr i-Pri-Pr MeMe 10641064 HH i-Pri-Pr CF3 CF 3 MeMe 10651065 HH i-Pri-Pr EtMeat EtMeat 10661066 HH i-Pri-Pr i-Pri-Pr EtMeat 10671067 HH i-Pri-Pr CF3 CF 3 EtMeat 10681068 HH i-Pri-Pr i-Pri-Pr i-Pri-Pr 10691069 HH i-Pri-Pr CF3 CF 3 i-Pri-Pr 10701070 HH i-Pri-Pr CF3 CF 3 CF3 CF 3 10711071 MeMe MeMe HH HH 10721072 MeMe MeMe MeMe HH 10731073 MeMe MeMe EtMeat HH 10741074 MeMe MeMe i-Pri-Pr HH 10751075 MeMe MeMe CF3 CF 3 HH 10761076 MeMe MeMe MeMe MeMe 10771077 MeMe MeMe EtMeat MeMe 10781078 MeMe MeMe i-Pri-Pr MeMe 10791079 MeMe MeMe CF3 CF 3 MeMe 10801080 MeMe MeMe EtMeat EtMeat 10811081 MeMe MeMe iPriPr EtMeat 10821082 MeMe MeMe CF3 CF 3 EtMeat 10831083 MeMe MeMe i-Pri-Pr i-Pri-Pr 10841084 MeMe MeMe CF3 CF 3 i-Pri-Pr 10851085 MeMe MeMe CF3 CF 3 CF3 CF 3 10861086 MeMe EtMeat HH HH 10871087 MeMe EtMeat MeMe HH 10881088 MeMe EtMeat EtMeat HH 10891089 MeMe EtMeat i-Pri-Pr HH 10901090 MeMe EtMeat CF3 CF 3 HH 10911091 MeMe EtMeat MeMe MeMe 10921092 MeMe EtMeat EtMeat MeMe 10931093 MeMe EtMeat i-Pri-Pr MeMe 10941094 MeMe EtMeat CF3 CF 3 MeMe 10951095 MeMe EtMeat EtMeat EtMeat 10961096 MeMe EtMeat i-Pri-Pr EtMeat 10971097 MeMe EtMeat CF3 CF 3 EtMeat 10981098 MeMe EtMeat i-Pri-Pr i-Pri-Pr 10991099 MeMe EtMeat CF3 CF 3 i-Pri-Pr 11001100 MeMe EtMeat CF3 CF 3 CF3 CF 3 11011101 MeMe i-Pri-Pr HH HH 11021102 MeMe i-Pri-Pr MeMe HH 11031103 MeMe i-Pri-Pr EtMeat HH 11041104 MeMe i-Pri-Pr i-Pri-Pr HH 11051105 MeMe i-Pri-Pr CF3 CF 3 HH 11061106 MeMe i-Pri-Pr MeMe MeMe 11071107 MeMe i-Pri-Pr EtMeat MeMe 11081108 MeMe i-Pri-Pr i-Pri-Pr MeMe 11091109 MeMe i-Pri-Pr CF3 CF 3 MeMe 11101110 MeMe i-Pri-Pr EtMeat EtMeat 11111111 MeMe i-Pri-Pr i-Pri-Pr EtMeat 11121112 MeMe i-Pri-Pr CF3 CF 3 EtMeat 11131113 MeMe i-Pri-Pr i-Pri-Pr i-Pri-Pr 11141114 MeMe i-Pri-Pr CF3 CF 3 i-Pri-Pr 11151115 MeMe i-Pri-Pr CF3 CF 3 CF3 CF 3 11161116 EtMeat EtMeat HH HH 11171117 EtMeat EtMeat MeMe HH 11181118 EtMeat EtMeat EtMeat HH 11191119 EtMeat EtMeat i-Pri-Pr HH 11201120 EtMeat EtMeat CF3 CF 3 HH 11211121 EtMeat EtMeat MeMe MeMe 11221122 EtMeat EtMeat EtMeat MeMe 11231123 EtMeat EtMeat i-Pri-Pr MeMe 11241124 EtMeat EtMeat CF3 CF 3 MeMe 11251125 EtMeat EtMeat EtMeat EtMeat 11261126 EtMeat EtMeat i-Pri-Pr EtMeat 11271127 EtMeat EtMeat CF3 CF 3 EtMeat 11281128 EtMeat EtMeat i-Pri-Pr i-Pri-Pr 11291129 EtMeat EtMeat CF3 CF 3 i-Pri-Pr 11301130 EtMeat EtMeat CF3 CF 3 CF3 CF 3 11311131 EtMeat i-Pri-Pr HH HH 11321132 EtMeat i-Pri-Pr MeMe HH 11331133 EtMeat i-Pri-Pr EtMeat HH 11341134 EtMeat i-Pri-Pr i-Pri-Pr HH 11351135 EtMeat i-Pri-Pr CF3 CF 3 HH 11361136 EtMeat i-Pri-Pr MeMe MeMe 11371137 EtMeat i-Pri-Pr EtMeat MeMe 11381138 EtMeat i-Pri-Pr i-Pri-Pr MeMe 11391139 EtMeat i-Pri-Pr CF3 CF 3 MeMe 11401140 EtMeat i-Pri-Pr EtMeat EtMeat 11411141 EtMeat i-Pri-Pr i-Pri-Pr EtMeat 11421142 EtMeat i-Pri-Pr CF3 CF 3 EtMeat 11431143 EtMeat i-Pri-Pr i-Pri-Pr i-Pri-Pr 11441144 EtMeat i-Pri-Pr CF3 CF 3 i-Pri-Pr 11451145 EtMeat i-Pri-Pr CF3 CF 3 CF3 CF 3 11461146 i-Pri-Pr i-Pri-Pr HH HH 11471147 i-Pri-Pr i-Pri-Pr MeMe HH 11481148 i-Pri-Pr i-Pri-Pr EtMeat HH 11491149 i-Pri-Pr i-Pri-Pr i-Pri-Pr HH 11501150 i-Pri-Pr i-Pri-Pr CF3 CF 3 HH 11511151 i-Pri-Pr i-Pri-Pr MeMe MeMe 11521152 i-Pri-Pr i-Pri-Pr EtMeat MeMe 11531153 i-Pri-Pr i-Pri-Pr i-Pri-Pr MeMe 11541154 i-Pri-Pr i-Pri-Pr CF3 CF 3 MeMe 11551155 i-Pri-Pr i-Pri-Pr EtMeat EtMeat 11561156 i-Pri-Pr i-Pri-Pr i-Pri-Pr EtMeat 11571157 i-Pri-Pr i-Pri-Pr CF3 CF 3 EtMeat 11581158 i-Pri-Pr i-Pri-Pr i-Pri-Pr i-Pri-Pr 11591159 i-Pri-Pr i-Pri-Pr CF3 CF 3 i-Pri-Pr 11601160 i-Pri-Pr i-Pri-Pr CF3 CF 3 CF3 CF 3

<실시예 2> : (t-Bu-N=)2W(Me-CO=CH-CMe=N-i-Pr)2을 사용하는 얇은 텅스텐(W) 필름의 예상되는 증착<Example 2>: (t-Bu- N =) 2 W (Me-CO = CH-CMe = Ni-Pr) thin tungsten (W) using the second expected that deposition of the film

(t-Bu-N=)2W(Me-CO=CH-CMe=N-i-Pr)2는 실시예 1에서 개시된 바와 같이 합성되었다. 이러한 화합물 및 무엇보다도 텅스텐 필름을 증착시키기 위한 한 가지 방식을, 다음 실시예를 사용하여 설명하며 이러한 방법으로 텅스텐(W) 필름은 수득할 것으로 예상된다.(t-Bu-N =) 2 W (Me-CO = CH-CMe = Ni-Pr) 2 was synthesized as described in Example 1. One way to deposit these compounds and above all the tungsten film is described using the following examples, and it is expected that a tungsten (W) film will be obtained in this way.

텅스텐 분자는 캐니스터 내에 위치될 것이다. (t-Bu-N=)2W(Me-CO=CH-CMe=N-i-Pr)2의 증기는 충분한 증기를 제공하기 위해 가열된 캐니스터 내에 질소를 유동시킴으로써 반응로로 이동될 것이다. 수소가 ALD 스킴으로 웨이퍼의 표면에 텅스텐 증기와 반응하기 위해 증착 시스템 내에 도입될 것이다 (충분히 긴 불활성 기체 퍼지에 의해 분리된 전구체 증기의 도입). 수소(H2)가 선택될 분자로서 여겨지지만 임의의 유형의 환원제가 선택될 수 있다. 텅스텐(W) 필름이 수득될 것이다. 분석 결과는 ALD 모드에 전형적인 포화 모드가 텅스텐 분자의 증기의 도입 시간을 연장할때 수득된다는 것을 보여줄 것이다.The tungsten molecule will be placed in the canister. The vapor of (t-Bu-N =) 2 W (Me-CO = CH-CMe = Ni-Pr) 2 will be transferred to the reactor by flowing nitrogen into the heated canister to provide sufficient vapor. Hydrogen will be introduced into the deposition system to react with the tungsten vapor on the surface of the wafer in an ALD scheme (introduction of the precursor vapor separated by a sufficiently long inert gas purge). Any type of reducing agent may be selected, although hydrogen (H 2 ) is considered to be the molecule to be selected. A tungsten (W) film will be obtained. The analysis results will show that a typical saturation mode in ALD mode is obtained when the time of introduction of the vapor of the tungsten molecule is prolonged.

이상의 설명은 본 발명을 예시적으로 설명한 것에 불과한 것으로, 본 발명에 속하는 기술분야에서 통상의 지식을 가지는 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 변형이 가능할 것이다. 따라서, 본 명세서에 개시된 실시예들은 본 발명을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시예에 의하여 본 발명의 사상과 범위가 한정되는 것은 아니다. 본 발명의 보호범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위내에 있는 모든 기술은 본 발명의 권리범위에 포함하는 것으로 해석되어야 한다.
The foregoing description is merely illustrative of the present invention, and various modifications may be made without departing from the essential characteristics of the present invention. Accordingly, the embodiments disclosed herein are intended to be illustrative rather than limiting, and the spirit and scope of the present invention is not limited by these embodiments. The scope of protection of the present invention should be construed according to the following claims, and all techniques within the scope of the same should be construed as being included in the scope of the present invention.

Claims (19)

하기 화학식 2로 나타내는 텅스텐 전구체 화합물
<화학식 2>
Figure 112015059105099-pat00056

{상기 화학식 2에서 (-X-Z-Y-)가 하기 화학식 3, 4, 5, 7, 8, 9, 10, 11로 표시되는 리간드 중 하나이고,
[화학식 3] [화학식 4] [화학식 5]
Figure 112015059105099-pat00057
Figure 112015059105099-pat00058
Figure 112015059105099-pat00059

[화학식 7] [화학식 8]
Figure 112015059105099-pat00060
Figure 112015059105099-pat00061

[화학식 9] [화학식 10] [화학식 11]
Figure 112015059105099-pat00062
Figure 112015059105099-pat00063
Figure 112015059105099-pat00064

상기 화학식 3, 4, 5, 7, 8, 9, 10, 11에서,
Ra, Rb, Rc, Rd, Re은 각각 독립적으로 H; C1-C6 선형, 분지형, 또는 시클릭알킬기; C1-C6 선형, 분지형, 또는 알킬실릴기, 시클릭알킬실릴기 (모노, 비스, 또는 트리스알킬); C1-C6 선형, 분지형, 또는 시클릭플루오로알킬기(여기서, 상기 치환체들의 일부 또는 모두는 F이다); 및 C1-C6 선형, 분지형 또는 시클릭알킬기가 치환된 알콕시기로 이루어진 군으로부터 독립적으로 선택되며, n은 1 또는 2의 정수이다.}
A tungsten precursor compound represented by the following formula (2)
(2)
Figure 112015059105099-pat00056

(-XZY-) in Formula 2 is one of the ligands represented by the following Chemical Formulas 3, 4, 5, 7, 8, 9, 10,
[Chemical Formula 3]
Figure 112015059105099-pat00057
Figure 112015059105099-pat00058
Figure 112015059105099-pat00059

[Chemical Formula 7]
Figure 112015059105099-pat00060
Figure 112015059105099-pat00061

[Chemical Formula 10] < EMI ID =
Figure 112015059105099-pat00062
Figure 112015059105099-pat00063
Figure 112015059105099-pat00064

In Formulas 3, 4, 5, 7, 8, 9, 10, and 11,
R a , R b , R c , R d and R e are each independently H; C 1 -C 6 linear, branched, or cyclic alkyl groups; C 1 -C 6 linear, branched, or alkylsilyl groups, cyclic alkylsilyl groups (mono, bis, or trisalkyl); A C 1 -C 6 linear, branched, or cyclic fluoroalkyl group wherein some or all of the substituents are F; And an alkoxy group substituted with a C 1 -C 6 linear, branched or cyclic alkyl group, and n is an integer of 1 or 2.
삭제delete 삭제delete 제 1항에 있어서, 상기 Ra, Rb, Rc, Rd, Re은 각각 독립적으로 C1-C6의 선형, 분지형, 사이클릭 알킬기 또는 C1-C6의 선형, 분지형 플로로알킬기에서 선택되는 텅스텐 전구체 화합물The method of claim 1, wherein R a , R b , R c , R d , and R e are each independently a C 1 -C 6 linear, branched, cyclic alkyl group or a C 1 -C 6 linear, branched A tungsten precursor compound selected from a fluoroalkyl group 제 1항에 있어서, 상기 Ra, Rb, Rc, Rd, Re은 각각 독립적으로 메틸, 에틸, 이소-프로필, 트리플로로메틸(CF3)에서 선택되는 텅스텐 전구체 화합물2. The method of claim 1, wherein R a, R b, R c , R d, R e is methyl, ethyl, isopropyl, each independently-tungsten precursor compound selected from methyl (CF 3) with a profile, Access 제 1항에 있어서, 상기 n은 2의 정수를 나타내는 텅스텐 전구체 화합물
2. The compound according to claim 1, wherein n is a tungsten precursor compound
텅스텐 함유 필름을 증착시키는 방법에 있어서,
내부에 하나 이상의 기판이 배치되어 있는 반응기 안으로, 하기 화학식으로 표시되는 하나 이상의 텅스텐 전구체 화합물을 도입시키는 단계; 및
상기 텅스텐 전구체 화합물의 적어도 일부를 하나 이상의 기판상에 증착시켜 텅스텐 함유 필름을 형성시키는 단계를 포함하고, 상기 텅스텐 전구체 화합물은 하기 화학식 2로 표시되는 화합물을 특징으로 하는 텅스텐 함유 필름 증착 방법
<화학식 2>
Figure 112015059105099-pat00065

{상기 화학식 2에서 (-X-Z-Y-)가 하기 화학식 3, 4, 5, 7, 8, 9, 10, 11로 표시되는 리간드 중 하나이고,
[화학식 3] [화학식 4] [화학식 5]
Figure 112015059105099-pat00066
Figure 112015059105099-pat00067
Figure 112015059105099-pat00068

[화학식 7] [화학식 8]
Figure 112015059105099-pat00069
Figure 112015059105099-pat00070

[화학식 9] [화학식 10] [화학식 11]
Figure 112015059105099-pat00071
Figure 112015059105099-pat00072
Figure 112015059105099-pat00073

상기 화학식 3, 4, 5, 7, 8, 9, 10, 11에서,
Ra, Rb, Rc, Rd, Re은 각각 독립적으로 H; C1-C6 선형, 분지형, 또는 시클릭알킬기; C1-C6 선형, 분지형, 또는 알킬실릴기, 시클릭알킬실릴기 (모노, 비스, 또는 트리스알킬); C1-C6 선형, 분지형, 또는 시클릭플루오로알킬기(여기서, 상기 치환체들의 일부 또는 모두는 F이다); 및 C1-C6 선형, 분지형 또는 시클릭알킬기가 치환된 알콕시기로 이루어진 군으로부터 독립적으로 선택되며, n은 1 또는 2의 정수이다.}
A method of depositing a tungsten-containing film,
Introducing at least one tungsten precursor compound represented by the following formula into a reactor in which at least one substrate is disposed; And
Depositing at least a portion of the tungsten precursor compound on at least one substrate to form a tungsten containing film, wherein the tungsten precursor compound is a tungsten-containing film deposition process characterized by a compound represented by the following formula
(2)
Figure 112015059105099-pat00065

(-XZY-) in Formula 2 is one of the ligands represented by the following Chemical Formulas 3, 4, 5, 7, 8, 9, 10,
[Chemical Formula 3]
Figure 112015059105099-pat00066
Figure 112015059105099-pat00067
Figure 112015059105099-pat00068

[Chemical Formula 7]
Figure 112015059105099-pat00069
Figure 112015059105099-pat00070

[Chemical Formula 10] < EMI ID =
Figure 112015059105099-pat00071
Figure 112015059105099-pat00072
Figure 112015059105099-pat00073

In Formulas 3, 4, 5, 7, 8, 9, 10, and 11,
R a , R b , R c , R d and R e are each independently H; C 1 -C 6 linear, branched, or cyclic alkyl groups; C 1 -C 6 linear, branched, or alkylsilyl groups, cyclic alkylsilyl groups (mono, bis, or trisalkyl); A C 1 -C 6 linear, branched, or cyclic fluoroalkyl group wherein some or all of the substituents are F; And an alkoxy group substituted with a C 1 -C 6 linear, branched or cyclic alkyl group, and n is an integer of 1 or 2.
제 7항에 있어서,
상기 반응기 안으로, 하나 이상의 반응기체를 추가로 더 도입하는 단계를 포함하는 방법
8. The method of claim 7,
Introducing into the reactor additional one or more reactive gases,
제 8항에 있어서,
상기 반응기체는 환원제 또는 산화제인 것을 특징으로 하는 방법
9. The method of claim 8,
Characterized in that the reaction gas is a reducing agent or an oxidizing agent
제 9항에 있어서,
상기 반응기체는 환원제로써 NH3, H2; SiH4; Si2H6; Si3H8; NH3; (CH3)2SiH2; (C2H5)2SiH2; (CH3)SiH3; (C2H5)SiH3; 페닐실란; N2H4; N(SiH3)3; N(CH3)H2; N(C2H5)H2; N(CH3)2H; N(C2H5)2H; N(CH3)3; N(C2H5)3; (SiMe3)2NH; (CH3)HNNH2; (CH3)2NNH2; 페닐히드라진; B2H6; 9-보라비시클로[3,3,1]노난; 디히드로벤젠푸란; 피라졸린; 트리메틸알루미늄; 디메틸아연; 디에틸아연; 그의 라디칼 종; 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것을 특징으로 하는 방법
10. The method of claim 9,
The reaction gas is NH 3 , H 2 , SiH 4 ; Si 2 H 6 ; Si 3 H 8 ; NH 3 ; (CH 3) 2 SiH 2; (C 2 H 5 ) 2 SiH 2 ; (CH 3) SiH 3; (C 2 H 5 ) SiH 3 ; Phenylsilane; N 2 H 4 ; N (SiH 3) 3; N (CH 3) H 2; N (C 2 H 5) H 2; N (CH 3) 2 H; N (C 2 H 5) 2 H; N (CH 3) 3; N (C 2 H 5) 3 ; (SiMe 3 ) 2 NH; (CH 3) HNNH 2; (CH 3) 2 NNH 2; Phenylhydrazine; B 2 H 6 ; 9-borabicyclo [3,3,1] nonane; Dihydrobenzene furan; Pyrazoline; Trimethyl aluminum; Dimethyl zinc; Diethylzinc; Its radical species; And mixtures thereof. &Lt; RTI ID = 0.0 &gt;
제 9항에 있어서,
상기 반응기체은 산화제로써 O2; O3; H2O; H2O2; NO; NO2; N2O; 카르복실산; 그의 라디칼 종; 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것을 특징으로 하는 방법
10. The method of claim 9,
The reaction gas may be an oxidizing agent such as O 2 ; O 3 ; H 2 O; H 2 O 2 ; NO; NO 2 ; N 2 O; Carboxylic acid; Its radical species; And mixtures thereof. &Lt; RTI ID = 0.0 &gt;
제 9항에 있어서,
상기 텅스텐 전구체 화합물 및 상기 반응기체는 실질적으로 동시에 반응기 내로 도입되고, 반응기가 화학 증착용으로 구성되는 것을 특징으로 하는 방법
10. The method of claim 9,
Characterized in that the tungsten precursor compound and the reactive gas are introduced into the reactor at substantially the same time, and the reactor is constituted by chemical vapor deposition
제 12항에 있어서,
반응기가 플라즈마 증강 화학 증착용으로 구성되는 것을 특징으로 하는 방법
13. The method of claim 12,
Characterized in that the reactor is constituted by plasma enhanced chemical vapor deposition
제 9항에 있어서, 상기 텅스텐 전구체 화합물 및 상기 반응기체가 실질적으로 동시에 반응기 내로 도입되고, 반응기가 원자층 증착용으로 구성되는 것을 특징으로 하는 방법The method of claim 9, wherein the tungsten precursor compound and the reactive gas are introduced into the reactor at substantially the same time, and wherein the reactor is configured to deposit an atomic layer. 제 14항에 있어서,
반응기가 플라즈마 증강 화학적 증착용으로 구성되는 것을 특징으로 하는 방법
15. The method of claim 14,
Characterized in that the reactor is constituted by a plasma enhanced chemical vapor deposition
삭제delete 삭제delete 제 7항에 있어서,
상기 Ra, Rb, Rc, Rd, Re은 각각 독립적으로 메틸, 에틸, 이소-프로필, 트리플로로메틸에서 선택되는 텅스텐 전구체 화합물인 것을 특징으로 하는 방법
8. The method of claim 7,
Wherein R a , R b , R c , R d and R e are each independently a tungsten precursor compound selected from methyl, ethyl, iso-propyl,
제 7항에 있어서,
상기 n은 2의 정수를 나타내는 것을 특징으로하는 방법
8. The method of claim 7,
Wherein n represents an integer of 2
KR1020150000235A 2015-01-02 2015-01-02 Tungsten precursors and the method for depositing tungsten-containg films KR101546319B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150000235A KR101546319B1 (en) 2015-01-02 2015-01-02 Tungsten precursors and the method for depositing tungsten-containg films

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150000235A KR101546319B1 (en) 2015-01-02 2015-01-02 Tungsten precursors and the method for depositing tungsten-containg films

Publications (1)

Publication Number Publication Date
KR101546319B1 true KR101546319B1 (en) 2015-08-24

Family

ID=54061638

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150000235A KR101546319B1 (en) 2015-01-02 2015-01-02 Tungsten precursors and the method for depositing tungsten-containg films

Country Status (1)

Country Link
KR (1) KR101546319B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361118B2 (en) 2016-10-07 2019-07-23 Samsung Electronics Co., Ltd. Organometallic precursors, methods of forming a layer using the same and methods of manufacturing semiconductor devices using the same
US10900119B2 (en) 2017-06-15 2021-01-26 Samsung Electronics Co., Ltd. Tungsten precursor and method of forming Tungsten containing layer using the same
CN114836729A (en) * 2022-05-17 2022-08-02 合肥安德科铭半导体科技有限公司 WCN film deposition method with adjustable work function

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361118B2 (en) 2016-10-07 2019-07-23 Samsung Electronics Co., Ltd. Organometallic precursors, methods of forming a layer using the same and methods of manufacturing semiconductor devices using the same
US11062940B2 (en) 2016-10-07 2021-07-13 Samsung Electronics Co., Ltd. Organometallic precursors, methods of forming a layer using the same and methods of manufacturing semiconductor devices using the same
US10900119B2 (en) 2017-06-15 2021-01-26 Samsung Electronics Co., Ltd. Tungsten precursor and method of forming Tungsten containing layer using the same
CN114836729A (en) * 2022-05-17 2022-08-02 合肥安德科铭半导体科技有限公司 WCN film deposition method with adjustable work function

Similar Documents

Publication Publication Date Title
KR101602984B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
KR102443752B1 (en) Group 6 film forming compositions for vapor deposition of group 6 transition metal-containing films
TWI579292B (en) Organosilane precursors for ald/cvd silicon-containing film applications
US20160314962A1 (en) Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same
KR20140067147A (en) Tungsten diazabutadiene precursors, their synthesis, and their use for tungsten containing film depositions
US9416443B2 (en) Method for the deposition of a ruthenium containing film using arene diazadiene ruthenium(0) precursors
KR20140085461A (en) Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing film depositions
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US9777373B2 (en) Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US20170298511A1 (en) Niobium-containing film forming compositions and vapor deposition of niobium-containing films
US10618925B2 (en) Tungsten precursor
TWI776823B (en) Metal complexes containing cyclopentadienyl ligands and method of forming metal-containing film
KR102181249B1 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
KR20140116852A (en) Nickel allyl amidinate precursors for deposition of nickel-containing films
WO2013015947A2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
KR101546319B1 (en) Tungsten precursors and the method for depositing tungsten-containg films
KR20190040354A (en) Metal complexes containing allyl ligands
KR102653070B1 (en) Manganese-containing film-forming compositions, synthesis thereof, and use in film deposition
WO2021127467A1 (en) Group v element-containing film forming compositions and vapor deposition of group v element-containing film
US9518075B2 (en) Group 5 cyclopentadienyl transition metal-containing precursors for deposition of group 5 transition metal-containing films
US20150368282A1 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US10570513B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102557277B1 (en) Rare earth precursors, preparation method thereof and process for the formation of thin films using the same
KR20230048755A (en) Group 5 metal compounds, precursor compositions including the same, and process for the formation of thin films using the same
US9738971B2 (en) Vapor deposition methods to form group 8-containing films

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180626

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190520

Year of fee payment: 5