KR20190132537A - Self-Stop Polishing Compositions and Methods for Bulk Oxide Flattening - Google Patents

Self-Stop Polishing Compositions and Methods for Bulk Oxide Flattening Download PDF

Info

Publication number
KR20190132537A
KR20190132537A KR1020197033492A KR20197033492A KR20190132537A KR 20190132537 A KR20190132537 A KR 20190132537A KR 1020197033492 A KR1020197033492 A KR 1020197033492A KR 20197033492 A KR20197033492 A KR 20197033492A KR 20190132537 A KR20190132537 A KR 20190132537A
Authority
KR
South Korea
Prior art keywords
polishing composition
polishing
substrate
acid
self
Prior art date
Application number
KR1020197033492A
Other languages
Korean (ko)
Inventor
알렉산더 더블류. 하인즈
주연 장
티나 씨. 리
비에트 람
지 퀴
사라 브로스난
철우 남
Original Assignee
캐보트 마이크로일렉트로닉스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐보트 마이크로일렉트로닉스 코포레이션 filed Critical 캐보트 마이크로일렉트로닉스 코포레이션
Publication of KR20190132537A publication Critical patent/KR20190132537A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching

Abstract

본 발명은 연마제, 자기-정지제, 수성 캐리어, 및 임의로 양이온성 중합체를 포함하는 화학-기계적 연마 조성물을 제공하고, 기판의 연마에 적합한 방법을 제공한다.The present invention provides a chemical-mechanical polishing composition comprising an abrasive, a self-stopping agent, an aqueous carrier, and optionally a cationic polymer, and provides a method suitable for polishing a substrate.

Description

벌크 산화물 평탄화를 위한 자기-정지 연마 조성물 및 방법Self-Stop Polishing Compositions and Methods for Bulk Oxide Flattening

집적 회로 및 다른 전자 장치의 제작에서 다층의 도체, 반도체, 및 유전체 물질은 기판 표면 상에 침착되거나 또는 그로부터 제거된다. 물질의 층들이 기판 상에 순차적으로 침착되고 그로부터 제거되므로, 기판의 최상 표면은 비평면형이 되어 평탄화를 필요로 할 수 있다. 표면을 평탄화하거나, 또는 표면을 "연마"하는 것은, 물질이 기판의 표면으로부터 제거되어 대체로 평평한, 평면형 표면을 형성하는 공정이다. 평탄화는 원하지 않는 표면 토포그래피 및 표면 결함, 예컨대 거친 표면, 응집된 물질, 결정 격자 손상, 스크래치, 및 오염된 층 또는 물질을 제거하는데 유용하다. 평탄화는 또한 특징부 (feature)를 충전시키고 금속화 및 가공의 후속 수준을 위한 평평한 표면을 제공하기 위해 사용된 과량의 침착된 물질을 제거함으로써 기판 상에 특징부를 형성하는데 유용하다.In the fabrication of integrated circuits and other electronic devices, multilayer conductors, semiconductors, and dielectric materials are deposited on or removed from the substrate surface. As layers of material are sequentially deposited on and removed from the substrate, the top surface of the substrate may be non-planar and require planarization. Planarizing or "polishing" the surface is a process by which material is removed from the surface of the substrate to form a generally flat, planar surface. Planarization is useful for removing unwanted surface topography and surface defects such as rough surfaces, aggregated material, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful for forming features on substrates by removing excess deposited material used to fill features and provide a flat surface for subsequent levels of metallization and processing.

기판의 표면을 평탄화하거나 연마하기 위한 조성물 및 방법은 관련 기술분야에 널리 공지되어 있다. 화학 기계적 평탄화 또는 화학 기계적 연마 (CMP)는 기판을 평탄화하기 위해 사용되는 통상적 기법이다. CMP는 기판으로부터 선택적으로 물질을 제거하기 위해, CMP 조성물 또는 더욱 간단히 연마 조성물 (또한 연마 슬러리로 지칭됨)로 공지된 화학적 조성물을 이용한다. 연마 조성물은 전형적으로는 기판의 표면과 연마 조성물로 포화된 연마 패드 (예를 들어, 연마용 천 또는 연마 디스크)를 접촉시킴으로써 기판에 시용된다. 기판의 연마는, 연마 조성물의 화학적 작용 및/또는 연마 조성물 중에 현탁된 또는 연마 패드 (예를 들어, 고정된 연마제 연마 패드) 내에 혼입된 연마제의 기계적 작용에 의해 전형적으로 추가로 보조된다.Compositions and methods for planarizing or polishing the surface of a substrate are well known in the art. Chemical mechanical planarization or chemical mechanical polishing (CMP) is a common technique used to planarize a substrate. CMP utilizes a chemical composition known as a CMP composition or more simply a polishing composition (also referred to as a polishing slurry) to selectively remove material from the substrate. The polishing composition is typically applied to the substrate by contacting the surface of the substrate with a polishing pad (eg, polishing cloth or polishing disk) saturated with the polishing composition. Polishing of the substrate is typically further assisted by the chemical action of the polishing composition and / or the mechanical action of the abrasive suspended in the polishing composition or incorporated into the polishing pad (eg, a fixed abrasive polishing pad).

집적 회로의 크기가 감소되고 칩 상의 집적 회로의 수가 증가하므로, 회로를 구성하는 부품은 전형적인 칩 상에서 이용가능한 제한된 공간에 순응하기 위해 서로 더 근접하게 배치되어야 한다. 회로 간의 효율적인 격리는 최적의 반도체 성능을 보장하는데 있어서 중요하다. 그 목적을 위해, 얕은 트렌치가 반도체 기판 내로 에칭되고 절연 물질로 충전되어 집적 회로의 활성 영역을 격리시킨다. 더욱 특히, 얕은 트렌치 격리 (STI)는 질화규소 층이 규소 기판 상에 형성되고, 얕은 트렌치가 에칭 또는 포토리소그래피를 통해 형성되고, 유전체 층이 침착되어 트렌치를 충전시키는 공정이다. 이 방식으로 형성된 트렌치 깊이의 변화로 인해, 전형적으로 모든 트렌치의 완전한 충전을 보장하기 위해 기판의 상부 상에 과량의 유전체 물질을 침착시키는 것이 필요하다. 유전체 물질 (예를 들어, 산화규소)은 기판의 밑에 있는 토포그래피에 따른다.As the size of integrated circuits decreases and the number of integrated circuits on a chip increases, the components that make up the circuit must be placed closer to each other to accommodate the limited space available on a typical chip. Efficient isolation between circuits is important to ensure optimal semiconductor performance. For that purpose, shallow trenches are etched into the semiconductor substrate and filled with insulating material to isolate the active regions of the integrated circuit. More particularly, shallow trench isolation (STI) is a process in which a silicon nitride layer is formed on a silicon substrate, shallow trenches are formed through etching or photolithography, and a dielectric layer is deposited to fill the trench. Due to the change in trench depth formed in this manner, it is typically necessary to deposit excess dielectric material on top of the substrate to ensure complete filling of all trenches. Dielectric material (eg, silicon oxide) depends on the topography underneath the substrate.

따라서, 유전체 물질이 배치된 후에, 침착된 유전체 물질의 표면은 유전체 물질 내의 트렌치에 의해 분리된 유전체 물질의 상승된 영역의 불균일한 조합을 특징으로 하며, 유전체 물질의 상승된 영역 및 트렌치는 밑에 있는 표면의 상응하는 상승된 영역 및 트렌치와 정렬된다. 상승된 유전체 물질 및 트렌치를 포함하는 기판 표면의 영역은 기판의 패턴 필드, 예를 들어 "패턴 물질", "패턴 산화물" 또는 "패턴 유전체"로서 지칭된다. 패턴 필드는 트렌치 높이에 대한 유전체 물질의 상승된 영역의 높이의 차이인 "단차"에 의해 특징지어진다.Thus, after the dielectric material is disposed, the surface of the deposited dielectric material is characterized by an uneven combination of raised areas of the dielectric material separated by trenches in the dielectric material, wherein the raised areas and trenches of the dielectric material Aligned with the corresponding raised regions and trenches of the surface. The area of the substrate surface including the elevated dielectric material and trenches is referred to as the pattern field of the substrate, for example "pattern material", "pattern oxide" or "pattern dielectric". The pattern field is characterized by a "step" which is the difference in the height of the raised region of the dielectric material with respect to the trench height.

과량의 유전체 물질은 전형적으로 CMP 공정에 의해 제거되고, 이는 추가 공정을 위해 평면형 표면을 추가적으로 제공한다. 상승된 영역 물질의 제거 동안, 트렌치로부터의 소정량의 물질이 또한 제거될 것이다. 트렌치로부터 물질의 이러한 제거는 "트렌치 부식" 또는 "트렌치 손실"로 지칭된다. 트렌치 손실은 초기 단차를 제거함으로써 패턴 유전체 물질의 평탄화를 달성하는데 있어서 트렌치로부터 제거된 물질의 양 (두께, 예를 들어 옹스트롬 (Å)으로)이다. 트렌치 손실은 최종 트렌치 두께를 뺀 초기 트렌치 두께로서 계산하였다. 바람직하게는, 트렌치로부터의 물질 제거 속도는 상승된 영역으로부터의 제거 속도보다 훨씬 낮다. 따라서, 상승된 영역의 물질이 제거될 때 (트렌치로부터 제거되는 물질과 비교하여 더 빠른 속도로), 패턴 유전체는 가공된 기판 표면의 "블랭킷" 영역, 예를 들어 "블랭킷 유전체" 또는 "블랭킷 산화물"로 지칭될 수 있는 고도로 평탄화된 표면이 된다.Excess dielectric material is typically removed by a CMP process, which additionally provides a planar surface for further processing. During removal of the elevated region material, a certain amount of material from the trench will also be removed. This removal of material from the trench is referred to as "trench corrosion" or "trench loss". Trench loss is the amount of material removed from the trench (in thickness, eg, angstroms) in achieving planarization of the pattern dielectric material by removing the initial step. Trench loss was calculated as the initial trench thickness minus the final trench thickness. Preferably, the rate of material removal from the trench is much lower than the rate of removal from the raised area. Thus, when the material in the elevated region is removed (at a faster rate compared to the material removed from the trench), the pattern dielectric is in the "blanket" region of the processed substrate surface, for example "blanket dielectric" or "blanket oxide." A highly planarized surface, which may be referred to as ".

연마 조성물은 그의 연마 속도 (즉, 제거 속도) 및 그의 평탄화 효율에 따라 특징지어질 수 있다. 연마 속도는 기판의 표면으로부터의 물질의 제거 속도를 지칭하며, 통상적으로 시간의 단위 당 (예를 들어 분당) 길이의 단위 (두께, 예를 들어 옹스트롬 (Å)으로)로서 표현된다. 기판의 상이한 영역, 또는 연마 단계의 상이한 단계에 관련된 상이한 제거 속도가 공정 성능을 평가하는데 있어서 중요할 수 있다. "패턴 제거 속도"는 공정의 단계에서 패턴 유전체 층의 상승된 영역으로부터의 유전체 물질의 제거 속도이며, 그 동안 기판은 실질적인 단차를 나타낸다. "블랭킷 제거 속도"는 단차가 상당히 (실질적으로 전체적으로) 감소된 경우, 연마 단계의 단부에서 패턴 유전체 층의 평탄화된 (즉, "블랭킷") 영역으로부터의 유전체 물질의 제거 속도를 지칭한다. 평탄화 효율은 기판으로부터 제거된 물질의 양에 대한 단차 감소 (즉, 단차 감소를 트렌치 손실로 나눈 것)에 관한 것이다. 구체적으로, 연마 표면, 예를 들어 연마 패드는 먼저 표면의 "고점"과 접촉하고, 평면 표면을 형성하기 위해 물질을 제거해야 한다. 물질을 덜 제거하면서 평면형 표면이 달성되는 공정은 평면형을 달성하는데 더 많은 물질의 제거를 필요로 하는 공정보다 더 효율적인 것으로 여겨진다.The polishing composition may be characterized according to its polishing rate (ie, removal rate) and its planarization efficiency. Polishing rate refers to the rate of removal of material from the surface of a substrate and is usually expressed as a unit of length (eg per minute) in length (eg per minute). Different removal rates associated with different areas of the substrate, or different steps of the polishing step, may be important in evaluating process performance. The "pattern removal rate" is the rate of removal of the dielectric material from the elevated region of the patterned dielectric layer at the stage of the process, during which the substrate exhibits a substantial step. "Blanket removal rate" refers to the rate of removal of dielectric material from the planarized (ie, "blanket") region of the patterned dielectric layer when the step is significantly (substantially overall) reduced. Planarization efficiency relates to step reduction (ie step reduction divided by trench loss) relative to the amount of material removed from the substrate. Specifically, the polishing surface, for example the polishing pad, must first contact the "high point" of the surface and remove the material to form a planar surface. Processes where a planar surface is achieved with less material removal are believed to be more efficient than processes requiring more material removal to achieve planarity.

흔히 산화규소 패턴 물질의 제거 속도는 STI 공정 중의 유전체 연마 단계에 대해 속도-제한적일 수 있고, 따라서 산화규소 패턴의 높은 제거 속도가 장치 처리량을 높이는데 바람직하다. 그러나, 블랭킷 제거 속도가 너무 빠른 경우, 노출된 트렌치에서의 산화물의 과연마는 트렌치 침식 및 증가된 장치 결함성을 초래한다. 과연마 및 연관된 트렌치 손실은 블랭킷 제거 속도가 낮아지는 경우 회피될 수 있다.Often the removal rate of silicon oxide pattern material can be rate-limiting for the dielectric polishing step during the STI process, so high removal rates of silicon oxide pattern are desirable to increase device throughput. However, if the blanket removal rate is too fast, overpolishing of oxides in the exposed trenches results in trench erosion and increased device defects. Overpolishing and associated trench loss can be avoided when the blanket removal rate is lowered.

특정 연마 적용에서 CMP 조성물은 표면의 "고점" (즉, 상승된 영역)의 큰 비율이 제거된 경우 제거 속도가 감소하는 "자기-정지" 거동을 나타내는 것이 바람직하다. 자기-정지 연마 적용에서, 기판 표면에 상당한 단차가 존재하는 동안은 제거 속도가 효과적으로 높고, 이어서 표면이 효과적으로 평면이 됨에 따라 제거 속도는 저하된다. 다양한 유전체 연마 단계 (예를 들어, STI 공정의 것)에서, 패턴 유전체 물질 (예를 들어, 유전체 층)의 제거 속도는 전형적으로 전체 공정의 속도-제한 인자이다. 따라서, 패턴 유전체 물질의 높은 제거 속도가 처리량을 증가시키는데 바람직하다. 비교적 낮은 트렌치 손실의 형태의 우수한 효율이 또한 바람직하다. 또한, 평탄화를 달성한 후에 유전체의 제거 속도가 높게 유지되면, 과연마가 발생하여 트렌치 손실이 부가된다.In certain polishing applications, the CMP composition preferably exhibits a “self-stop” behavior where the removal rate decreases when a large percentage of the “high point” (ie, elevated area) of the surface is removed. In self-stop polishing applications, while there is a significant step on the substrate surface, the removal rate is effectively high, then the removal rate is lowered as the surface becomes effectively planar. In various dielectric polishing steps (eg, of the STI process), the removal rate of the patterned dielectric material (eg, dielectric layer) is typically a speed-limiting factor of the overall process. Thus, high removal rates of pattern dielectric material are desirable to increase throughput. Good efficiency in the form of relatively low trench losses is also desirable. In addition, if the removal rate of the dielectric remains high after achieving planarization, overpolishing occurs and a trench loss is added.

자기-정지 슬러리의 이점은 감소된 블랭킷 제거 속도로부터 초래되며, 이는 넓은 종점 윈도우를 생성한다. 예를 들어, 자기-정지 거동은 감소된 유전체 필름 두께를 갖는 기판의 연마를 가능하게 하여 구조화된 하부 층 상에 감소된 양의 물질이 침착되도록 한다. 또한, 모터 토크 종점 검출이 최종 토포그래피의 보다 효과적인 모니터링을 위해 사용될 수 있다. 기판은 평탄화 후 유전체의 과연마 또는 불필요한 제거를 방지함으로써 보다 낮은 트렌치 손실 하에 연마될 수 있다.The benefit of the self-stopping slurry comes from the reduced blanket removal rate, which creates a wide end window. For example, self-stop behavior allows polishing of substrates having a reduced dielectric film thickness, allowing a reduced amount of material to be deposited on the structured underlying layer. In addition, motor torque endpoint detection can be used for more effective monitoring of the final topography. The substrate can be polished under lower trench losses by preventing over-polishing or unnecessary removal of the dielectric after planarization.

자기-정지 CMP 조성물은 현재 세리아/음이온성 고분자전해질 시스템에 기반하여 개발되었다. 예를 들어, 미국 특허 출원 공보 2008/0121839는 무기 연마제, 폴리아크릴산/말레산 공중합체 및 제미니 계면활성제를 포함하는 연마 조성물이 개시한다. 한국 특허 번호 10-1524624는 세리아, 카르복실산 및 혼합된 아민 화합물을 포함하는 연마 조성물 (영문 초록)을 개시한다. 국제 특허 출원 공개 번호 WO2006/115393은 세리아, 히드록시카르복실산 및 아미노 알콜을 포함하는 연마 조성물을 개시한다. 그러나, 반도체 장치의 구조가 보다 복잡해짐에 따라, 특히 NAND 기술이 2D에서 3D로 이동함에 따라, 음이온성 중합체의 사용으로 인해 현재의 자기-정지 CMP 조성물은 연마제와 산화규소 표면 사이의 정전기적 반발에 의해 야기되는 제한된 단차 감소 속도에 의해 문제가 되고 있다.Self-stopping CMP compositions have now been developed based on ceria / anionic polyelectrolyte systems. For example, US patent application publication 2008/0121839 discloses a polishing composition comprising an inorganic abrasive, a polyacrylic acid / maleic acid copolymer, and a gemini surfactant. Korean Patent No. 10-1524624 discloses a polishing composition (English abstract) comprising ceria, carboxylic acid and mixed amine compound. International Patent Application Publication No. WO2006 / 115393 discloses a polishing composition comprising ceria, hydroxycarboxylic acid and amino alcohol. However, as the structure of semiconductor devices becomes more complex, especially as the NAND technology moves from 2D to 3D, current self-stopping CMP compositions due to the use of anionic polymers result in electrostatic repulsion between the abrasive and the silicon oxide surface. This is a problem due to the limited step reduction rate caused by.

유용한 제거 속도를 제공하면서 개선된 평탄화 효율을 또한 제공할 산화규소-함유 기판의 화학-기계적 연마를 위한 조성물 및 방법에 대한 필요성이 남아 있다. 본 발명은 그러한 연마 조성물 및 방법을 제공한다. 본 발명의 상기 및 다른 이점 뿐만 아니라 추가적인 발명의 특징은 본원에 제공된 본 발명의 설명으로부터 명백할 것이다.There remains a need for compositions and methods for chemical-mechanical polishing of silicon oxide-containing substrates that will also provide improved planarization efficiency while providing useful removal rates. The present invention provides such polishing compositions and methods. The above and other advantages of the present invention as well as additional inventive features will be apparent from the description of the invention provided herein.

본 발명은 연마제, 자기-정지제, 수성 캐리어, 및 임의로 양이온성 화합물을 포함하는 화학-기계적 연마 조성물, 및 본 발명의 연마 조성물을 사용하여 기판을 연마하기에 적합한 방법을 제공한다.The present invention provides a chemical-mechanical polishing composition comprising an abrasive, a self-stopping agent, an aqueous carrier, and optionally a cationic compound, and a method suitable for polishing a substrate using the polishing composition of the present invention.

보다 구체적으로, 본 발명은 (a) 연마제, (b) 화학식 Q-B의 자기-정지제 (여기서 Q는 치환 또는 비치환된 소수성 기, 또는 입체 장애를 부여하는 기이고, B는 결합 기이고, 여기서 결합 기는 구조; C(O)-X-OH 또는 -C(O)-OH를 가지며, 여기서 X는 C1-C2 알킬기임), 및 (c) 수성 캐리어를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공한다.More specifically, the invention relates to (a) an abrasive, (b) a self-stopping agent of formula QB wherein Q is a substituted or unsubstituted hydrophobic group, or a group imparting steric hindrance, and B is a bonding group, wherein A bonding group has a structure; C (O) —X—OH or —C (O) —OH, wherein X is a C 1 -C 2 alkyl group), and (c) an aqueous carrier, and has a pH of about 3 to about 9 It provides a chemical-mechanical polishing composition having a.

또한, 본 발명은 (a) 세리아를 포함하는 연마제, (b) 코지산 (5-히드록시-2-(히드록시메틸)-4H-피란-4-온), 크로톤산 ((E)-2-부텐산), 티글산 ((2E)-2-메틸부트-2-엔산), 발레르산 (펜탄산), 2-펜텐산, 말톨 (3-히드록시-2-메틸-4H-피란-4-온), 벤조산, 3,4-디히드록시벤조산, 3,5-디히드록시벤조산, 카페인산, 에틸 말톨, 소르브산칼륨, 소르브산, 및 그의 조합으로부터 선택된 자기-정지제, 및 (c) 수성 캐리어를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공한다.The present invention also provides an abrasive comprising (a) ceria, (b) kojic acid (5-hydroxy-2- (hydroxymethyl) -4H-pyran-4-one), crotonic acid ((E) -2 Butenoic acid), tiglic acid ((2E) -2-methylbut-2-enoic acid), valeric acid (pentanoic acid), 2-pentenoic acid, maltol (3-hydroxy-2-methyl-4H-pyran-4 -One), a self-terminating agent selected from benzoic acid, 3,4-dihydroxybenzoic acid, 3,5-dihydroxybenzoic acid, caffeic acid, ethyl maltol, potassium sorbate, sorbic acid, and combinations thereof, and (c ) And a chemical-mechanical polishing composition comprising an aqueous carrier and having a pH of about 3 to about 9.

또한, 본 발명은 (a) 세리아를 포함하는 연마제, (b) 화학식 (I)의 화합물, 화학식 (II)의 화합물, 화학식 (III)의 화합물, 화학식 (IV)의 화합물, 및 그의 조합으로부터 선택된 자기-정지제, (c) 임의로 양이온성 중합체 및 (d) 수성 캐리어를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공한다.The present invention is also selected from (a) an abrasive comprising ceria, (b) a compound of formula (I), a compound of formula (II), a compound of formula (III), a compound of formula (IV), and combinations thereof A chemical-mechanical polishing composition comprising a self-stopping agent, (c) optionally a cationic polymer and (d) an aqueous carrier, having a pH of about 3 to about 9.

Figure pct00001
Figure pct00001

여기서, R은 수소, 알킬, 시클로알킬, 아릴, 헤테로시클릭 알킬, 및 헤테로시클릭 아릴로 이루어진 군으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있고;Wherein R is selected from the group consisting of hydrogen, alkyl, cycloalkyl, aryl, heterocyclic alkyl, and heterocyclic aryl, each of which may be substituted or unsubstituted;

Figure pct00002
Figure pct00002

여기서 각각의 X1-X3은 독립적으로 N, O, S, sp2-혼성화 탄소, 및 CY1Y2로부터 선택되고, 여기서 각각의 Y1 및 Y2는 독립적으로 수소, 히드록실, C1-C6 알킬, 할로겐, 및 그의 조합으로부터 선택되고, 각각의 Z1-Z3은 독립적으로 수소, 히드록실, C1-C6 알킬, 및 그의 조합으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있고;Wherein each X 1 -X 3 is independently selected from N, O, S, sp 2 -hybridized carbon, and CY 1 Y 2 , wherein each Y 1 and Y 2 are independently hydrogen, hydroxyl, C 1 -C 6 alkyl, halogen, and combinations thereof, each Z 1 -Z 3 is independently selected from hydrogen, hydroxyl, C 1 -C 6 alkyl, and combinations thereof, each of which is substituted or unsubstituted Can be;

Figure pct00003
Figure pct00003

여기서 Z는 C1-C6 알킬, C1-C6 알케닐, C1-C6 알키닐, 및 아릴 (예를 들어, 페닐, 벤질, 나프틸, 아줄렌, 안트라센, 피렌 등)로부터 선택되고, X1 및 X2는 독립적으로 수소, 히드록시, 아미노, 및 C1-C6 알킬로부터 선택되고, 여기서 X1 및 X2는 부착된 탄소와 함께 sp2-혼성화 탄소를 형성할 수 있고, n은 1 또는 2이고, p는 0-4이고, M은 수소 및 적합한 반대이온 (예를 들어, I족 금속)으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있고;Wherein Z is selected from C 1 -C 6 alkyl, C 1 -C 6 alkenyl, C 1 -C 6 alkynyl, and aryl (eg, phenyl, benzyl, naphthyl, azulene, anthracene, pyrene, etc.) And X 1 and X 2 are independently selected from hydrogen, hydroxy, amino, and C 1 -C 6 alkyl, wherein X 1 and X 2 together with the attached carbon can form sp 2 -hybridized carbon; , n is 1 or 2, p is 0-4, M is selected from hydrogen and a suitable counterion (eg, Group I metal), each of which may be substituted or unsubstituted;

Figure pct00004
Figure pct00004

여기서 X, Y 및 Z는 독립적으로 H, O, S, NH 및 CH2로부터 선택되고, R1, R2 및 R3은 독립적으로 H, 알킬, 알케닐, 알키닐, 아릴, 할로 및 할로알킬로부터 선택되고, M은 수소 및 적합한 반대이온으로부터 선택된다.Wherein X, Y and Z are independently selected from H, O, S, NH and CH 2 , and R 1 , R 2 and R 3 are independently H, alkyl, alkenyl, alkynyl, aryl, halo and haloalkyl And M is selected from hydrogen and a suitable counterion.

본 발명은 추가로, (i) 기판의 표면 상에, 유전체 물질의 상승된 영역 (예를 들어, 활성 영역 대 주변부 영역)을 포함하는 패턴 유전체 층을 포함하는 기판을 제공하는 것 (여기서 패턴 유전체 층의 초기 단차는 산화물 두께 범위 (예를 들어, 활성부 대 주변부)를 기재하는 것임), (iii) 본원에 기재된 화학-기계적 연마 조성물을 제공하는 것, (iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것, (v) 연마 패드 및 화학-기계적 연마 조성물을 기판에 대해 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것을 포함하며, 기판을 화학-기계적으로 연마하는 방법을 제공한다.The present invention further provides (i) a substrate comprising a patterned dielectric layer on the surface of the substrate, the patterned dielectric layer comprising a raised region (eg, an active area to a peripheral area) of a dielectric material, wherein the patterned dielectric The initial step of the layer is one describing the oxide thickness range (eg, active versus peripheral), (iii) providing the chemical-mechanical polishing composition described herein, (iv) polishing the substrate with a polishing pad and chemical- Contacting the mechanical polishing composition, (v) moving the polishing pad and the chemical-mechanical polishing composition relative to the substrate to polish at least a portion of the patterned dielectric layer on the surface of the substrate to polish the substrate. Provides a method of mechanically polishing.

도 1 (크기는 조정되지 않음)은 활성 영역, 트렌치 영역, 단차 및 트렌치 손실을 예시하기 위한 실시예 기판의 단면도를 도시한다.
도 2는 본 발명의 연마 조성물의 피치 폭 및 패턴 밀도에 따른 기판의 연마 성능을 나타낸다.
1 (unscaled) shows a cross-sectional view of an example substrate to illustrate active regions, trench regions, steps and trench losses.
Figure 2 shows the polishing performance of the substrate according to the pitch width and pattern density of the polishing composition of the present invention.

본 발명은 (a) 연마제, (b) 화학식 Q-B의 자기-정지제 (여기서 Q는 치환 또는 비치환된 소수성 기, 또는 입체 장애를 부여하는 기이고, B는 결합 기이고, 여기서 결합 기는 구조; C(O)-X-OH 또는 -C(O)-OH를 가지며, 여기서 X는 C1-C2 알킬기임), 및 (c) 수성 캐리어를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공한다.The present invention relates to (a) an abrasive, (b) a self-stopping agent of formula QB wherein Q is a substituted or unsubstituted hydrophobic group, or a group imparting steric hindrance, B is a bonding group, wherein the bonding group is a structure; C (O) —X—OH or —C (O) —OH, wherein X is a C 1 -C 2 alkyl group), and (c) an aqueous carrier and having a pH of about 3 to about 9 Provide a mechanical polishing composition.

본 발명의 연마 조성물은 연마제를 포함한다. 연마 조성물의 연마제는 바람직하게는 기판의 비금속 부분 (예를 들어, 패턴 유전체 물질, 블랭킷 유전체 물질, 패턴 산화물 물질, 블랭킷 산화물 물질 등)을 연마하는데 적합하다. 적합한 연마제는 세리아 (예를 들어, CeO2), 지르코니아 (예를 들어, ZrO2), 실리카 (예를 들어, SiO2), 및 그의 조합이 포함된다.The polishing composition of the present invention comprises an abrasive. The abrasive of the polishing composition is preferably suitable for polishing nonmetallic portions of the substrate (eg, pattern dielectric material, blanket dielectric material, pattern oxide material, blanket oxide material, etc.). Suitable abrasives include ceria (eg CeO 2 ), zirconia (eg ZrO 2 ), silica (eg SiO 2 ), and combinations thereof.

바람직한 실시양태에서, 연마제는 세리아, 지르코니아 및 그의 조합으로부터 선택된다. 또 다른 바람직한 실시양태에서, 연마제는 세리아이다.In a preferred embodiment, the abrasive is selected from ceria, zirconia and combinations thereof. In another preferred embodiment, the abrasive is ceria.

세리아 연마제 및 지르코니아 연마제 둘 다 CMP 분야에 널리 공지되어 있고, 상업적으로 입수가능하다. 적합한 세리아 연마제의 예는 특히 습식 가공 세리아, 하소된 세리아, 및 금속-도핑된 세리아를 포함한다. 적합한 지르코니아 연마제의 예는 특히 금속-도핑 지르코니아 및 비금속-도핑된 지르코니아를 포함한다. 금속 도핑된 지르코니아 중에는 바람직하게는 0.1-25% 범위의 도펀트 원소 중량 백분율을 갖는 세륨-, 칼슘-, 마그네슘-, 또는 이트륨-도핑된 지르코니아가 있다.Both ceria and zirconia abrasives are well known in the CMP art and are commercially available. Examples of suitable ceria abrasives include in particular wet processed ceria, calcined ceria, and metal-doped ceria. Examples of suitable zirconia abrasives include in particular metal-doped zirconia and nonmetal-doped zirconia. Among the metal doped zirconia are cerium-, calcium-, magnesium-, or yttrium-doped zirconia, which preferably has a dopant element weight percentage in the range of 0.1-25%.

본 발명의 연마 조성물에 사용하기에 적합한 세리아 연마제, 및 그의 제조 방법은 표제 "Polishing Composition Containing Ceria Abrasive"의 2015년 3월 5일에 출원된 미국 특허 출원 번호 14/639,564 (현재 미국 특허 번호 9,505,952) 및 표제 "Methods and Compositions for Processing Dielectric Substrate"의 2016년 7월 12일 출원된 미국 특허 출원 번호 15/207,973 (미국 특허 출원 공개 번호 2017/0014969로 공개됨)에 기재되어 있으며, 그의 개시내용은 본원에 참조로 포함된다.Ceria abrasives suitable for use in the polishing compositions of the present invention, and methods for their preparation, are disclosed in US Patent Application No. 14 / 639,564 filed March 5, 2015, entitled "Polishing Composition Containing Ceria Abrasive" (current US Patent No. 9,505,952). And US patent application Ser. No. 15 / 207,973, filed Jul. 12, 2016, entitled "Methods and Compositions for Processing Dielectric Substrate," published herein in U.S. Patent Application Publication No. 2017/0014969. Included by reference.

바람직한 연마제는 습식 가공 세리아 입자이다. 연마 조성물은 크기, 조성물, 제조 방법, 입자 크기 분포, 또는 다른 기계적 또는 물리적 특성을 기초로 한 단일 유형의 연마 입자 또는 다수의 상이한 유형의 연마 입자를 포함할 수 있다. 세리아 연마 입자는 다양한 상이한 공정에 의해 제조될 수 있다. 예를 들어, 세리아 연마제 입자는 콜로이드성 세리아 입자를 포함하는 침전된 세리아 입자 또는 축합-중합된 세리아 입자일 수 있다.Preferred abrasives are wet processed ceria particles. The polishing composition may comprise a single type of abrasive particle or a plurality of different types of abrasive particles based on size, composition, method of manufacture, particle size distribution, or other mechanical or physical properties. Ceria abrasive particles can be prepared by a variety of different processes. For example, the ceria abrasive particles can be precipitated ceria particles or condensation-polymerized ceria particles comprising colloidal ceria particles.

세리아 연마 입자는 임의의 적합한 공정에 의해 제조될 수 있다. 예로서, 세리아 연마제 입자는 하기 공정에 따라 제조된 습식-가공 세리아 입자일 수 있다. 전형적으로, 습식-가공 세리아 입자의 합성에서 제1 단계는 물 중 세리아 전구체를 용해시키는 것이다. 세리아 전구체는 임의의 적합한 세리아 전구체일 수 있고, 임의의 적합한 충전물, 예를 들어 Ce3+ 또는 Ce4+를 갖는 세리아 염을 포함할 수 있다. 적합한 세리아 전구체는 예를 들어 질산세륨 III, 세륨 IV 질산암모늄, 탄산세륨 III, 황산세륨 IV 및 염화세륨 III을 포함한다. 바람직하게는, 세리아 전구체는 질산세륨 III이다.Ceria abrasive particles can be prepared by any suitable process. By way of example, the ceria abrasive particles can be wet-processed ceria particles prepared according to the following process. Typically, the first step in the synthesis of wet-processed ceria particles is to dissolve the ceria precursor in water. The ceria precursor may be any suitable ceria precursor and may include a ceria salt with any suitable filler, for example Ce 3+ or Ce 4+ . Suitable ceria precursors include, for example, cerium nitrate III, cerium IV ammonium nitrate, cerium carbonate III, cerium sulfate IV and cerium chloride III. Preferably, the ceria precursor is cerium nitrate III.

세리아 전구체 용액의 pH는 전형적으로 증가되어 무정형 Ce(OH)3을 형성할 수 있다. 용액의 pH는 임의의 적합한 pH로 증가될 수 있다. 예를 들어 용액의 pH는 약 10 이상의 pH, 약 10.5 이상의 pH, 약 11 이상의 pH, 또는 약 12 이상의 pH로 증가될 수 있다. 전형적으로, 용액은 약 14 이하의 pH, 예를 들어 약 13.5 이하의 pH, 또는 약 13 이하의 pH를 가질 것이다. 임의의 적합한 염기가 사용되어 용액의 pH를 증가시킬 수 있다. 적합한 염기는, 예를 들어 KOH, NaOH, NH4OH, 및 테트라메틸암모늄 히드록시드를 포함한다. 유기 염기, 예컨대 에탄올아민 및 디에탄올아민이 또한 적합하다. pH가 증가함에 따라 용액이 백색이 되어 탁해질 것이고, 무정형 Ce(OH)3가 형성된다.The pH of the ceria precursor solution can typically be increased to form amorphous Ce (OH) 3 . The pH of the solution can be increased to any suitable pH. For example, the pH of the solution can be increased to a pH of at least about 10, a pH of at least about 10.5, a pH of at least about 11, or a pH of at least about 12. Typically, the solution will have a pH of about 14 or less, such as a pH of about 13.5 or less, or a pH of about 13 or less. Any suitable base can be used to increase the pH of the solution. Suitable bases include, for example, KOH, NaOH, NH 4 OH, and tetramethylammonium hydroxide. Organic bases such as ethanolamine and diethanolamine are also suitable. As the pH increases, the solution will become white and turbid, resulting in the formation of amorphous Ce (OH) 3 .

세리아 전구체 용액은 전형적으로 수 시간 동안 혼합된다. 예를 들어, 용액은 약 1시간 이상, 예를 들어 약 2시간 이상, 약 4시간 이상, 약 6시간 이상, 약 8시간 이상, 약 12시간 이상, 약 16시간 이상, 약 20시간 이상, 또는 약 24시간 이상 동안 혼합될 수 있다. 전형적으로, 용액은 약 1시간 내지 약 24시간, 예를 들어 약 2시간, 약 8시간, 또는 약 12시간 동안 혼합된다. 혼합이 완료될 때, 용액을 가압 용기로 옮기고 가열할 수 있다.The ceria precursor solution is typically mixed for several hours. For example, the solution may be at least about 1 hour, for example at least about 2 hours, at least about 4 hours, at least about 6 hours, at least about 8 hours, at least about 12 hours, at least about 16 hours, at least about 20 hours, or May be mixed for at least about 24 hours. Typically, the solution is mixed for about 1 hour to about 24 hours, for example about 2 hours, about 8 hours, or about 12 hours. When mixing is complete, the solution can be transferred to a pressurized vessel and heated.

세리아 전구체 용액은 임의의 적합한 온도로 가열될 수 있다. 예를 들어, 용액은 약 50℃ 이상, 예를 들어 약 75℃ 이상, 약 100℃ 이상, 약 125℃ 이상, 약 150℃ 이상, 약 175℃ 이상, 또는 약 200℃ 이상의 온도로 가열될 수 있다. 대안적으로 또는 추가로, 용액은 약 500℃ 이하, 예를 들어 약 450℃ 이하, 약 400℃ 이하, 약 375℃ 이하, 약 350℃ 이하, 약 300℃ 이하, 약 250℃ 이하, 약 225℃ 이하, 또는 약 200℃ 이하의 온도로 가열될 수 있다. 따라서, 용액은 상기 언급된 종점들 중 임의의 2개로 제한된 범위 내의 온도로 가열될 수 있다. 예를 들어, 용액은 약 50℃ 내지 약 300℃, 예를 들어 약 50℃ 내지 약 275℃, 약 50℃ 내지 약 250℃, 약 50℃ 내지 약 200℃, 약 75℃ 내지 약 300℃, 약 75℃ 내지 약 250℃, 약 75℃ 내지 약 200℃, 약 100℃ 내지 약 300℃, 약 100℃ 내지 약 250℃, 또는 약 100℃ 내지 약 225℃의 온도로 가열될 수 있다.The ceria precursor solution may be heated to any suitable temperature. For example, the solution may be heated to a temperature of at least about 50 ° C., such as at least about 75 ° C., at least about 100 ° C., at least about 125 ° C., at least about 150 ° C., at least about 175 ° C., or at least about 200 ° C. . Alternatively or additionally, the solution may be about 500 ° C. or less, for example about 450 ° C. or less, about 400 ° C. or less, about 375 ° C. or less, about 350 ° C. or less, about 300 ° C. or less, about 250 ° C. or less, about 225 ° C. Or to a temperature of about 200 ° C. or less. Thus, the solution can be heated to a temperature within a range limited to any two of the aforementioned endpoints. For example, the solution may be about 50 ° C. to about 300 ° C., such as about 50 ° C. to about 275 ° C., about 50 ° C. to about 250 ° C., about 50 ° C. to about 200 ° C., about 75 ° C. to about 300 ° C., about Heated to a temperature of 75 ° C to about 250 ° C, about 75 ° C to about 200 ° C, about 100 ° C to about 300 ° C, about 100 ° C to about 250 ° C, or about 100 ° C to about 225 ° C.

세리아 전구체 용액은 전형적으로 수시간 동안 가열된다. 예를 들어, 용액은 약 1시간 이상, 예를 들어 약 5시간 이상, 약 10시간 이상, 약 25시간 이상, 약 50시간 이상, 약 75시간 이상, 약 100시간 이상, 또는 약 110시간 이상 동안 가열될 수 있다. 대안적으로 또는 추가로, 용액은 약 200시간 이하, 예를 들어 약 180시간 이하, 약 165시간 이하, 약 150시간 이하, 약 125시간 이하, 약 115시간 이하, 또는 약 100시간 이하 동안 가열될 수 있다. 따라서, 용액은 상기 언급된 종점들 중 임의의 2개로 제한된 기간 동안 가열될 수 있다. 예를 들어, 용액은 약 1시간 내지 약 150시간, 예를 들어 약 5시간 내지 약 130시간, 약 10시간 내지 약 120시간, 약 15시간 내지 약 115시간, 또는 약 25시간 내지 약 100시간 동안 가열될 수 있다.The ceria precursor solution is typically heated for several hours. For example, the solution may be at least about 1 hour, for example at least about 5 hours, at least about 10 hours, at least about 25 hours, at least about 50 hours, at least about 75 hours, at least about 100 hours, or at least about 110 hours. Can be heated. Alternatively or additionally, the solution may be heated for up to about 200 hours, for example up to about 180 hours, up to about 165 hours, up to about 150 hours, up to about 125 hours, up to about 115 hours, or up to about 100 hours. Can be. Thus, the solution can be heated for a limited period of time to any two of the aforementioned endpoints. For example, the solution may be from about 1 hour to about 150 hours, such as from about 5 hours to about 130 hours, from about 10 hours to about 120 hours, from about 15 hours to about 115 hours, or from about 25 hours to about 100 hours. Can be heated.

가열 후, 세리아 전구체 용액은 여과하여 침전된 세리아 입자를 분리할 수 있다. 침전물을 과량의 물로 세정하여 미반응 세리아 전구체를 제거할 수 있다. 침전물 및 과량의 물의 혼합물은 각각의 세정 단계 후에 여과되어 불순물을 제거할 수 있다. 적절하게 세정하면, 세리아 입자는 추가의 가공, 예를 들어 소결을 위해 건조될 수 있거나, 또는 세리아 입자는 직접 재분산될 수 있다.After heating, the ceria precursor solution may be filtered to separate precipitated ceria particles. The precipitate can be washed with excess water to remove unreacted ceria precursors. The mixture of precipitate and excess water can be filtered after each washing step to remove impurities. If properly cleaned, the ceria particles may be dried for further processing, for example sintering, or the ceria particles may be redispersed directly.

세리아 입자는 임의로 건조되고 재분산 전에 소결될 수 있다. 용어 "소결" 및 "하소"는 하기 기재된 조건 하에 세리아 입자의 가열을 지칭하는데 본원에서 상호교환가능하게 사용된다. 세리아 입자의 소결은 그의 생성된 결정화도에 영향을 미친다. 어떠한 특정한 이론에 얽매이는 것을 원하지는 않지만, 세리아 입자를 고온에서 소결시키고 연장된 기간 동안 입자의 결정 격자 구조의 결함을 감소시키는 것으로 여겨진다. 임의의 적합한 방법은 사용되어 세리아 입자를 소결시킬 수 있다. 예로서, 세리아 입자가 건조될 수 있고, 이어서 승온에서 소결될 수 있다. 건조는 실온에서 또는 승온에서 수행될 수 있다. 특히, 건조는 약 20℃ 내지 약 40℃, 예를 들어 약 25℃, 약 30℃, 또는 약 35℃의 온도에서 수행될 수 있다. 대안적으로 또는 추가로, 건조는 약 80℃ 내지 약 150℃, 예를 들어 약 85℃, 약 100℃, 약 115℃, 약 125℃, 또는 약 140℃의 승온에서 수행될 수 있다. 세리아 입자가 건조된 후에, 이들은 분쇄되어 분말을 생성할 수 있다. 분쇄는 임의의 적합한 분쇄 물질, 예컨대 지르코니아를 사용하여 수행될 수 있다.Ceria particles may optionally be dried and sintered before redispersion. The terms “sinter” and “calcination” are used interchangeably herein to refer to the heating of ceria particles under the conditions described below. Sintering of the ceria particles affects the degree of crystallization thereof produced. While not wishing to be bound by any particular theory, it is believed that the ceria particles are sintered at high temperatures and reduce defects in the crystal lattice structure of the particles for extended periods of time. Any suitable method may be used to sinter the ceria particles. By way of example, the ceria particles may be dried and then sintered at elevated temperature. Drying can be carried out at room temperature or at elevated temperature. In particular, drying may be performed at a temperature of about 20 ° C. to about 40 ° C., for example about 25 ° C., about 30 ° C., or about 35 ° C. Alternatively or additionally, drying may be performed at an elevated temperature of about 80 ° C. to about 150 ° C., for example about 85 ° C., about 100 ° C., about 115 ° C., about 125 ° C., or about 140 ° C. After the ceria particles have dried, they can be ground to produce a powder. Grinding can be performed using any suitable grinding material, such as zirconia.

세리아 입자는 임의의 적합한 오븐에서 및 임의의 적합한 온도에서 소결될 수 있다. 예를 들어, 세리아 입자는 약 200℃ 이상, 예를 들어 약 215℃ 이상, 약 225℃ 이상, 약 250℃ 이상, 약 275℃ 이상, 약 300℃ 이상, 약 350℃ 이상, 또는 약 375℃ 이상의 온도에서 소결될 수 있다. 대안적으로 또는 추가적으로, 세리아 입자는 약 1000℃ 이하, 예를 들어 약 900℃ 이하, 약 750℃ 이하, 약 650℃ 이하, 약 550℃ 이하, 약 500℃ 이하, 약 450℃ 이하, 또는 약 400℃ 이하의 온도에서 소결될 수 있다. 따라서, 세리아 입자는 상기 언급된 종점들 중 임의의 2개로 제한된 온도에서 소결될 수 있다. 예를 들어, 세리아 입자는 약 200℃ 내지 약 1000℃, 예를 들어 약 250℃ 내지 약 800℃, 약 300℃ 내지 약 700℃, 약 325℃ 내지 약 650℃, 약 350℃ 내지 약 600℃, 약 350℃ 내지 약 550℃, 약 400℃ 내지 약 550℃, 약 450℃ 내지 약 800℃, 약 500℃ 내지 약 1000℃, 또는 약 500℃ 내지 약 800℃의 온도에서 소결될 수 있다.The ceria particles may be sintered in any suitable oven and at any suitable temperature. For example, the ceria particles may be at least about 200 ° C., such as at least about 215 ° C., at least about 225 ° C., at least about 250 ° C., at least about 275 ° C., at least about 300 ° C., at least about 350 ° C., or at least about 375 ° C. Can be sintered at a temperature. Alternatively or additionally, the ceria particles may be about 1000 ° C. or less, for example about 900 ° C. or less, about 750 ° C. or less, about 650 ° C. or less, about 550 ° C. or less, about 500 ° C. or less, about 450 ° C. or less, or about 400 It may be sintered at a temperature of not more than ℃. Thus, the ceria particles can be sintered at a temperature limited to any two of the aforementioned endpoints. For example, the ceria particles may be from about 200 ° C. to about 1000 ° C., for example from about 250 ° C. to about 800 ° C., from about 300 ° C. to about 700 ° C., from about 325 ° C. to about 650 ° C., from about 350 ° C. to about 600 ° C., Sintered at a temperature of about 350 ° C. to about 550 ° C., about 400 ° C. to about 550 ° C., about 450 ° C. to about 800 ° C., about 500 ° C. to about 1000 ° C., or about 500 ° C. to about 800 ° C.

세리아 입자는 임의의 적합한 시간 동안 소결될 수 있다. 예를 들어, 세리아 입자는 약 1시간 이상, 예를 들어 약 2시간 이상, 약 5시간 이상, 또는 약 8시간 이상 동안 소결될 수 있다. 대안적으로 또는 추가로, 세리아 입자는 약 20시간 이하, 약 18시간 이하, 약 15시간 이하, 약 12시간 이하, 또는 약 10시간 이하 동안 소결될 수 있다. 따라서, 세리아 입자는 상기 언급된 종점들 중 임의의 2개로 제한된 기간 동안 소결될 수 있다. 예를 들어, 세리아 입자는 약 1시간 내지 약 20시간, 예를 들어 약 1시간 내지 약 15시간, 약 1시간 내지 약 10시간, 약 1시간 내지 약 5시간, 약 5시간 내지 약 20시간, 또는 약 10시간 내지 약 20시간 동안 소결될 수 있다.The ceria particles may be sintered for any suitable time. For example, the ceria particles may be sintered for at least about 1 hour, for example at least about 2 hours, at least about 5 hours, or at least about 8 hours. Alternatively or additionally, the ceria particles may be sintered for up to about 20 hours, up to about 18 hours, up to about 15 hours, up to about 12 hours, or up to about 10 hours. Thus, the ceria particles can be sintered for a limited period of time to any two of the aforementioned endpoints. For example, the ceria particles may be from about 1 hour to about 20 hours, such as from about 1 hour to about 15 hours, from about 1 hour to about 10 hours, from about 1 hour to about 5 hours, from about 5 hours to about 20 hours, Or from about 10 hours to about 20 hours.

세리아 입자는 또한 다양한 온도에서 및 상기 기재된 범위 내에서 다양한 기간 동안 소결될 수 있다. 예를 들어, 세리아 입자는 대역 가열로에서 소결될 수 있고, 이는 세리아 입자를 다양한 기간 동안 1개 이상의 온도에 노출시킨다. 예로서, 세리아 입자는 약 200℃ 내지 약 1000℃의 온도에서 약 1시간 이상 동안 소결될 수 있고, 이어서 약 200℃ 내지 약 1000℃의 범위 내에 있는 상이한 온도에서 약 1시간 이상 동안 소결될 수 있다.Ceria particles may also be sintered at various temperatures and for various periods of time within the ranges described above. For example, the ceria particles can be sintered in a zone furnace, which exposes the ceria particles to one or more temperatures for various periods of time. By way of example, the ceria particles may be sintered for at least about 1 hour at a temperature of about 200 ° C. to about 1000 ° C., followed by at least about 1 hour at different temperatures within the range of about 200 ° C. to about 1000 ° C. .

세리아 입자는 전형적으로 적합한 캐리어, 예를 들어 수성 캐리어, 특히 물에 재분산된다. 세리아 입자가 소결되면, 소결의 완료 후에 세리아 입자가 재분산된다. 임의의 적합한 공정이 사용되어 세리아 입자를 재분산시킬 수 있다. 전형적으로, 세리아 입자는 적합한 산을 사용하여 세리아 입자 및 물의 혼합물의 pH를 저하시킴으로써 재분산된다. pH가 저하됨에 따라, 세리아 입자의 표면은 양이온성 제타 전위를 발생시킨다. 이러한 양이온성 제타 전위는 세리아 입자 사이에 반발력을 생성하며, 이는 그의 재분산을 용이하게 한다. 임의의 적합한 산이 사용되어 혼합물의 pH를 저하시킬 수 있다. 적합한 산은 예를 들어 염산 및 질산을 포함한다. 고도로 수용성이고 친수성 관능기를 갖는 유기 산도 또한 적합하다. 적합한 유기 산은 예를 들어 아세트산을 포함한다. 다가 음이온을 갖는 산, 예컨대 H3PO4및 H2SO4는 일반적으로 바람직하지 않다. 혼합물의 pH는 임의의 적합한 pH로 저하될 수 있다. 예를 들어, 혼합물의 pH는 약 2 내지 약 5, 예를 들어 약 2.5, 약 3, 약 3.5, 약 4, 또는 약 4.5로 저하될 수 있다. 전형적으로, 혼합물의 pH는 약 2 미만으로 저하되지 않는다.Ceria particles are typically redispersed in a suitable carrier, for example an aqueous carrier, in particular water. When the ceria particles are sintered, the ceria particles are redispersed after completion of the sintering. Any suitable process may be used to redisperse the ceria particles. Typically, ceria particles are redispersed by lowering the pH of the mixture of ceria particles and water using a suitable acid. As the pH is lowered, the surface of the ceria particles generates a cationic zeta potential. This cationic zeta potential creates a repulsive force between the ceria particles, which facilitates their redispersion. Any suitable acid can be used to lower the pH of the mixture. Suitable acids include, for example, hydrochloric acid and nitric acid. Organic acids that are highly water soluble and have hydrophilic functional groups are also suitable. Suitable organic acids include, for example, acetic acid. Acids with polyvalent anions such as H 3 PO 4 and H 2 SO 4 are generally not preferred. The pH of the mixture can be lowered to any suitable pH. For example, the pH of the mixture may be lowered to about 2 to about 5, for example about 2.5, about 3, about 3.5, about 4, or about 4.5. Typically, the pH of the mixture does not drop below about 2.

재분산된 세리아 입자는 전형적으로 분쇄되어 그의 입자 크기를 감소시킨다. 바람직하게는, 세리아 입자는 재분산과 동시에 밀링된다. 밀링은 임의의 적합한 밀링 물질, 예컨대 지르코니아를 사용하여 수행될 수 있다. 분쇄는 초음파처리 또는 습식-제트 절차를 사용하여 수행될 수도 있다. 밀링 후에, 세리아 입자는 여과되어 임의의 남아있는 큰 입자를 제거할 수 있다. 예를 들어, 세리아 입자는 약 0.3 μm 이상, 예를 들어 약 0.4 μm 이상, 또는 약 0.5 μm 이상의 기공 크기를 갖는 필터를 사용하여 여과될 수 있다.Redispersed ceria particles are typically comminuted to reduce their particle size. Preferably, the ceria particles are milled simultaneously with redispersion. Milling can be performed using any suitable milling material, such as zirconia. Grinding may be performed using an sonication or wet-jet procedure. After milling, the ceria particles can be filtered to remove any remaining large particles. For example, the ceria particles may be filtered using a filter having a pore size of about 0.3 μm or more, for example about 0.4 μm or more, or about 0.5 μm or more.

연마 입자 (예를 들어, 세리아 연마제 입자)는 바람직하게는 약 40 nm 내지 약 100 nm의 중앙 입자 크기를 갖는다. 입자의 입자 크기는 입자를 포함하는 최소 구의 직경이다. 연마 입자의 입자 크기는 임의의 적합한 기술을 사용하여 측정될 수 있다. 예를 들어, 연마 입자의 입자 크기는 디스크 원심분리기, 즉 차별적 원심 침강 (DCS)에 의해 측정될 수 있다. 적합한 디스크 원심분리 입자 크기 측정 기기는, 예컨대 CPS 인스트루먼츠(CPS Instruments) (루이지애나주 프레리빌)로부터, 예를 들어 CPS 디스크 원심분리 모델 DC24000UHR로부터 상업적으로 입수가능하다. 달리 명시되지 않는 한, 본원에서 보고되고 청구된 중앙 입자 크기 값은 디스크 원심분리 측정을 기초로 한다.The abrasive particles (eg ceria abrasive particles) preferably have a median particle size of about 40 nm to about 100 nm. The particle size of a particle is the diameter of the smallest sphere that contains the particle. The particle size of the abrasive particles can be measured using any suitable technique. For example, the particle size of the abrasive particles can be measured by a disk centrifuge, ie differential centrifugal sedimentation (DCS). Suitable disk centrifuge particle size measurement instruments are commercially available, for example, from CPS Instruments (Preyriville, Louisiana), for example from CPS disk centrifuge model DC24000UHR. Unless otherwise specified, the median particle size values reported and claimed herein are based on disc centrifuge measurements.

예로서, 연마 입자 (예를 들어, 세리아 연마 입자)는 약 40 nm 이상, 예를 들어 약 45 nm 이상, 약 50 nm 이상, 약 55 nm 이상, 약 60 nm 이상, 약 65 nm 이상, 약 70 nm 이상, 약 75 nm 이상, 또는 약 80 nm 이상의 중앙 입자 크기를 가질 수 있다. 대안적으로 또는 추가적으로, 연마 입자는 약 100 nm 이하, 예를 들어 약 95 nm 이하, 약 90 nm 이하, 약 85 nm 이하, 약 80 nm 이하, 약 75 nm 이하, 약 70 nm 이하, 또는 약 65 nm 이하의 중간 입자 크기를 가질 수 있다. 따라서, 연마 입자는 상기 언급된 종점들 중 임의의 2개로 제한된 범위 내의 평균 입자 크기를 가질 수 있다. 예를 들어, 연마 입자는 약 40 nm 내지 약 100 nm, 예를 들어 약 40 nm 내지 약 80 nm, 약 40 nm 내지 약 75 nm, 약 40 nm 내지 약 60 nm, 약 50 nm 내지 약 100 nm, 약 50 nm 내지 약 80 nm, 약 50 nm 내지 약 75 nm, 약 50 nm 내지 약 70 nm, 약 60 nm 내지 약 100 nm, 약 60 nm 내지 약 80 nm, 약 60 nm 내지 약 85 nm, 또는 약 65 nm 내지 약 75 nm의 중간 입자 크기를 가질 수 있다. 바람직하게는, 연마 입자는 약 60 nm 내지 약 80 nm의 중앙 입자 크기, 예를 들어 약 65 nm의 중앙 입자 크기, 약 70 nm의 중간 입자 크기, 또는 약 75 nm의 중앙 입자 크기를 갖는다.By way of example, the abrasive particles (eg, ceria abrasive particles) may be at least about 40 nm, for example at least about 45 nm, at least about 50 nm, at least about 55 nm, at least about 60 nm, at least about 65 nm, about 70 have a median particle size of at least nm, at least about 75 nm, or at least about 80 nm. Alternatively or additionally, the abrasive particles may be about 100 nm or less, for example about 95 nm or less, about 90 nm or less, about 85 nm or less, about 80 nm or less, about 75 nm or less, about 70 nm or less, or about 65 It can have a median particle size of less than or equal to nm. Thus, the abrasive particles may have an average particle size within a range limited to any two of the aforementioned endpoints. For example, the abrasive particles may be from about 40 nm to about 100 nm, for example from about 40 nm to about 80 nm, from about 40 nm to about 75 nm, from about 40 nm to about 60 nm, from about 50 nm to about 100 nm, About 50 nm to about 80 nm, about 50 nm to about 75 nm, about 50 nm to about 70 nm, about 60 nm to about 100 nm, about 60 nm to about 80 nm, about 60 nm to about 85 nm, or about It may have a median particle size of 65 nm to about 75 nm. Preferably, the abrasive particles have a median particle size of about 60 nm to about 80 nm, such as a median particle size of about 65 nm, a median particle size of about 70 nm, or a median particle size of about 75 nm.

화학-기계적 연마 조성물은 임의의 적합한 양의 연마제를 포함할 수 있다. 조성물이 너무 적은 연마제를 포함하는 경우, 조성물은 충분한 제거 속도를 나타내지 않을 수 있다. 그에 반해, 연마 조성물이 너무 많은 세리아 연마제를 포함하는 경우, 연마 조성물은 바람직하지 않은 연마 성능을 나타낼 수 있고/거나, 비용 효과적이지 않을 수 있고/거나, 안정성이 부족할 수 있다. 따라서, 연마제는 연마 조성물 중에 약 5 중량% 이하, 예를 들어 약 4 중량% 이하, 약 3 중량% 이하, 약 2 중량% 이하, 또는 약 1 중량% 이하의 농도로 존재할 수 있다. 대안적으로 또는 추가적으로, 연마제는 연마 조성물 중에 약 0.001 중량%이상, 예를 들어 약 0.005 중량%이상, 약 0.01 중량%이상, 약 0.05 중량%이상, 약 0.1 중량%이상, 또는 약 0.5 중량%이상의 농도로 존재할 수 있다. 따라서, 연마제는 연마 조성물 중에 상기 언급된 종점들 중 임의의 2개로 제한된 농도로 존재할 수 있다. 예를 들어, 연마제는 연마 조성물 중에 약 0.001 중량% 내지 약 5 중량%, 예를 들어 약 0.005 중량% 내지 약 4 중량%, 약 0.01 중량% 내지 약 3 중량%, 약 0.05 중량% 내지 약 2 중량%, 또는 약 0.1 중량% 내지 약 1 중량%의 농도로 존재할 수 있다.The chemical-mechanical polishing composition may comprise any suitable amount of abrasive. If the composition comprises too little abrasive, the composition may not exhibit a sufficient removal rate. In contrast, when the polishing composition comprises too much ceria abrasive, the polishing composition may exhibit undesirable polishing performance, may not be cost effective, and / or may lack stability. Thus, the abrasive may be present in the polishing composition at a concentration of about 5 wt% or less, for example about 4 wt% or less, about 3 wt% or less, about 2 wt% or less, or about 1 wt% or less. Alternatively or additionally, the abrasive may comprise at least about 0.001% by weight, such as at least about 0.005%, at least about 0.01%, at least about 0.05%, at least about 0.1%, or at least about 0.5% by weight in the polishing composition. May be present in concentration. Thus, the abrasive may be present in the polishing composition in a concentration limited to any two of the aforementioned endpoints. For example, the abrasive may comprise about 0.001% to about 5% by weight, such as about 0.005% to about 4%, about 0.01% to about 3%, about 0.05% to about 2% by weight in the polishing composition. %, Or from about 0.1% to about 1% by weight.

전형적으로, 연마 조성물은 기판의 표면 상에 금속 (예를 들어, 구리, 은, 텅스텐 등)을 연마하기에 적합한 실질적인 양의 연마제를 포함하지 않는다. 예를 들어, 연마 조성물은 전형적으로 금속 표면을 연마하는데 적합한 실질적인 양의 특정 금속 산화물 (예를 들어, 알루미나)을 포함하지 않는다. 전형적으로, 연마 조성물은 연마 조성물 중 연마제의 총 중량을 기준으로, 세리아 연마제 및 지르코니아 연마제 이외에 연마제 0.1 중량% 미만을 포함한다. 예를 들어, 연마 조성물은 세리아 연마제 및 지르코니아 연마제 이외의 연마제 0.05 중량% 이하, 또는 세리아 연마제 및 지르코니아 연삭재 이외의 연마제 0.01 중량% 이하를 포함할 수 있다. 보다 구체적으로, 연마 조성물은 세리아 및 지르코니아 이외의 금속 산화물 0.05 중량% 이하 또는 세리아 및 지르코니아 이외의 금속 산화물 0.01 중량% 이하를 포함할 수 있다.Typically, the polishing composition does not include a substantial amount of abrasive suitable for polishing metals (eg, copper, silver, tungsten, etc.) on the surface of the substrate. For example, the polishing composition typically does not include substantial amounts of certain metal oxides (eg, alumina) suitable for polishing metal surfaces. Typically, the polishing composition comprises less than 0.1 weight percent abrasive in addition to the ceria and zirconia abrasive based on the total weight of the abrasive in the polishing composition. For example, the polishing composition may comprise 0.05 wt% or less of abrasives other than ceria and zirconia abrasives, or 0.01 wt% or less of abrasives other than ceria and zirconia abrasives. More specifically, the polishing composition may comprise 0.05 wt% or less of metal oxides other than ceria and zirconia or 0.01 wt% or less of metal oxides other than ceria and zirconia.

연마제는 바람직하게는 연마 조성물, 더욱 구체적으로는 연마 조성물의 수성 캐리어 성분 중에 현탁된다. 보다 구체적으로, 연마제가 입자를 포함하는 경우, 연마 입자는 바람직하게는 연마 조성물 중에 현탁되고, 연마 입자는 바람직하게는 콜로이드적으로 안정하다. 용어 콜로이드는 수성 캐리어 중 연마제 입자의 현탁액을 지칭한다. 콜로이드 안정성은 시간에 걸친 현탁액의 유지를 지칭한다. 본 발명에서, 연마제 입자는 100 ml 눈금 실린더 안에 넣고 2시간 동안 교반되지 않고 정치되도록 한 경우에, 눈금 실린더의 하부 50 ml 중의 입자 농도 ([B]; g/ml)와 눈금 실린더의 상부 50 ml 중의 입자 농도 ([T]; g/ml)의 차를 연마제 조성물 중 입자의 초기 농도 ([C]; g/ml)로 나눈 것이 0.5 이하 (즉, {[B]-[T]}/[C]≤0.5)이면 콜로이드적으로 안정한 것으로 간주된다. {[B]-[T]}/[C]의 값은 바람직하게는 0.3 이하, 보다 바람직하게는 0.1 이하이다.The abrasive is preferably suspended in the polishing composition, more specifically in the aqueous carrier component of the polishing composition. More specifically, when the abrasive comprises particles, the abrasive particles are preferably suspended in the polishing composition, and the abrasive particles are preferably colloidally stable. The term colloid refers to a suspension of abrasive particles in an aqueous carrier. Colloidal stability refers to the maintenance of the suspension over time. In the present invention, when the abrasive particles are placed in a 100 ml graduated cylinder and allowed to stand without stirring for 2 hours, the particle concentration ([B]; g / ml) in the lower 50 ml of the graduated cylinder and the top 50 ml of the graduated cylinder The difference of the particle concentration ([T]; g / ml) in the divided by the initial concentration ([C]; g / ml) of the particles in the abrasive composition is 0.5 or less (ie, {[B]-[T]} / [ C] ≦ 0.5) is considered to be colloidally stable. The value of {[B]-[T]} / [C] is preferably 0.3 or less, and more preferably 0.1 or less.

본 발명의 연마 조성물은 자기-정지제를 포함한다. 자기-정지제는 비교적 높은 패턴 제거 속도 및 비교적 낮은 블랭킷 제거 속도를 촉진하고, 연마 동안 평탄화시, 높은 패턴 제거 속도로부터 비교적 낮은 블랭킷 제거 속도로 전이하는 것을 촉진하는 화합물이다. 어떠한 특정한 이론에 얽매이는 것을 원하지는 않지만, 자기-정지제는 연마제에 (예를 들어, 세리아 또는 지르코니아에) 부착되어 연마제와 친수성 산화물 표면 사이의 입체 장애를 제공함으로써 자기-정지 거동을 촉진하는 리간드로서 작용하는 것으로 여겨진다. 자기-정지제의 연마제로의 결합은 임의의 적합한 기술, 예를 들어 등온 적정 열량측정법 (ITC)을 사용하여 평가될 수 있다.The polishing composition of the present invention comprises a self-stopping agent. Self-stopping agents are compounds that promote a relatively high pattern removal rate and a relatively low blanket removal rate and, when planarizing during polishing, facilitate a transition from a high pattern removal rate to a relatively low blanket removal rate. While not wishing to be bound by any particular theory, self-stopping agents are ligands that promote self-stop behavior by attaching to the abrasive (eg, to ceria or zirconia) to provide steric hindrance between the abrasive and the hydrophilic oxide surface. It is believed to work. The binding of the self-stopping agent to the abrasive can be assessed using any suitable technique, for example isothermal titration calorimetry (ITC).

어떠한 특정한 이론에 얽매이는 것을 원하지는 않지만, 자기-정지제는 테트라에톡시실란 (TEOS) 블랭킷 유전체 물질 상에 주어진 하향력 (DF)에 대한 비선형 반응을 촉진하는 것으로 여겨진다. 연마 동안, 패턴 유전체 물질은 블랭킷 유전체 물질의 것보다 높은 유효 하향력 (DF)을 겪는데, 이는 접촉이 패드와 접촉하게 되는 패턴 유전체 물질의 일부 부분만으로 확산되기 때문이다. TEOS 패턴 유전체 물질에 적용되는 보다 높은 유효 DF는 약 8,000Å/분의 TEOS 제거 속도를 갖는 "높은" 제거 속도 (예를 들어, 패턴 제거 속도) 연마 방식을 가져오고, 여기서 보다 낮은 유효 DF는 약 1,000Å/분 이하의 TEOS 제거 속도 (예를 들어, 블랭킷 제거 속도)를 갖는 "정지" 연마 방식을 가져온다. "높은" 방식과 "정지" 방식 사이의 차는 전형적으로 주어진 DF에 대해 "높은" 제거 속도 또는 "정지" 제거 속도가 관찰되도록 구분된다. 따라서, 자기-정지제는, 적용된 DF가 블랭킷 웨이퍼로 측정시에 "정지" 방식에 있을 때에도, 바람직하게는 "높은" 제거 속도 (즉, 패턴 제거 속도)를 가능하게 하는 것으로 여겨진다.While not wishing to be bound by any particular theory, it is believed that the self-stopping agent promotes a non-linear response to a given downward force (DF) on a tetraethoxysilane (TEOS) blanket dielectric material. During polishing, the pattern dielectric material undergoes a higher effective downward force (DF) than that of the blanket dielectric material, since the contact diffuses only to a portion of the pattern dielectric material that comes into contact with the pad. Higher effective DFs applied to TEOS pattern dielectric materials result in a “high” removal rate (eg, pattern removal rate) polishing method with a TEOS removal rate of about 8,000 GPa / min, where lower effective DF is about This results in a “stop” polishing scheme with a TEOS removal rate (eg, blanket removal rate) of less than 1,000 ms / minute. The difference between the "high" approach and the "stop" approach is typically distinguished such that a "high" removal rate or a "stop" removal rate is observed for a given DF. Thus, self-stopping agents are believed to enable a "high" removal rate (ie, a pattern removal rate), preferably even when the applied DF is in a "stop" manner when measured with a blanket wafer.

또한, 패턴 유전체 물질 상의 트렌치 산화물 제거 속도가 블랭킷 웨이퍼 상에서보다 트렌치에서 보다 낮은 유효 DF를 가짐에도 불구하고 블랭킷 제거 속도보다 더 높기 때문에, 메카니즘이 DF에만 의존적이지는 않음에 유의한다. 예를 들어, 일부 연마 적용에서, 자기-정지제의 농도는 관찰된 효과에서 소정의 역할을 하는데, 이는 낮은 농도에서는 자기-정지제가 속도 증진제로서 작용하고 (예를 들어, "높은" 제거 속도가 관찰됨), 보다 높은 농도에서 자가-정지 거동이 관찰된다 (예를 들어, "정지" 제거 속도가 관찰됨). 따라서, 일부 속도 증진제는 이중 작용을 가질 수 있다. 예를 들어, 연마 조성물이 피콜린산을 보다 낮은 농도로 포함하는 경우에, 피콜린산은 속도 증진제로서 기능할 수 있다. 그러나, 연마 조성물이 피콜린산을 보다 높은 농도로 포함하는 경우에, 피콜린산은 자기-정지제로서 기능할 수 있다. 전형적으로, 피콜린산은 중량 기준으로 약 1000 ppm 미만의 농도 (예를 들어, 약 500 ppm, 약 250 ppm 등)로 속도 증진제로서 기능한다.It is also noted that the mechanism is not only dependent on the DF, since the trench oxide removal rate on the pattern dielectric material is higher than the blanket removal rate despite having a lower effective DF in the trench than on the blanket wafer. For example, in some abrasive applications, the concentration of self-stopping agent plays a role in the observed effect, at low concentrations the self-stopping agent acts as a rate enhancer (eg, a "high" removal rate Observed), at higher concentrations self-stop behavior is observed (eg, "stop" removal rates are observed). Thus, some rate enhancers may have a dual action. For example, when the polishing composition comprises picolinic acid at a lower concentration, picolinic acid may function as a rate enhancer. However, when the polishing composition comprises picolinic acid at a higher concentration, the picolinic acid can function as a self-stopping agent. Typically, picolinic acid functions as a rate enhancer at concentrations below about 1000 ppm (eg, about 500 ppm, about 250 ppm, etc.) by weight.

본 발명의 일부 실시양태에서, 자기-정지제는 화학식 Q-B의 것이고, 여기서 Q는 치환 또는 비치환된 소수성 기, 또는 입체 장애를 부여하는 기이고, B는 결합 기, 예컨대 -C(O)-C-OH, -C(O)-C-C-OH 또는 -C(O)-OH이다. 예를 들어, 일부 실시양태에서 본 발명은 연마제, 화학식 Q-B의 자기-정지제, 양이온성 화합물 및 수성 캐리어 (예를 들어, 물)를 포함하며, 약 3 내지 약 9 (예를 들어, 약 6.5 내지 약 8.5)의 pH를 갖는 연마 조성물을 제공한다.In some embodiments of the invention, the self-stopping agent is of formula QB, wherein Q is a substituted or unsubstituted hydrophobic group, or a group imparting steric hindrance, and B is a bonding group, such as -C (O)- C-OH, -C (O) -CC-OH or -C (O) -OH. For example, in some embodiments the present invention includes an abrasive, a self-terminating agent of Formula QB, a cationic compound and an aqueous carrier (eg, water), and from about 3 to about 9 (eg, about 6.5) To a polishing composition having a pH of about 8.5).

본 발명의 일부 실시양태에서, 자기-정지제는 화학식 Q-B의 것이고, 여기서 Q는 치환 또는 비치환된 소수성 기, 또는 입체 장애를 부여하는 기이고, B는 결합 기이고, 여기서 결합 기는 구조: -C(O)-X-OH 또는 -C(O)-OH를 갖는다. 여기서 X는 C1-C2 알킬기이다. 자기-정지제가 본원에 기재된 바와 같은 화학식 Q-B의 화합물인 경우, Q는 임의의 적합한 소수성 기, 또는 입체 장애를 부여하는 임의의 적합한 기일 수 있다. 적합한 소수성 기는 포화 및 불포화 소수성 기를 포함한다. 소수성 기는 선형 또는 분지형일 수 있고, 선형 또는 분지형 알킬 기, 시클로알킬 기, 및 방향족, 헤테로시클릭 및 융합 고리계를 비롯한 고리 구조를 포함할 수 있다.In some embodiments of the invention, the self-stopping agent is of formula QB, wherein Q is a substituted or unsubstituted hydrophobic group, or a group imparting steric hindrance, B is a bonding group, wherein the bonding group is a structure: C (O) -X-OH or -C (O) -OH. X is a C1-C2 alkyl group. When the self-stopping agent is a compound of Formula Q-B as described herein, Q can be any suitable hydrophobic group, or any suitable group that confers steric hindrance. Suitable hydrophobic groups include saturated and unsaturated hydrophobic groups. Hydrophobic groups can be linear or branched, and can include ring structures including linear or branched alkyl groups, cycloalkyl groups, and aromatic, heterocyclic, and fused ring systems.

한 실시양태에서, Q는 알킬 기, 시클로알킬 기, 방향족 기, 헤테로시클릭 기, 헤테로방향족 기 및 그의 조합으로부터 선택된다.In one embodiment, Q is selected from alkyl groups, cycloalkyl groups, aromatic groups, heterocyclic groups, heteroaromatic groups, and combinations thereof.

Q는 알킬 기일 수 있다. 적합한 알킬 기는, 예를 들어 1 내지 30개의 탄소 원자를 갖는 선형 또는 분지형, 포화 또는 불포화, 치환 또는 비치환된 탄화수소 기 (예를 들어, C1-C30 알킬 기, C1-C24 알킬 기, Cl-C18 알킬 기, C1-C12 알킬 기, 또는 심지어 C1-C6 알킬 기), 예를 들어 적어도 1개의 탄소 원자 (즉, 메틸), 적어도 2개의 탄소 원자 (예를 들어, 에틸, 비닐), 적어도 3개의 탄소 원자 (예를 들어, 프로필, 이소프로필, 프로페닐, 등), 적어도 4개의 탄소 원자 (부틸, 이소부틸, sec-부틸, 부탄, 등), 적어도 5개의 탄소 원자 (펜틸, 이소펜틸, sec-펜틸, 네오-펜틸 등), 적어도 6개의 탄소 원자 (헥실 등), 적어도 7개의 탄소 원자, 적어도 8개의 탄소 원자, 적어도 9개의 탄소 원자, 적어도 10개의 탄소 원자, 적어도 11개의 탄소 원자, 적어도 12개의 탄소 원자, 적어도 13개의 탄소 원자, 적어도 14개의 탄소 원자, 적어도 15개의 탄소 원자, 적어도 16개의 탄소 원자, 적어도 17개의 탄소 원자, 적어도 18개의 탄소 원자, 적어도 19개의 탄소 원자, 적어도 20개의 탄소 원자, 적어도 25개의 탄소 원자, 또는 적어도 30개의 탄소 원자를 포함한다.Q may be an alkyl group. Suitable alkyl groups are, for example, linear or branched, saturated or unsaturated, substituted or unsubstituted hydrocarbon groups having 1 to 30 carbon atoms (eg, C 1 -C 30 alkyl groups, C 1 -C 24 alkyl Groups , C 1 -C 18 alkyl groups, C 1 -C 12 alkyl groups, or even C 1 -C 6 alkyl groups, for example at least one carbon atom (ie methyl), at least two carbon atoms (eg For example, ethyl, vinyl), at least three carbon atoms (eg, propyl, isopropyl, propenyl, etc.), at least four carbon atoms (butyl, isobutyl, sec-butyl, butane, etc.), at least 5 carbon atoms (pentyl, isopentyl, sec-pentyl, neo-pentyl, etc.), at least 6 carbon atoms (hexyl, etc.), at least 7 carbon atoms, at least 8 carbon atoms, at least 9 carbon atoms, at least 10 Carbon atoms, at least 11 carbon atoms, at least 12 carbon atoms, at least 13 carbon atoms, at least 1 4 carbon atoms, at least 15 carbon atoms, at least 16 carbon atoms, at least 17 carbon atoms, at least 18 carbon atoms, at least 19 carbon atoms, at least 20 carbon atoms, at least 25 carbon atoms, or at least 30 Carbon atoms.

치환된 기는 1개 이상의 탄소-결합 수소가 비-수소 원자로 대체된 기를 지칭한다. 예시적인 치환기에는, 예를 들어 히드록실 기, 케토 기, 에스테르, 아미드, 할로겐 (예를 들어, 플루오린, 염소, 브로민 및 아이오딘), 아미노 기 (1급, 2급, 3급 및/또는 4급), 및 그의 조합이 포함된다.Substituted groups refer to groups in which one or more carbon-bonded hydrogens have been replaced with non-hydrogen atoms. Exemplary substituents include, for example, hydroxyl groups, keto groups, esters, amides, halogens (eg fluorine, chlorine, bromine and iodine), amino groups (primary, secondary, tertiary and / Or class 4), and combinations thereof.

Q는 시클로알킬 기일 수 있다. 적합한 시클로알킬 기는, 예를 들어, 3 내지 20개의 탄소 원자를 갖는 포화 또는 불포화, 치환 또는 비치환된 시클로알킬 기 (예를 들어, C3-C20 시클릭 기)를 포함한다. 예를 들어, 적합한 시클로알킬 기는 시클로프로필, 시클로부틸, 시클로펜틸, 시클로헥실, 시클로헵틸, 시클로옥틸, 시클로노닐 및 그의 조합을 포함한다. 또한, 적합한 불포화 시클로알킬 기는 예를 들어 시클로부텐, 시클로펜텐, 시클로헥센 및 그의 조합을 포함한다.Q may be a cycloalkyl group. Suitable cycloalkyl groups include, for example, saturated or unsaturated, substituted or unsubstituted cycloalkyl groups (eg, C 3 -C 20 cyclic groups) having 3 to 20 carbon atoms. For example, suitable cycloalkyl groups include cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, cyclooctyl, cyclononyl and combinations thereof. Suitable unsaturated cycloalkyl groups also include, for example, cyclobutene, cyclopentene, cyclohexene and combinations thereof.

Q는 방향족 기일 수 있다. 적합한 방향족 기는 예를 들어 1 내지 20개의 탄소 원자를 갖는 치환 또는 비치환 방향족 기를 포함한다. 예를 들어, 적합한 방향족 기는 페닐, 벤질, 나프틸, 아줄렌, 안트라센, 피렌, 및 그의 조합을 포함한다.Q may be an aromatic group. Suitable aromatic groups include, for example, substituted or unsubstituted aromatic groups having 1 to 20 carbon atoms. For example, suitable aromatic groups include phenyl, benzyl, naphthyl, azulene, anthracene, pyrene, and combinations thereof.

Q는 헤테로방향족 기일 수 있다. "헤테로원자"는 본원에서 탄소 및 수소 원자 이외의 임의의 원자로 정의된다. 적합한 헤테로원자-함유 관능기는 예를 들어 히드록실 기, 카르복실산 기, 에스테르 기, 케톤 기, 아미노 기 (예를 들어, 1급, 2급 및 3급 아미노 기), 아미도 기, 이미도 기, 티올 에스테르 기, 티오에테르 기, 니트릴 기, 니트로 기, 할로겐 기, 및 그의 조합을 포함한다.Q may be a heteroaromatic group. "Heteroatom" is defined herein as any atom other than carbon and hydrogen atoms. Suitable heteroatom-containing functional groups are for example hydroxyl groups, carboxylic acid groups, ester groups, ketone groups, amino groups (eg primary, secondary and tertiary amino groups), amido groups, imidos Groups, thiol ester groups, thioether groups, nitrile groups, nitro groups, halogen groups, and combinations thereof.

적합한 헤테로시클릭기는 예를 들어 1 내지 20개의 탄소 원자를 함유하고 질소, 산소, 황, 인, 붕소 및 그의 조합을 함유하는 시클릭 탄화수소 화합물을 포함한다. 헤테로시클릭 화합물은 포화 및 불포화, 치환 또는 비치환될 수 있다. 헤테로시클릭 화합물은 고리계의 일부로서 함유된 1개 이상의 헤테로원자 원자 (예를 들어, N, O, S, P 또는 B)를 갖는 5-, 6- 또는 7-원 고리계를 지칭한다. 예시적인 헤테로시클릭 화합물은, 예를 들어 트리아졸, 아미노트리아졸, 3-아미노-1,2,4-트리아졸, 3-아미노-1,2,4-트리아졸-5-카르복실산, 3-아미노-5-메르캅토-1,2,4-트리아졸, 4-아미노-5-히드라지노-1,2,4-트리아졸-3-티올, 티아졸, 2-아미노-5-메틸티아졸, 2-아미노-4-이미다졸아세트산, 헤테로시클릭 N-옥시드, 2-히드록시피리딘-N-옥시드, 4-메틸모르폴린-N-옥시드, 및 피콜린산 N-옥시드 등이 포함된다. 다른 예시적 헤테로시클릭 화합물은, 예를 들어 피론 화합물, 피리딘 화합물 (위치이성질체 및 입체이성질체 포함), 피롤리딘, 델타-2-피롤린, 이미다졸리딘, 델타-2-이미다졸린, 델타-3-피라졸린, 피라졸리딘, 피페리딘, 피페라진, 모르폴린, 퀴누클리딘, 인돌린, 이소인돌린, 크로만, 이소크로만, 및 그의 조합을 포함한다.Suitable heterocyclic groups include, for example, cyclic hydrocarbon compounds containing 1 to 20 carbon atoms and containing nitrogen, oxygen, sulfur, phosphorus, boron and combinations thereof. Heterocyclic compounds may be saturated and unsaturated, substituted or unsubstituted. Heterocyclic compounds refer to 5-, 6- or 7-membered ring systems having one or more heteroatom atoms (eg, N, O, S, P or B) contained as part of the ring system. Exemplary heterocyclic compounds are, for example, triazoles, aminotriazoles, 3-amino-1,2,4-triazoles, 3-amino-1,2,4-triazole-5-carboxylic acids, 3-amino-5-mercapto-1,2,4-triazole, 4-amino-5-hydrazino-1,2,4-triazole-3-thiol, thiazole, 2-amino-5-methyl Thiazole, 2-amino-4-imidazoleacetic acid, heterocyclic N-oxide, 2-hydroxypyridine-N-oxide, 4-methylmorpholine-N-oxide, and picolinic acid N-jade Seeds and the like. Other exemplary heterocyclic compounds include, for example, pyron compounds, pyridine compounds (including regioisomers and stereoisomers), pyrrolidine, delta-2-pyrroline, imidazolidine, delta-2-imidazoline, Delta-3-pyrazoline, pyrazolidine, piperidine, piperazine, morpholine, quinuclidin, indolin, isoindolin, chroman, isochroman, and combinations thereof.

적합한 헤테로방향족 기는, 예를 들어 피리딘, 티오펜, 푸란, 피롤, 2H-피롤, 이미다졸, 피라졸, 이속사졸, 푸라잔, 이소티아졸, 피란(2H), 피라진, 피리미딘, 피리다진, 이소벤조푸란, 인돌리진, 인돌, 3H-인돌, 1H-인다졸, 퓨린, 이소인돌, 4aH-카르바졸, 카르바졸, 베타-카르볼린, 2H-크로멘, 4H-퀴놀리진, 이소퀴놀린, 퀴놀린, 퀴녹살린, 1,8-나프티리딘, 프탈라진, 퀴나졸린, 신놀린, 프테리딘, 크산텐, 테트랄린, 페노티아진, 페나진, 페리미딘, 1,7-페난트롤린, 페난트리딘, 아크리딘 및 그의 조합이 포함된다.Suitable heteroaromatic groups are, for example, pyridine, thiophene, furan, pyrrole, 2H-pyrrole, imidazole, pyrazole, isoxazole, furazane, isothiazole, pyran (2H), pyrazine, pyrimidine, pyridazine, Isobenzofuran, indolizin, indole, 3H-indole, 1H-indazole, purine, isoindole, 4aH-carbazole, carbazole, beta-carboline, 2H-chromen, 4H-quinolizine, isoquinoline, Quinoline, quinoxaline, 1,8-naphthyridine, phthalazine, quinazoline, cinnoline, pteridine, xanthene, tetralin, phenothiazine, phenazine, perimidine, 1,7-phenanthroline , Phenanthridine, acridine and combinations thereof.

일부 실시양태에서, Q는 1개 이상의 치환기로 치환된다. 적합한 치환기는, 예를 들어 본원에 기재된 임의의 적합한 화합물/기를 포함할 수 있다. 예를 들어, 적합한 치환기는 알킬 기, 시클로알킬 기, 아릴 기, 헤테로시클릭 기, 헤테로방향족 기 및 그의 조합을 포함한다.In some embodiments, Q is substituted with one or more substituents. Suitable substituents may include, for example, any suitable compound / group described herein. For example, suitable substituents include alkyl groups, cycloalkyl groups, aryl groups, heterocyclic groups, heteroaromatic groups, and combinations thereof.

일부 실시양태에서, Q는 비치환된다. 다른 실시양태에서, Q는 입체 장애를 부여하는 기이다. 예를 들어, Q는 특히 소수성이 아닐 수 있지만, 보다 작은 Q 기를 갖는 관련 분자에서 달리 발생할 화학 반응 또는 상호작용을 방지하는 벌키 구성성분일 수 있다. 비제한적으로, 이러한 Q 기를 갖는 자기-정지제의 예는 말톨, 에틸 말톨 및 코지산이다.In some embodiments, Q is unsubstituted. In other embodiments, Q is a group that confers steric hindrance. For example, Q may not be particularly hydrophobic, but may be a bulky component that prevents chemical reactions or interactions that would otherwise occur in related molecules with smaller Q groups. Non-limiting examples of self-stopping agents having such Q groups are maltol, ethyl maltol and kojic acid.

일부 실시양태에서, 결합기 B는 카르복실산 기, 히드록삼산 기, 히드록실아민 기, 히드록실 기, 케토 기, 술페이트 기, 포스페이트 기 및 그의 조합으로부터 선택된다.In some embodiments, the linking group B is selected from carboxylic acid groups, hydroxylsamic acid groups, hydroxylamine groups, hydroxyl groups, keto groups, sulfate groups, phosphate groups and combinations thereof.

일부 실시양태에서, 자기-정지제 Q-B는 코지산, 말톨, 에틸 말톨, 프로필 말톨, 히드록삼산, 벤즈히드록삼산, 살리실히드록삼산, 벤조산, 3,4-디히드록시벤조산, 3,5-디히드록시벤조산, 카페인산, 소르브산, 및 그의 조합으로부터 선택된다.In some embodiments, the self-stopping agent QB is kojic acid, maltol, ethyl maltol, propyl maltol, hydroxamic acid, benzhydroxysamic acid, salicylic hydroxamic acid, benzoic acid, 3,4-dihydroxybenzoic acid, 3, 5-dihydroxybenzoic acid, caffeic acid, sorbic acid, and combinations thereof.

또한, 제제 Q-B의 자기-정지제의 염은 본 발명의 연마 조성물에 사용하기에 적합하다.In addition, salts of the self-stopping agent of Formulation Q-B are suitable for use in the polishing compositions of the present invention.

일부 실시양태에서, 자기-정지제는 코지산, 말톨, 에틸 말톨, 프로필 말톨, 티글산, 안젤산, 벤조산, 3,4-디히드록시벤조산, 3,5-디히드록시벤조산, 카페인산, 소르브산, 소르브산칼륨, 및 그의 조합으로부터 선택된다.In some embodiments, the self-stopping agent is koji acid, maltol, ethyl maltol, propyl maltol, tiglic acid, angelic acid, benzoic acid, 3,4-dihydroxybenzoic acid, 3,5-dihydroxybenzoic acid, caffeic acid, Sorbic acid, potassium sorbate, and combinations thereof.

일부 실시양태에서, 제제 Q-B의 자기-정지제는 화학식 (I)의 화합물, 화학식 (II)의 화합물, 화학식 (III)의 화합물, 화학식 (IV)의 화합물 및 그의 조합으로부터 선택된다.In some embodiments, the self-stopping agent of Formulation Q-B is selected from a compound of Formula (I), a compound of Formula (II), a compound of Formula (III), a compound of Formula (IV), and combinations thereof.

화학식 (I)의 화합물은 하기 구조를 갖는다:The compound of formula (I) has the structure

Figure pct00005
Figure pct00005

여기서 R은 수소, 알킬, 시클로알킬, 아릴, 헤테로시클릭 알킬, 및 헤테로시클릭 아릴로 이루어진 군으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있다.Wherein R is selected from the group consisting of hydrogen, alkyl, cycloalkyl, aryl, heterocyclic alkyl, and heterocyclic aryl, each of which may be substituted or unsubstituted.

화학식 (II)의 화합물은 하기 구조를 갖는다:The compound of formula (II) has the structure

Figure pct00006
Figure pct00006

여기서 각각의 X1-X3은 독립적으로 N, O, S, sp2 혼성화 탄소, 및 CY1Y2로부터 선택되고, 여기서 각각의 Y1 및 Y2는 독립적으로 수소, 히드록실, C1-C6 알킬, 할로겐, 및 그의 조합으로부터 선택되고, 각각의 Z1-Z3은 독립적으로 수소, 히드록실, C1-C6 알킬, 및 그의 조합으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있다.Wherein each X 1 -X 3 is independently selected from N, O, S, sp 2 hybridized carbon, and CY 1 Y 2 , wherein each Y 1 and Y 2 are independently hydrogen, hydroxyl, C 1- C 6 alkyl, halogen, and combinations thereof, each Z 1 -Z 3 is independently selected from hydrogen, hydroxyl, C 1 -C 6 alkyl, and combinations thereof, each of which may be substituted or unsubstituted Can be.

화학식 (III)의 화합물은 하기 구조를 갖는다:The compound of formula (III) has the structure

Figure pct00007
Figure pct00007

Z는 N, C1-C6 알킬, C1-C6 알케닐, C1-C6 알키닐, 및 아릴 (예를 들어, 페닐, 벤질, 나프틸, 아줄렌, 안트라센, 피렌 등)로부터 선택되고; X1 및 X2는 독립적으로 수소, 히드록시, 아미노, 및 C1-C6 알킬, C1-C6 알케닐로부터 선택되고; 여기서 X1 및 X2는 부착된 탄소와 함께 sp2-혼성화 탄소를 형성할 수 있고, n은 1 또는 2이고, p는 0-4이고, M은 수소 및 적합한 반대이온 (예를 들어, I족 금속)로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있다.Z is from N, C 1 -C 6 alkyl, C 1 -C 6 alkenyl, C 1 -C 6 alkynyl, and aryl (eg, phenyl, benzyl, naphthyl, azulene, anthracene, pyrene, etc.) Selected; X 1 and X 2 are independently selected from hydrogen, hydroxy, amino, and C 1 -C 6 alkyl, C 1 -C 6 alkenyl; Wherein X 1 and X 2 together with the attached carbon may form sp 2 -hybridized carbon, n is 1 or 2, p is 0-4 and M is hydrogen and a suitable counterion (eg, I Group metal), each of which may be substituted or unsubstituted.

화학식 (IV)의 화합물은 하기 구조를 갖는다:The compound of formula (IV) has the structure

Figure pct00008
Figure pct00008

여기서 X, Y 및 Z는 독립적으로 H, O, S, NH, 및 CH2로부터 선택되고, R1, R2 및 R3은 독립적으로 H, 알킬, 알케닐, 알키닐, 아릴, 할로 및 할로알킬로부터 선택되고, M은 수소 및 적합한 반대이온으로부터 선택된다.Wherein X, Y and Z are independently selected from H, O, S, NH, and CH 2 , and R 1 , R 2 and R 3 are independently H, alkyl, alkenyl, alkynyl, aryl, halo and halo Alkyl is selected and M is selected from hydrogen and a suitable counterion.

연마 조성물은 임의의 적합한 양의 자기-정지제 (예를 들어, 화학식 Q-B의 화합물)를 포함할 수 있다. 조성물이 너무 적은 자기-정지제를 포함하는 경우, 조성물은 적합한 자기-정지 거동을 나타내지 않을 수 있다. 대조적으로, 연마 조성물이 너무 많은 자기-정지제를 포함하는 경우, 조성물은 바람직하지 않은 연마 성능을 나타낼 수 있고/거나, 비용 효과적이지 않을 수 있고/거나 안정성이 부족할 수 있다. 따라서, 연마 조성물은 약 2 중량% 이하, 예를 들어 약 1 중량% 이하, 약 0.5 중량% 이하, 약 0.1 중량% 이하 또는 약 0.01 중량% 이하의 자기-정지제를 포함할 수 있다. 대안적으로 또는 추가적으로, 연마 조성물은 약 0.0001 중량% 이상, 예를 들어 약 0.0005 중량% 이상, 약 0.001 중량% 이상, 약 0.005 중량% 이상, 약 0.01 중량% 이상 또는 약 0.05 중량% 이상의 자기-정지제를 포함할 수 있다. 따라서, 연마 조성물은 상기 언급된 종점들 중 임의의 2개로 제한된 농도로 자기-정지제를 포함할 수 있다. 예를 들어, 자기-정지제는 연마 조성물 중에 약 0.0001 중량% 내지 약 2 중량%, 예를 들어 약 0.0005 중량% 내지 약 1 중량%, 약 0.001 중량% 내지 약 0.5 중량%, 약 0.005 중량% 내지 약 0.1 중량%, 또는 약 0.01 중량% 내지 약 0.05 중량%의 농도로 존재할 수 있다.The polishing composition may comprise any suitable amount of self-stopping agent (eg, a compound of Formula Q-B). If the composition comprises too little self-stopping agent, the composition may not exhibit suitable self-stopping behavior. In contrast, when the polishing composition comprises too much self-stopping agent, the composition may exhibit undesirable polishing performance, may not be cost effective and / or lack stability. Thus, the polishing composition may comprise up to about 2 weight percent, for example up to about 1 weight percent, up to about 0.5 weight percent, up to about 0.1 weight percent, or up to about 0.01 weight percent self-stopping agent. Alternatively or additionally, the polishing composition may comprise at least about 0.0001 wt%, for example at least about 0.0005 wt%, at least about 0.001 wt%, at least about 0.005 wt%, at least about 0.01 wt% or at least about 0.05 wt% self-stop It may include the agent. Thus, the polishing composition may comprise a self-stopping agent at a concentration limited to any two of the aforementioned endpoints. For example, the self-stopping agent may be present in the polishing composition in an amount from about 0.0001% to about 2% by weight, such as from about 0.0005% to about 1%, from about 0.001% to about 0.5%, from about 0.005% to It may be present at a concentration of about 0.1%, or about 0.01% to about 0.05% by weight.

일부 실시양태에서, 본 발명의 연마 조성물은 약 0.5 중량% 이하 (예를 들어, 약 5,000 ppm 이하)의 자기-정지제를 포함한다. 일부 실시양태에서, 연마 조성물은 약 2,500 ppm (0.25 중량%) 이하, 예를 들어 약 2,000 ppm 이하, 약 1,500 ppm 이하, 약 1,000 ppm 이하, 또는 약 500 ppm 이하의 자기-정지제를 포함한다.In some embodiments, the polishing compositions of the present invention comprise up to about 0.5 weight percent (eg, up to about 5,000 ppm) of self-stopping agents. In some embodiments, the polishing composition comprises up to about 2,500 ppm (0.25 wt.%), For example up to about 2,000 ppm, up to about 1,500 ppm, up to about 1,000 ppm, or up to about 500 ppm self-stopping agent.

일부 실시양태에서, 본 발명의 연마 조성물은 자기-정지제를 평탄화제 (즉, 양이온성 화합물)와 조합하여 포함하며, 이는 토포그래피 제어제로도 지칭된다. 어떠한 특정한 이론에 얽매이는 것을 원하지는 않지만, 양이온성 화합물은 평탄화제로서 작용되어 연마된 기판의 토포그래피를 향상시키는데, 이는 양이온성 화합물이 음으로 하전된 산화물 표면에 결합함으로써 산화물 제거 속도를 전형적으로 저하시키기 때문인 것으로 여겨진다. 양이온성 화합물은 또한 보다 높은 pH의 연마 조건 (예를 들어, 약 6.5 내지 약 8.5의 pH, 약 7.0 내지 8.5의 pH를 가짐) 하에 자기-정지 조성물의 평탄화 효율을 향상시킨다.In some embodiments, the polishing compositions of the present invention comprise a self-stopping agent in combination with a leveling agent (ie, cationic compound), which is also referred to as a topography control agent. While not wishing to be bound by any particular theory, cationic compounds act as planarizers to enhance the topography of the polished substrate, which typically degrades the oxide removal rate by binding the cationic compound to the negatively charged oxide surface. It seems to be because The cationic compound also improves the planarization efficiency of the self-stopping composition under higher pH polishing conditions (eg, having a pH of about 6.5 to about 8.5, a pH of about 7.0 to 8.5).

양이온성 화합물은 4급 아민으로부터 선택된 단량체, 양이온성 폴리비닐 알콜, 양이온성 셀룰로스, 및 그의 조합을 포함하는 중합체일 수 있다. 따라서, 양이온성 중합체는 4급 아민, 양이온성 폴리비닐 알콜, 양이온성 셀룰로스, 및 그의 조합을 포함할 수 있다.The cationic compound can be a polymer comprising a monomer selected from quaternary amines, cationic polyvinyl alcohol, cationic cellulose, and combinations thereof. Thus, cationic polymers may include quaternary amines, cationic polyvinyl alcohols, cationic celluloses, and combinations thereof.

적합한 4급 아민 단량체는 예를 들어 비닐이미다졸륨, 메타크릴로일옥시에틸트리메틸암모늄 할라이드, 디알릴디메틸암모늄 할라이드 및 그의 조합이 포함된다. 따라서, 적합한 양이온성 중합체는 예를 들어 폴리(비닐이미다졸륨), 폴리(메타크릴로일옥시에틸트리메틸암모늄) 할라이드 예컨대 폴리(메타크릴로일옥시에틸트리메틸암모늄) 클로라이드 (폴리MADQUAT), 폴리(디알릴디메틸암모늄) 할라이드 예컨대 폴리(디알릴디메틸암모늄) 클로라이드 (폴리DADMAC), 폴리[비스(2-클로로에틸) 에테르-alt-1,3-비스[3-(디메틸아미노)프로필]우레아] (즉 폴리쿼터늄-2), 비닐피롤리돈 및 사급화된 디메틸아미노에틸 메타크릴레이트의 공중합체 (즉 폴리쿼터늄-11), 비닐피롤리돈 및 사급화된 비닐이미다졸의 공중합체 (즉 폴리쿼터늄-16), 비닐카프로락탐, 비닐피롤리돈, 및 사급화된 비닐이미다졸의 삼원공중합체 (즉 폴리쿼터늄-46), 및 3-메틸-1-비닐이미다졸륨 메틸 술페이트-N-비닐피롤리돈 공중합체 (즉 폴리쿼터늄-44)로부터 선택된 4급 아민을 포함한다. 추가로, 적합한 양이온성 중합체는 개인 관리를 위한 양이온성 중합체, 예컨대 루비쿼트(Luviquat)® 슈프림, 루비쿼트® 홀드, 루비쿼트® 울트라케어, 루비쿼트® FC 370, 루비쿼트® FC 550, 루비쿼트® FC 552, 루비쿼트® 엑설런스, 및 그의 조합을 포함한다. 본원에 언급된 양이온성 중합체의 임의의 조합이 사용될 수 있다.Suitable quaternary amine monomers include, for example, vinylimidazolium, methacryloyloxyethyltrimethylammonium halide, diallyldimethylammonium halide and combinations thereof. Thus, suitable cationic polymers are, for example, poly (vinylimidazolium), poly (methacryloyloxyethyltrimethylammonium) halides such as poly (methacryloyloxyethyltrimethylammonium) chloride (polyMADQUAT), poly ( Diallyldimethylammonium) halides such as poly (diallyldimethylammonium) chloride (polyDADMAC), poly [bis (2-chloroethyl) ether-alt-1,3-bis [3- (dimethylamino) propyl] urea] ( That is, a copolymer of polyquaternium-2), vinylpyrrolidone and quaternized dimethylaminoethyl methacrylate (ie polyquaternium-11), a copolymer of vinylpyrrolidone and quaternized vinylimidazole ( Terpolymers of polyquaternium-16), vinylcaprolactam, vinylpyrrolidone, and quaternized vinylimidazole (ie polyquaternium-46), and 3-methyl-1-vinylimidazolium methyl From sulphate-N-vinylpyrrolidone copolymer (ie polyquaternium-44) Comprises a quaternary amine. In addition, suitable cationic polymers are cationic polymers for personal care such as Ruviquat® Supreme, Rubiquart® Hold, Rubiquart® UltraCare, Rubiquart® FC 370, Rubiquart® FC 550, Rubiquart FC 552, RubyQuartx Excellence, and combinations thereof. Any combination of the cationic polymers mentioned herein can be used.

한 실시양태에서, 양이온성 중합체는 4급 아민이고, 양이온성 중합체는 폴리(메타크릴로일옥시에틸트리메틸암모늄) 할라이드, 예를 들어, 폴리MADQUAT이다.In one embodiment, the cationic polymer is a quaternary amine and the cationic polymer is a poly (methacryloyloxyethyltrimethylammonium) halide, for example polyMADQUAT.

한 실시양태에서, 양이온성 중합체는 4급 아민이고, 양이온성 중합체는 폴리 (비닐이미다졸륨)이다.In one embodiment, the cationic polymer is a quaternary amine and the cationic polymer is poly (vinylimidazolium).

양이온성 중합체는 임의의 적합한 양이온성 폴리비닐 알콜 또는 양이온성 셀룰로스일 수 있다. 바람직하게는, 양이온성 중합체는 양이온성 폴리비닐 알콜이다. 예를 들어, 양이온성 폴리비닐 알콜은 니폰 고세이(Nippon Gosei) GOHSEFIMER K210™ 폴리비닐 알콜 제품일 수 있다.The cationic polymer can be any suitable cationic polyvinyl alcohol or cationic cellulose. Preferably, the cationic polymer is cationic polyvinyl alcohol. For example, the cationic polyvinyl alcohol may be Nippon Gosei GOHSEFIMER K210 ™ polyvinyl alcohol product.

존재하는 경우, 양이온성 중합체 (즉, 전체로서 4급 아민, 양이온성 폴리비닐 알콜, 양이온성 셀룰로스, 또는 그의 조합)는 연마 조성물 중에 임의의 적합한 농도로 존재할 수 있다. 전형적으로, 양이온성 중합체는 연마 조성물 중에 약 1 ppm 내지 약 500 ppm, 예를 들어 약 1 ppm 내지 약 475 ppm, 약 1 ppm 내지 약 450 ppm, 약 1 ppm 내지 약 425 ppm, 약 1 ppm 내지 약 400 ppm, 약 1 ppm 내지 약 375 ppm, 약 1 ppm 내지 약 350 ppm, 약 1 ppm 내지 약 325 ppm, 약 1 ppm 내지 약 300 ppm, 약 1 ppm 내지 약 275 ppm, 약 1 ppm 내지 약 250 ppm, 약 1 ppm 내지 약 225 ppm, 약 1 ppm 내지 약 200 ppm, 약 1 ppm 내지 약 175 ppm, 약 1 ppm 내지 약 150 ppm, 약 1 ppm 내지 약 125 ppm, 약 1 ppm 내지 약 100 ppm, 약 1 ppm 내지 약 75 ppm, 약 1 ppm 내지 약 50 ppm, 약 1 ppm 내지 약 40 ppm, 약 1 ppm 내지 약 25 ppm, 약 5 ppm 내지 약 225 ppm, 약 5 ppm 내지 약 100 ppm, 약 5 ppm 내지 약 50 ppm, 약 10 ppm 내지 약 215 ppm, 약 10 ppm 내지 약 100 ppm, 약 15 ppm 내지 약 200 ppm, 약 25 ppm 내지 약 175 ppm, 약 25 ppm 내지 약 100 ppm, 또는 약 30 ppm 내지 약 150 ppm의 농도로 존재한다. 달리 언급되지 않는 한, 본원에 열거된 ppm 농도는 연마 조성물의 총 중량에 대한 성분의 중량 기준 비를 반영한다.If present, the cationic polymer (ie, quaternary amine, cationic polyvinyl alcohol, cationic cellulose, or a combination thereof) as a whole may be present in any suitable concentration in the polishing composition. Typically, the cationic polymer is from about 1 ppm to about 500 ppm, for example from about 1 ppm to about 475 ppm, from about 1 ppm to about 450 ppm, from about 1 ppm to about 425 ppm, from about 1 ppm to about 400 ppm, about 1 ppm to about 375 ppm, about 1 ppm to about 350 ppm, about 1 ppm to about 325 ppm, about 1 ppm to about 300 ppm, about 1 ppm to about 275 ppm, about 1 ppm to about 250 ppm , About 1 ppm to about 225 ppm, about 1 ppm to about 200 ppm, about 1 ppm to about 175 ppm, about 1 ppm to about 150 ppm, about 1 ppm to about 125 ppm, about 1 ppm to about 100 ppm, about 1 ppm to about 75 ppm, about 1 ppm to about 50 ppm, about 1 ppm to about 40 ppm, about 1 ppm to about 25 ppm, about 5 ppm to about 225 ppm, about 5 ppm to about 100 ppm, about 5 ppm To about 50 ppm, about 10 ppm to about 215 ppm, about 10 ppm to about 100 ppm, about 15 ppm to about 200 ppm, about 25 ppm to about 175 ppm, about 25 ppm to about 100 ppm, or about 30 ppm to At a concentration of about 150 ppm exist. Unless stated otherwise, the ppm concentrations listed herein reflect the weight-based ratio of components to the total weight of the polishing composition.

양이온성 중합체가 폴리(비닐이미다졸륨)인 경우, 양이온성 중합체는 바람직하게는 연마 조성물 중에 약 1 ppm 내지 약 10 ppm, 예를 들어 약 2 ppm, 약 5 ppm, 약 6 ppm, 약 7 ppm, 약 8 ppm, 또는 약 9 ppm의 농도로 존재한다. 보다 바람직하게는, 양이온성 중합체가 폴리(비닐이미다졸륨)인 경우, 양이온성 중합체는 연마 조성물 중에 바람직하게는 약 1 ppm 내지 약 5 ppm, 예를 들어 약 2 ppm, 약 3 ppm 또는 약 4 ppm의 농도로 존재한다.If the cationic polymer is poly (vinylimidazolium), the cationic polymer is preferably from about 1 ppm to about 10 ppm, for example about 2 ppm, about 5 ppm, about 6 ppm, about 7 ppm in the polishing composition. , About 8 ppm, or about 9 ppm. More preferably, when the cationic polymer is poly (vinylimidazolium), the cationic polymer is preferably in the polishing composition from about 1 ppm to about 5 ppm, for example about 2 ppm, about 3 ppm or about 4 It is present at a concentration of ppm.

연마 조성물은 카르복실산 단량체, 술폰화 단량체, 또는 포스폰화 단량체와 아크릴레이트의 음이온성 공중합체, 폴리비닐피롤리돈, 또는 폴리비닐알콜 (예를 들어, 2-히드록시에틸메타크릴산 및 메타크릴산의 공중합체); 비이온성 중합체로부터 선택된 첨가제를 임의로 포함할 수 있고, 여기서 비이온성 중합체는 폴리비닐피롤리돈 또는 폴리에틸렌 글리콜; 실란 (여기서 실란은 아미노 실란, 우레이도 실란, 또는 글리시딜 실란임); 관능화 피리딘의 N-옥시드 (예를 들어, 피콜린산 N-옥시드); 전분; 시클로덱스트린 (예를 들어, 알파-시클로덱스트린 또는 베타-시클로덱스트린), 및 그의 조합이다.The polishing composition may be an anionic copolymer of carboxylic acid monomer, sulfonated monomer, or phosphonated monomer with acrylate, polyvinylpyrrolidone, or polyvinyl alcohol (eg, 2-hydroxyethylmethacrylic acid and meta Copolymers of acrylic acid); It may optionally include additives selected from nonionic polymers, wherein the nonionic polymer is selected from polyvinylpyrrolidone or polyethylene glycol; Silanes, wherein the silanes are amino silanes, ureido silanes, or glycidyl silanes; N-oxides of functionalized pyridine (eg picolinic acid N-oxide); Starch; Cyclodextrins (eg, alpha-cyclodextrins or beta-cyclodextrins), and combinations thereof.

첨가제가 비이온성 중합체이고, 비이온성 중합체가 폴리비닐피롤리돈인 경우, 폴리비닐피롤리돈은 임의의 적합한 분자량을 가질 수 있다. 예를 들어, 폴리비닐피롤리돈은 약 10,000 g/mol 내지 약 1,000,000 g/mol, 예를 들어 약 20,000 g/mol, 약 30,000 g/mol, 약 40,000 g/mol, 약 50,000 g/mol, 또는 약 60,000 g/mol의 분자량을 가질 수 있다. 첨가제가 비이온성 중합체이고, 비이온성 중합체가 폴리에틸렌 글리콜인 경우, 폴리에틸렌 글리콜은 임의의 적합한 분자량을 가질 수 있다. 예를 들어, 폴리에틸렌 글리콜은 약 200 g/mol 내지 약 200,000 g/mol, 예를 들어 약 8000 g/mol, 또는 약 100,000 g/mol의 분자량을 가질 수 있다.If the additive is a nonionic polymer and the nonionic polymer is polyvinylpyrrolidone, the polyvinylpyrrolidone may have any suitable molecular weight. For example, polyvinylpyrrolidone may be from about 10,000 g / mol to about 1,000,000 g / mol, for example about 20,000 g / mol, about 30,000 g / mol, about 40,000 g / mol, about 50,000 g / mol, or It may have a molecular weight of about 60,000 g / mol. If the additive is a nonionic polymer and the nonionic polymer is polyethylene glycol, the polyethylene glycol may have any suitable molecular weight. For example, polyethylene glycol can have a molecular weight of about 200 g / mol to about 200,000 g / mol, for example about 8000 g / mol, or about 100,000 g / mol.

첨가제가 실란인 경우, 실란은 임의의 적합한 아미노 실란, 우레이도 실란 또는 글리시딜 실란일 수 있다. 예를 들어, 실란은 3-아미노프로필트리메톡시실란, 3-아미노프로필실란트리올, N-(2-아미노에틸)-3-아미노프로필트리메톡시실란, N-(2-아미노에틸)-3-아미노프로필트리메톡시실란트리올, (N,N)-디메틸-3-아미노프로필트리메톡시실란, N-페닐-3-아미노프로필트리메톡시실란, 우레이도프로필트리에톡시실란, 또는 3-글리시도프로필디메틸에톡시실란일 수 있다.If the additive is a silane, the silane can be any suitable amino silane, ureido silane or glycidyl silane. For example, the silane may be 3-aminopropyltrimethoxysilane, 3-aminopropylsilanetriol, N- (2-aminoethyl) -3-aminopropyltrimethoxysilane, N- (2-aminoethyl)- 3-aminopropyltrimethoxysilanetriol, (N, N) -dimethyl-3-aminopropyltrimethoxysilane, N-phenyl-3-aminopropyltrimethoxysilane, ureidopropyltriethoxysilane, or 3-glycidopropyldimethylethoxysilane.

바람직하게는, 연마 조성물이 첨가제를 포함하는 경우, 첨가제는 2-히드록시에틸메타크릴산 및 메타크릴산의 공중합체, 폴리비닐피롤리돈, 아미노프로필실란트리올, 피콜린산 N-옥시드, 전분, 알파-시클로덱스트린, 베타-시클로덱스트린, 및 그의 조합로부터 선택된다.Preferably, when the polishing composition comprises an additive, the additive is a copolymer of 2-hydroxyethylmethacrylic acid and methacrylic acid, polyvinylpyrrolidone, aminopropylsilanetriol, picolinic acid N-oxide , Starch, alpha-cyclodextrin, beta-cyclodextrin, and combinations thereof.

첨가제 (즉, 전체로서, 카르복실산 단량체, 술폰화 단량체, 또는 포스폰화 단량체와 아크릴레이트의 음이온성 공중합체, 폴리비닐피롤리돈, 또는 폴리비닐알콜; 실란; 관능화 피리딘의 N-옥시드; 전분; 시클로덱스트린; 또는 그의 조합)는 화학-기계적 연마 조성물 중에 임의의 적합한 농도로 존재할 수 있다. 바람직하게는, 첨가제는 연마 조성물 중에 약 1 ppm 내지 약 500 ppm, 예를 들어 약 5 ppm 내지 약 400 ppm, 약 10 ppm 내지 약 400 ppm, 약 15 ppm 내지 약 400 ppm, 약 20 ppm 내지 약 400 ppm, 약 25 ppm 내지 약 400 ppm, 약 10 ppm 내지 약 300 ppm, 약 10 ppm 내지 약 250 ppm, 약 30 ppm 내지 약 350 ppm, 약 30 ppm 내지 약 275 ppm, 약 50 ppm 내지 약 350 ppm, 또는 약 100 ppm 내지 약 300 ppm의 농도로 존재한다. 보다 바람직하게는, 첨가제는 연마 조성물 중에 약 1 ppm 내지 약 300 ppm, 예를 들어 약 1 ppm 내지 약 275 ppm, 약 1 ppm 내지 약 250 ppm, 약 1 ppm 내지 약 100 ppm, 약 1 ppm 내지 약 50 ppm, 약 10 ppm 내지 약 250 ppm, 약 10 ppm 내지 약 100 ppm, 또는 약 35 ppm 내지 약 250 ppm의 농도로 존재한다.Additives (ie, carboxylic acid monomers, sulfonated monomers, or anionic copolymers of phosphonated monomers and acrylates, polyvinylpyrrolidone, or polyvinyl alcohol as a whole; silanes; N-oxides of functionalized pyridine Starch; cyclodextrin; or a combination thereof) may be present in any suitable concentration in the chemical-mechanical polishing composition. Preferably, the additive is about 1 ppm to about 500 ppm, for example about 5 ppm to about 400 ppm, about 10 ppm to about 400 ppm, about 15 ppm to about 400 ppm, about 20 ppm to about 400 in the polishing composition. ppm, about 25 ppm to about 400 ppm, about 10 ppm to about 300 ppm, about 10 ppm to about 250 ppm, about 30 ppm to about 350 ppm, about 30 ppm to about 275 ppm, about 50 ppm to about 350 ppm, Or at a concentration of about 100 ppm to about 300 ppm. More preferably, the additive is about 1 ppm to about 300 ppm, for example about 1 ppm to about 275 ppm, about 1 ppm to about 250 ppm, about 1 ppm to about 100 ppm, about 1 ppm to about in the polishing composition. 50 ppm, about 10 ppm to about 250 ppm, about 10 ppm to about 100 ppm, or about 35 ppm to about 250 ppm.

연마 조성물은 임의로 본원에 기재된 하나 이상의 첨가제 이외에도, 본원에 기재된 양이온성 중합체, 즉 카르복실산 단량체, 술폰화 단량체, 또는 포스폰화 단량체와 아크릴레이트의 음이온성 공중합체, 폴리비닐피롤리돈 또는 폴리비닐알콜, 비이온성 중합체, 실란, 관능화 피리딘의 N-옥시드; 전분; 및 시클로덱스트린 중 1종 이상을 포함할 수 있다. 대안적으로, 연마 조성물은 상기 기재된 1종 이상의 첨가제를 갖지 않는, 즉, 카르복실산 단량체, 술폰화 단량체, 또는 포스폰화 단량체와 아크릴레이트의 음이온성 공중합체, 폴리비닐피롤리돈, 또는 폴리비닐알콜; 비이온성 중합체; 실란; 관능화된 피리딘의 N-옥시드; 전분; 및 시클로덱스트린 중 1종 이상이 없이 양이온성 중합체를 포함할 수 있다.The polishing composition optionally comprises, in addition to one or more additives described herein, cationic polymers described herein, ie carboxylic acid monomers, sulfonated monomers, or anionic copolymers of phosphonated monomers and acrylates, polyvinylpyrrolidone or polyvinyl. N-oxides of alcohols, nonionic polymers, silanes, functionalized pyridine; Starch; And cyclodextrins. Alternatively, the polishing composition does not have one or more of the additives described above, that is, an anionic copolymer of carboxylic acid monomers, sulfonated monomers, or phosphonated monomers and acrylates, polyvinylpyrrolidone, or polyvinyl Alcohol; Nonionic polymers; Silanes; N-oxides of functionalized pyridine; Starch; And cationic polymers without one or more of cyclodextrins.

연마 조성물은 수성 캐리어를 포함한다. 수성 캐리어는 물 (예를 들어, 탈이온수)을 포함하고, 1종 이상의 수-혼화성 유기 용매를 함유할 수 있다. 사용될 수 있는 유기 용매의 예는 알콜, 예를 들어 프로페닐 알콜, 이소프로필 알콜, 에탄올, 1-프로판올, 메탄올, 1-헥산올 등; 알데히드, 예컨대 아세틸알데히드 등; 케톤 예컨대 아세톤, 디아세톤 알콜, 메틸 에틸 케톤 등; 에스테르, 예컨대 에틸 포르메이트, 프로필 포르메이트, 에틸 아세테이트, 메틸 아세테이트, 메틸 락테이트, 부틸 락테이트, 에틸 락테이트 등; 술폭시드를 포함하는 에테르, 예를 들어 디메틸 술폭시드 (DMSO), 테트라히드로푸란, 디옥산, 디글라임 등; 아미드, 예를 들어 N,N-디메틸포름아미드, 디메틸이미다졸리딘온, N-메틸피롤리돈 등; 다가 알콜 및 그의 유도체, 예컨대 에틸렌 글리콜, 글리세롤, 디에틸렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르 등; 및 질소-함유 유기 화합물, 예를 들어 아세토니트릴, 아밀아민, 이소프로필아민, 이미다졸, 디메틸아민 등을 포함한다. 바람직하게는, 수성 캐리어는 물 단독이며, 즉 유기 용매의 존재가 없다.The polishing composition comprises an aqueous carrier. The aqueous carrier comprises water (eg deionized water) and may contain one or more water-miscible organic solvents. Examples of organic solvents that can be used include alcohols such as propenyl alcohol, isopropyl alcohol, ethanol, 1-propanol, methanol, 1-hexanol and the like; Aldehydes such as acetylaldehyde and the like; Ketones such as acetone, diacetone alcohol, methyl ethyl ketone and the like; Esters such as ethyl formate, propyl formate, ethyl acetate, methyl acetate, methyl lactate, butyl lactate, ethyl lactate and the like; Ethers including sulfoxides such as dimethyl sulfoxide (DMSO), tetrahydrofuran, dioxane, diglyme and the like; Amides such as N, N-dimethylformamide, dimethylimidazolidinone, N-methylpyrrolidone and the like; Polyhydric alcohols and derivatives thereof such as ethylene glycol, glycerol, diethylene glycol, diethylene glycol monomethyl ether and the like; And nitrogen-containing organic compounds such as acetonitrile, amylamine, isopropylamine, imidazole, dimethylamine and the like. Preferably, the aqueous carrier is water alone, ie there is no organic solvent present.

본 발명의 연마 조성물의 pH는 약 3 내지 약 9이다. 전형적으로, 연마 조성물은 약 3 이상의 pH를 갖는다. 또한, 연마 조성물의 pH는 전형적으로 약 9 이하이다. 예를 들어, 연마 조성물은 약 3.5 내지 약 9, 예를 들어 약 4 내지 약 9, 약 4.5 내지 약 9, 약 5 내지 약 9, 약 5.5 내지 약 9, 약 6 내지 약 9, 약 6.5 내지 약 9, 약 7 내지 약 9, 약 7.5 내지 약 9, 약 8 내지 약 9, 또는 약 8.5 내지 약 9의 pH를 가질 수 있다. 대안적으로, 연마 조성물은 약 3 내지 약 8.5, 예를 들어 약 3 내지 약 8, 약 3 내지 약 7.5, 약 3 내지 약 7, 약 3 내지 약 6.5, 약 3 내지 약 6, 약 3 내지 약 5.5, 약 3 내지 약 5, 약 3 내지 약 4.5, 약 3 내지 약 4, 또는 약 3 내지 약 3.5의 pH를 가질 수 있다. 따라서, 연마 조성물은 상기 종점들 중 임의의 2개로 제한된 pH를 가질 수 있다.The pH of the polishing composition of the present invention is about 3 to about 9. Typically, the polishing composition has a pH of about 3 or greater. In addition, the pH of the polishing composition is typically about 9 or less. For example, the polishing composition may be about 3.5 to about 9, for example about 4 to about 9, about 4.5 to about 9, about 5 to about 9, about 5.5 to about 9, about 6 to about 9, about 6.5 to about 9, about 7 to about 9, about 7.5 to about 9, about 8 to about 9, or about 8.5 to about 9. Alternatively, the polishing composition may be about 3 to about 8.5, for example about 3 to about 8, about 3 to about 7.5, about 3 to about 7, about 3 to about 6.5, about 3 to about 6, about 3 to about It may have a pH of 5.5, about 3 to about 5, about 3 to about 4.5, about 3 to about 4, or about 3 to about 3.5. Thus, the polishing composition may have a pH limited to any two of these endpoints.

바람직하게는, 연마 조성물은 약 3 내지 약 5, 또는 약 7.0 내지 약 8.5의 pH를 갖는다. 예를 들어, 바람직한 실시양태에서 연마 조성물은 연마제, 본원에 기재된 화학식 Q-B의 자기-정지제 및 수성 캐리어를 포함하며, 여기서 연마 조성물의 pH는 약 3 내지 약 5이다.Preferably, the polishing composition has a pH of about 3 to about 5, or about 7.0 to about 8.5. For example, in a preferred embodiment the polishing composition comprises an abrasive, a self-stopping agent of Formula Q-B described herein, and an aqueous carrier, wherein the pH of the polishing composition is about 3 to about 5.

또 다른 바람직한 실시양태에서, 연마 조성물은 연마제, 본원에 기재된 바와 같은 화학식 Q-B의 자기-정지제, 양이온성 중합체, 및 수성 캐리어를 포함하며, 여기서 연마 조성물의 pH는 약 7.0 내지 약 9.0이다. 일부 바람직한 실시양태에서, 본 발명의 연마 조성물은 연마제, 본원에 기재된 바와 같은 화학식 (I)의 자기-정지제, 양이온성 중합체, 및 수성 캐리어를 포함하며, 여기서 연마 조성물의 pH는 약 7.0 내지 약 9.0이다.In another preferred embodiment, the polishing composition comprises an abrasive, a self-stopping agent of Formula Q-B as described herein, a cationic polymer, and an aqueous carrier, wherein the pH of the polishing composition is about 7.0 to about 9.0. In some preferred embodiments, the polishing compositions of the present invention comprise an abrasive, a self-stopping agent of formula (I) as described herein, a cationic polymer, and an aqueous carrier, wherein the pH of the polishing composition is from about 7.0 to about 9.0.

연마 조성물은 pH-조정제 및 pH 완충제를 포함할 수 있다. pH-조정제는 임의의 적합한 pH-조정제일 수 있다. 예를 들어, pH-조정제는 알킬 아민, 알콜 아민, 4급 아민 히드록시드, 암모니아, 또는 그의 조합일 수 있다. 특히, pH-조정제는 트리에탄올아민 (TEA), 수산화테트라메틸암모늄 (TMAH 또는 TMA-OH), 또는 수산화테트라에틸암모늄 (TEAH 또는 TEA-OH)일 수 있다. 일부 실시양태에서, pH-조정제는 트리에탄올아민이다.The polishing composition may comprise a pH-adjusting agent and a pH buffer. The pH-adjusting agent can be any suitable pH-adjusting agent. For example, the pH-adjusting agent can be an alkyl amine, alcohol amine, quaternary amine hydroxide, ammonia, or a combination thereof. In particular, the pH-adjusting agent may be triethanolamine (TEA), tetramethylammonium hydroxide (TMAH or TMA-OH), or tetraethylammonium hydroxide (TEAH or TEA-OH). In some embodiments, the pH-adjusting agent is triethanolamine.

pH-조정제는 연마 조성물 중에 임의의 적합한 농도로 존재할 수 있다. 바람직하게는, pH-조정제는 연마 조성물 중에, 본원에 제시된 pH 범위 내에서 연마 조성물의 pH를 달성하고/거나 유지하기에 충분한 농도로, 예를 들어 약 3 내지 약 9의 pH를 유지하거나, 약 3 내지 약 5의 pH를 유지하거나, 또는 약 7.0 내지 약 8.5의 pH를 유지하기에 충분한 농도로 존재한다.The pH-adjusting agent may be present in any suitable concentration in the polishing composition. Preferably, the pH-adjusting agent maintains a pH in the polishing composition at a concentration sufficient to achieve and / or maintain the pH of the polishing composition within the pH ranges set forth herein, for example from about 3 to about 9, or It is present at a concentration sufficient to maintain a pH of 3 to about 5, or to maintain a pH of about 7.0 to about 8.5.

연마 조성물은 임의의 적합한 완충제를 함유할 수 있다. 예를 들어, 적합한 완충제는 포스페이트, 술페이트, 아세테이트, 말로네이트, 옥살레이트, 보레이트, 암모늄 염, 아졸 등을 포함할 수 있다. 일부 실시양태에서, 완충제는 1H-벤조트리아졸이다.The polishing composition may contain any suitable buffer. For example, suitable buffers may include phosphates, sulfates, acetates, malonates, oxalates, borates, ammonium salts, azoles and the like. In some embodiments, the buffer is 1H-benzotriazole.

연마 조성물은 존재하는 경우에 임의의 적합한 양의 완충제를 함유할 수 있다. 예를 들어, 완충제는 연마 조성물 중에 약 0.0001 중량% 이상, 예를 들어 약 0.0005 중량% 이상, 약 0.001 중량% 이상, 약 0.005 중량% 이상, 약 0.01 중량% 이상, 또는 약 0.1 중량% 이상의 농도로 존재할 수 있다. 대안적으로 또는 추가로, 완충제는 연마 조성물 중에 약 2 중량% 이하, 예를 들어 약 1.8 중량% 이하, 약 1.6 중량% 이하, 약 1.4 중량% 이하, 약 1.2 중량% 이하, 또는 약 1 중량% 이하의 농도로 존재할 수 있다. 따라서, 완충제는 연마 조성물 중에 상기 언급된 종점들 중 임의의 2개로 제한된 농도로 존재할 수 있다. 예를 들어, 완충제는 연마 조성물 중에 약 0.0001 중량% 내지 약 2 중량%, 예를 들어 약 0.005 중량% 내지 약 1.8 중량%, 약 0.01 중량% 내지 약 1.6 중량%, 또는 약 0.1 중량% 내지 약 1 중량%의 농도로 존재할 수 있다.The polishing composition, if present, may contain any suitable amount of buffer. For example, the buffer may be present in a polishing composition at a concentration of at least about 0.0001%, for example at least about 0.0005%, at least about 0.001%, at least about 0.005%, at least about 0.01%, or at least about 0.1% by weight. May exist. Alternatively or additionally, the buffer may be up to about 2% by weight, for example up to about 1.8%, up to about 1.6%, up to about 1.4%, up to about 1.2%, or about 1% by weight in the polishing composition. It may be present in the following concentrations. Thus, the buffer may be present in the polishing composition at a concentration limited to any two of the aforementioned endpoints. For example, the buffer may comprise about 0.0001% to about 2% by weight, such as about 0.005% to about 1.8%, about 0.01% to about 1.6%, or about 0.1% to about 1% by weight in the polishing composition. It may be present at a concentration by weight.

연마 조성물은 임의로 1종 이상의 다른 첨가제를 추가로 포함한다. 예시적인 추가의 성분은 속도 증진제, 컨디셔너, 스케일 억제제, 분산제 등을 포함한다. 바람직하게는, 속도 증진제는 과배위 화합물 (예를 들어, 오배위 또는 육배위 규소 화합물)을 형성함으로써 연마 입자 또는 기판을 활성화시키는 유기 카르복실산이다. 적합한 속도 증진제는, 예를 들어, 피콜린산 및 4-히드록시벤조산을 포함한다. 연마 조성물은 점도 증진제 및 응고제 (예를 들어, 중합체성 레올로지 제어제, 예컨대, 예를 들어, 우레탄 중합체), 분산제, 살생물제 (예를 들어, 카톤(KATHON)™ LX) 등을 비롯한, 계면활성제 및/또는 레올로지 제어제를 포함할 수 있다. 적합한 계면활성제는, 예를 들어, 양이온성 계면활성제, 음이온성 계면활성제, 음이온성 고분자전해질, 비이온성 계면활성제, 양쪽성 계면활성제, 불소화 계면활성제, 그의 혼합물 등을 포함한다. 예로서, 추가의 성분은 브리즈 S20 (폴리에틸렌 글리콜 옥타데실 에테르) 및 폴리에틸렌 글리콜 (예를 들어 PEG8000)을 포함할 수 있다.The polishing composition optionally further includes one or more other additives. Exemplary additional ingredients include speed enhancers, conditioners, scale inhibitors, dispersants, and the like. Preferably, the rate enhancer is an organic carboxylic acid that activates abrasive particles or a substrate by forming a supercoordinating compound (eg, a coordinating or hexagonal silicon compound). Suitable rate enhancers include, for example, picolinic acid and 4-hydroxybenzoic acid. Abrasive compositions include viscosity enhancers and coagulants (eg, polymeric rheology control agents such as, for example, urethane polymers), dispersants, biocides (eg, KATHON ™ LX), and the like. Surfactants and / or rheology control agents. Suitable surfactants include, for example, cationic surfactants, anionic surfactants, anionic polyelectrolytes, nonionic surfactants, amphoteric surfactants, fluorinated surfactants, mixtures thereof, and the like. By way of example, additional components may include Breeze S20 (polyethylene glycol octadecyl ether) and polyethylene glycol (eg PEG8000).

연마 조성물은 임의의 적합한 기술에 의해 제조될 수 있으며, 그 중 다수는 관련 기술분야의 통상의 기술자에게 공지되어 있다. 연마 조성물은 배치 또는 연속 공정으로 제조될 수 있다. 일반적으로, 연마 조성물은 본원에 기재된 성분을 임의의 순서로 합하여 제조될 수 있다. 본원에 사용되는 용어 "성분"은 개별 성분 (예를 들어, 연마제, 자기-정지제, 양이온성 화합물 등) 뿐만 아니라 성분들의 임의의 조합 (예를 들어, 연마제, 자기-정지제, 양이온성 화합물 등)을 포함한다.The polishing composition can be prepared by any suitable technique, many of which are known to those skilled in the art. The polishing composition can be prepared in a batch or continuous process. In general, the polishing composition may be prepared by combining the components described herein in any order. As used herein, the term "component" means any component (eg, abrasive, self-stopping agent, cationic compound, etc.) as well as any combination of components (eg, abrasive, self-stopping agent, cationic compound). And the like).

예를 들어, 자기-정지제는 목적하는 농도(들)로 수성 캐리어 (예를 들어, 물)에 첨가될 수 있다. 이어서, pH는 (원하는 대로) 조정될 수 있고, 연마제는 목적하는 농도로 혼합물에 첨가되어 연마 조성물을 형성할 수 있다. 연마 조성물은 사용 전에 제조될 수 있으며, 1종 이상의 성분이 사용 직전에 (예를 들어, 사용 전 약 1분 내에, 또는 사용 전 약 1시간 내에, 또는 사용 전 약 7일 내에) 연마 조성물에 첨가된다. 연마 조성물은 또한 연마 작업 동안 기판의 표면에서 성분들을 혼합함으로써 제조될 수 있다.For example, self-stopping agents can be added to the aqueous carrier (eg, water) at the desired concentration (s). The pH can then be adjusted (as desired) and the abrasive can be added to the mixture at the desired concentration to form the polishing composition. The polishing composition may be prepared prior to use, and one or more components are added to the polishing composition immediately prior to use (eg, within about 1 minute before use, or within about 1 hour before use, or within about 7 days before use). do. The polishing composition may also be prepared by mixing the components at the surface of the substrate during the polishing operation.

연마 조성물은 또한 사용 전에, 적절한 양의 수성 캐리어, 특히 물로 희석되도록 의도된 농축물로서 제공될 수 있다. 이러한 실시양태에서, 연마 조성물 농축물은 연마제, 자기-정지제, 양이온성 중합체 (존재하는 경우) 및 수성 캐리어를, 적절한 양의 물로 농축물의 희석시 연마 조성물의 각각의 성분이 각각의 성분에 대해 상기 언급된 적절한 범위 내의 양으로 연마 조성물 중에 존재할 수 있도록 하는 양으로 포함할 수 있다. 또한, 통상의 기술자에 의해 이해될 것이듯이, 다른 성분이 적어도 부분적으로 또는 완전히 농축물에 용해되는 것을 보장하기 위해 농축물은 최종 연마 조성물 중에 존재하는 적절한 분율의 물을 함유할 수 있다.The polishing composition may also be provided as a concentrate intended to be diluted with an appropriate amount of aqueous carrier, in particular water, before use. In such embodiments, the polishing composition concentrate may comprise a polishing agent, a self-stopping agent, a cationic polymer (if present) and an aqueous carrier when each component of the polishing composition is diluted for each component upon dilution of the concentrate with an appropriate amount of water. It may be included in an amount such that it can be present in the polishing composition in an amount within the appropriate ranges mentioned above. In addition, as will be appreciated by those skilled in the art, the concentrate may contain an appropriate fraction of water present in the final polishing composition to ensure that the other components are at least partially or completely dissolved in the concentrate.

연마 조성물은 사용하기 상당히 전에, 또는 심지어 바로 전에 제조될 수 있지만, 연마 조성물은 또한 사용-지점에서 또는 그 근처에서 연마 조성물의 성분을 혼합함으로써 제조될 수 있다. 본원에 사용된 용어 "사용 지점"은 연마 조성물이 기판 표면 (예를 들어, 연마 패드 또는 기판 표면 자체)에 적용되는 지점을 지칭한다. 연마 조성물이 사용-지점 혼합을 사용하여 제조되어야 할 경우, 연마 조성물의 성분은 2개 이상의 저장 장치에서 개별적으로 저장된다.Although the polishing composition may be prepared considerably before or even just before use, the polishing composition may also be prepared by mixing the components of the polishing composition at or near the point of use. As used herein, the term “point of use” refers to the point where the polishing composition is applied to the substrate surface (eg, the polishing pad or the substrate surface itself). If the polishing composition is to be prepared using use-point mixing, the components of the polishing composition are stored separately in two or more storage devices.

저장 장치에 함유된 성분을 혼합하여 사용-지점에서 또는 그 근처에서 연마 조성물을 제조하기 위해, 저장 장치에는 전형적으로 각각의 저장 장치로부터 연마 조성물의 사용-지점 (예를 들어, 압반, 연마 패드, 또는 기판 표면)으로 이어진 1개 이상의 유동 라인이 제공된다. 용어 "유동 라인"은 개별 저장 용기로부터 거기에 저장된 성분의 사용 지점으로의 유동 경로를 의미한다. 1개 이상의 유동 라인은 각각 사용-지점으로 직접 이어질 수 있거나, 또는 1개 초과의 유동 라인이 사용되는 상황에서는, 유동 라인 중 2개 이상이 임의의 지점에서 단일 유동 라인으로 합해져 사용-지점으로 이어질 수 있다. 또한, 임의의 1개 이상의 유동 라인 (예를 들어, 개별 유동 라인 또는 합쳐진 유동 라인)이 성분(들)의 사용 지점에 도달하기 전에 1개 이상의 다른 장치 (예를 들어, 펌핑 장치, 측정 장치, 혼합 장치 등)에 먼저 도달할 수 있다.In order to prepare the polishing composition at or near the point of use by mixing the components contained in the storage device, the storage device typically has a point of use (eg, platens, polishing pads, Or one or more flow lines) leading to the substrate surface). The term "flow line" means a flow path from an individual storage vessel to the point of use of the components stored there. One or more flow lines may each directly lead to a point of use, or in situations where more than one flow line is used, two or more of the flow lines may be combined into a single flow line at any point and lead to a point of use. Can be. In addition, one or more other flow lines (e.g., individual flow lines or combined flow lines) before one or more other devices (e.g. pumping devices, measuring devices, Mixing device, etc.) first.

연마 조성물의 성분은 사용 지점에 독립적으로 전달될 수 있거나 (예를 들어, 성분이 기판 표면에 전달되고 이때 성분은 연마 공정 동안 혼합됨), 또는 성분은 사용 지점에 전달되기 직전에 합쳐질 수 있다. 성분이 사용-지점에 도달하기 10초 미만 전에, 바람직하게는 사용-지점에 도달하기 5초 미만 전에, 보다 바람직하게는 사용-지점에 도달하기 1초 미만 전에, 또는 심지어 사용-지점에서 성분의 전달과 동시에 조합되는 경우 (예를 들어, 성분이 분배기에서 합쳐지는 경우)에 성분은 "사용-지점에 전달되기 직전"에 합쳐진다. 성분이 사용 지점의 5 m 내, 예를 들어 사용 지점의 1 m 내 또는 심지어 사용 지점의 10 cm 내 (예를 들어, 사용 지점의 1 cm 내)에서 조합되는 경우, 성분은 또한 "사용 지점으로 전달되기 직전"에 합쳐진다.The components of the polishing composition may be delivered independently at the point of use (eg, the components are delivered to the substrate surface where the components are mixed during the polishing process), or the components may be combined just before being delivered to the point of use. Less than 10 seconds before the component reaches the point of use, preferably less than 5 seconds before reaching the point of use, more preferably less than 1 second before reaching the point of use, or even at the point of use When combined at the same time as delivery (eg, when the components are combined at the dispenser), the components are combined "just before delivery to the point of use". If the components are combined within 5 m of the point of use, for example within 1 m of the point of use or even within 10 cm of the point of use (eg within 1 cm of the point of use), the components are also referred to as “points of use. Immediately before delivery ".

연마 조성물의 성분 중 2종 이상이 사용-지점에 도달하기 전에 합쳐질 경우에, 성분은 혼합 장치의 사용 없이 유동 라인에서 합쳐져 사용-지점에 전달될 수 있다. 대안적으로, 1개 이상의 유동 라인이 혼합 장치에 도달하여 2종 이상의 성분의 조합을 용이하게 할 수 있다. 임의의 적합한 혼합 장치가 사용될 수 있다. 예를 들어, 혼합 장치는 2종 이상의 성분이 유동하는 노즐 또는 제트 (예를 들어, 고압 노즐 또는 제트)일 수 있다. 대안적으로, 혼합 장치는 연마 조성물의 2종 이상의 성분이 혼합기로 도입되는 1개 이상의 유입구, 및 혼합된 성분이 혼합기를 떠나 직접 또는 장치의 다른 요소를 통해 (예를 들어, 1개 이상의 유동 라인을 통해) 사용 지점으로 전달되는 적어도 1개의 유출구를 포함하는 용기형 혼합 장치일 수 있다. 또한, 혼합 장치는 1개 초과의 챔버를 포함할 수 있고, 각 챔버는 적어도 1개의 유입구 및 적어도 1개의 유출구를 가지며, 여기서 2종 이상의 성분이 각 챔버에서 합쳐진다. 용기-유형 혼합 장치가 사용되는 경우에, 혼합 장치는 바람직하게는 성분의 조합을 더 용이하게 하는 혼합 메카니즘을 포함한다. 혼합 메카니즘은 관련 기술분야에서 일반적으로 공지되어 있으며 교반기, 블렌더, 진탕기 (agitator), 패들 배플 (paddled baffle), 기체 스파져 (sparger) 시스템, 진동기 등을 포함한다.If two or more of the components of the polishing composition are combined before reaching the point of use, the components can be combined and delivered to the point of use without the use of a mixing device. Alternatively, one or more flow lines may reach the mixing device to facilitate the combination of two or more components. Any suitable mixing device can be used. For example, the mixing device may be a nozzle or jet (eg, a high pressure nozzle or jet) through which two or more components flow. Alternatively, the mixing device may comprise one or more inlets through which two or more components of the polishing composition are introduced into the mixer, and the mixed components leave the mixer directly or through other elements of the apparatus (eg, one or more flow lines). Through) at least one outlet to the point of use. Also, the mixing device may comprise more than one chamber, each chamber having at least one inlet and at least one outlet, where two or more components are combined in each chamber. If a container-type mixing device is used, the mixing device preferably comprises a mixing mechanism that facilitates the combination of the components. Mixing mechanisms are generally known in the art and include stirrers, blenders, agitators, paddled baffles, gas sparger systems, vibrators and the like.

본 발명은 또한 본원에 기재된 본 발명의 CMP 조성물을 사용하여 기판을 화학-기계적으로 연마하는 방법을 제공한다. 한 실시양태에서, 본 발명은 (i) 기판의 표면 상에, 유전체 물질의 상승된 영역 및 유전체 물질의 트렌치 영역을 포함하는 패턴 유전체 층을 포함하는 기판을 제공하는 것 (여기서 패턴 유전체 층의 초기 단차는 유전체 물질의 상승된 영역의 높이와 유전체 물질의 트렌치 영역의 높이 사이의 차임), (ii) 연마 패드를 제공하는 것, (iii) 본원에 기재된 화학-기계적 연마 조성물을 제공하는 것, (iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것, (v) 기판에 대해 연마 패드 및 화학-기계적 연마 조성물을 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것을 포함하는, 기판을 화학-기계적으로 연마하는 방법을 제공한다.The invention also provides a method of chemically-mechanically polishing a substrate using the CMP compositions of the invention described herein. In one embodiment, the present invention provides a substrate comprising (i) on a surface of a substrate a patterned dielectric layer comprising a raised region of dielectric material and a trenched region of dielectric material, wherein the initial of the patterned dielectric layer The step is the difference between the height of the elevated region of the dielectric material and the height of the trench region of the dielectric material), (ii) providing a polishing pad, (iii) providing a chemical-mechanical polishing composition described herein, ( iv) contacting the substrate with the polishing pad and the chemical-mechanical polishing composition, (v) moving the polishing pad and the chemical-mechanical polishing composition relative to the substrate to polish at least a portion of the patterned dielectric layer on the surface of the substrate to polish the substrate. A method of chemically-mechanically polishing a substrate is provided.

보다 구체적으로, 본 발명은 (i) 기판의 표면 상에, 유전체 물질의 상승된 영역 및 유전체 물질의 트렌치 영역을 포함하는 패턴 유전체 층을 포함하는 기판을 제공하는 것 (여기서 패턴 유전체 층의 초기 단차는 유전체 물질의 상승된 영역의 높이와 유전체 물질의 트렌치 영역의 높이 사이의 차임), (ii) 연마 패드를 제공하는 것, (iii) (a) 연마제, (b) 화학식 Q-B의 자기-정지제 (여기서 Q는 치환 또는 비치환된 소수성 기, 또는 입체 장애를 부여하는 기이고, B는 구조 C(O)-X-OH 또는 -C(O)-OH를 갖는 결합 기이고, 여기서 X는 C1-C2 알킬 기임), (c) 수성 캐리어, (d) 임의로 양이온성 중합체를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공하는 것, (iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것, (v) 연마 패드 및 화학-기계적 연마 조성물을 기판에 대해 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것을 포함하는, 기판을 화학-기계적으로 연마하는 방법을 제공한다.More specifically, the invention provides a substrate comprising (i) a patterned dielectric layer on a surface of the substrate, the patterned dielectric layer comprising a raised region of dielectric material and a trenched region of dielectric material, wherein the initial step of the patterned dielectric layer is Is the difference between the height of the elevated region of the dielectric material and the height of the trench region of the dielectric material), (ii) providing a polishing pad, (iii) (a) abrasive, (b) a self-stopping agent of formula QB Wherein Q is a substituted or unsubstituted hydrophobic group, or a group imparting steric hindrance, and B is a bonding group having the structure C (O) -X-OH or -C (O) -OH, wherein X is C1 -C2 alkyl group), (c) an aqueous carrier, (d) optionally a cationic polymer, and having a pH of about 3 to about 9, (iv) a substrate with a polishing pad and Contacting with the chemical-mechanical polishing composition, (v) polishing pad and chemical-mechanical lead Provided is a method for chemically-mechanically polishing a substrate comprising moving the composition to a substrate to polish the substrate by grinding at least a portion of the patterned dielectric layer on the surface of the substrate.

또한, 본 발명은 (i) 기판의 표면 상에, 유전체 물질의 상승된 영역 및 유전체 물질의 트렌치 영역을 포함하는 패턴 유전체 층을 포함하는 기판을 제공하는 것 (여기서 패턴 유전체 층의 초기 단차는 유전체 물질의 상승된 영역의 높이와 유전체 물질의 트렌치 영역의 높이 사이의 차임), (ii) 연마 패드를 제공하는 것, (iii) (a) 세리아를 포함하는 연마제, (b) 코지산, 말톨, 카페인산, 크로톤산, 티글산, 2-펜텐산, 2-히드록시니코틴산, 에틸 말톨, 소르브산칼륨, 소르브산, 디페리프론, 발레르산 및 그의 조합으로부터 선택된 자기-정지제, (c) 수성 캐리어를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공하는 것, (iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것, (v) 연마 패드 및 화학-기계적 연마 조성물을 기판에 대해 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것을 포함하는, 기판을 화학-기계적으로 연마하는 방법을 제공한다.The present invention also provides a substrate comprising (i) a patterned dielectric layer on a surface of a substrate, the patterned dielectric layer comprising a raised region of dielectric material and a trenched region of dielectric material, wherein the initial step of the patterned dielectric layer is a dielectric The difference between the height of the elevated region of the material and the height of the trench region of the dielectric material), (ii) providing a polishing pad, (iii) (a) an abrasive comprising ceria, (b) kojic acid, maltol, Self-stopping agent selected from caffeic acid, crotonic acid, tiglic acid, 2-pentenoic acid, 2-hydroxynicotinic acid, ethyl maltol, potassium sorbate, sorbic acid, diperiprone, valeric acid and combinations thereof, (c) aqueous Providing a chemical-mechanical polishing composition comprising a carrier and having a pH of about 3 to about 9, (iv) contacting the substrate with the polishing pad and the chemical-mechanical polishing composition, (v) the polishing pad and the chemical- Mechanical polishing composition to the substrate Provides a method of mechanically polished - a substrate, which comprises grinding at least a portion of the copper to the dielectric layer pattern on the surface of the substrate to polish the substrate chemistry.

본 발명은 또한 (i) 기판의 표면 상에, 유전체 물질의 상승된 영역 및 유전체 물질의 트렌치 영역을 포함하는 패턴 유전체 층을 포함하는 기판을 제공하는 것 (여기서 패턴 유전체 층의 초기 단차는 유전체 물질의 상승된 영역의 높이와 유전체 물질의 트렌치 영역의 높이 사이의 차임), (ii) 연마 패드를 제공하는 것, (iii) (a) 연마제, (b) 화학식 (I)의 화합물로부터 선택된 자기-정지제, (c) 수성 캐리어, (d) 양이온성 중합체를 포함하며, 약 7 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공하는 것, (iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것, (v) 기판에 대해 연마 패드 및 화학-기계적 연마 조성물을 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것을 포함하는, 기판을 화학-기계적으로 연마하는 방법을 제공한다.The present invention also provides a substrate comprising (i) a patterned dielectric layer comprising a raised region of dielectric material and a trenched region of dielectric material on a surface of the substrate, wherein the initial step of the patterned dielectric layer is a dielectric material The difference between the height of the raised region and the height of the trench region of the dielectric material), (ii) providing a polishing pad, (iii) (a) abrasive, (b) a self-selected compound of formula (I) Providing a chemical-mechanical polishing composition comprising a stopper, (c) an aqueous carrier, (d) a cationic polymer, and having a pH of about 7 to about 9, (iv) polishing the substrate with a polishing pad and chemical-mechanical polishing Contacting the composition, (v) moving the polishing pad and the chemical-mechanical polishing composition relative to the substrate to polish at least a portion of the patterned dielectric layer on the surface of the substrate to polish the substrate.Mach provides a method.

Figure pct00009
Figure pct00009

여기서 R은 수소, 알킬, 시클로알킬, 아릴, 헤테로시클릭 알킬 및 헤테로시클릭 아릴로 이루어진 군으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있다.Wherein R is selected from the group consisting of hydrogen, alkyl, cycloalkyl, aryl, heterocyclic alkyl and heterocyclic aryl, each of which may be substituted or unsubstituted.

본 발명은 또한 (i) 기판의 표면 상에, 유전체 물질의 상승된 영역 및 유전체 물질의 트렌치 영역을 포함하는 패턴 유전체 층을 포함하는 기판을 제공하는 것 (여기서 패턴 유전체 층의 초기 단차는 유전체 물질의 상승된 영역의 높이와 유전체 물질의 트렌치 영역의 높이 사이의 차임), (ii) 연마 패드를 제공하는 것, (iii) (a) 연마제, (b) 화학식 (II), (III) 또는 (IV)의 화합물로부터 선택된 자기-정지제, (c) 수성 캐리어를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공하는 것, (iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것, (v) 연마 패드 및 화학-기계적 연마 조성물을 기판에 대해 접촉시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것을 포함하는, 기판을 화학-기계적으로 연마하는 방법을 제공한다.The present invention also provides a substrate comprising (i) a patterned dielectric layer comprising a raised region of dielectric material and a trenched region of dielectric material on a surface of the substrate, wherein the initial step of the patterned dielectric layer is a dielectric material The difference between the height of the raised region and the height of the trench region of the dielectric material), (ii) providing a polishing pad, (iii) (a) abrasive, (b) a formula (II), (III) or ( Providing a chemical-mechanical polishing composition comprising a self-stopping agent selected from the compound of IV), (c) an aqueous carrier and having a pH of about 3 to about 9, (iv) polishing the substrate with a polishing pad and chemical-mechanical Contacting the polishing composition, (v) polishing the substrate by polishing the substrate by contacting the polishing pad and the chemical-mechanical polishing composition against the substrate to grind at least a portion of the patterned dielectric layer on the surface of the substrate. Polishing with Provides a way.

Figure pct00010
Figure pct00010

여기서 각각의 X1-X3은 독립적으로 N, O, S, sp2 혼성화 탄소, 및 CY1Y2로부터 선택되고, 여기서 각각의 Y1 및 Y2는 독립적으로 수소, 히드록실, C1-C6 알킬, 할로겐, 및 그의 조합으로부터 선택되고, 각각의 Z1-Z3은 독립적으로 수소, 히드록실, C1-C6 알킬, 및 그의 조합으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있다.Wherein each X 1 -X 3 is independently selected from N, O, S, sp 2 hybridized carbon, and CY 1 Y 2 , wherein each Y 1 and Y 2 are independently hydrogen, hydroxyl, C 1- C 6 alkyl, halogen, and combinations thereof, each Z 1 -Z 3 is independently selected from hydrogen, hydroxyl, C 1 -C 6 alkyl, and combinations thereof, each of which may be substituted or unsubstituted Can be.

Figure pct00011
Figure pct00011

Z는 N, C1-C6 알킬, C1-C6 알케닐, C1-C6 알키닐, 및 아릴 (예를 들어, 페닐, 벤질, 나프틸, 아줄렌, 안트라센, 피렌 등)로부터 선택되고, X1 및 X2는 독립적으로 수소, 히드록시, 아미노, 및 C1-C6 알킬, C1-C6 알케닐로부터 선택되고, 여기서 X1 및 X2는 부착된 탄소와 함께 sp2-혼성화 탄소를 형성할 수 있고, n은 1 또는 2, p는 0-4이고, M은 수소 및 적합한 반대이온 (예를 들어, I족 금속)으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있다.Z is from N, C 1 -C 6 alkyl, C 1 -C 6 alkenyl, C 1 -C 6 alkynyl, and aryl (eg, phenyl, benzyl, naphthyl, azulene, anthracene, pyrene, etc.) And X 1 and X 2 are independently selected from hydrogen, hydroxy, amino, and C 1 -C 6 alkyl, C 1 -C 6 alkenyl, wherein X 1 and X 2 together with the attached carbon Can form a 2 -hybridized carbon, n is 1 or 2, p is 0-4, M is selected from hydrogen and a suitable counterion (eg, a Group I metal), each of which is substituted or unsubstituted Can be.

Figure pct00012
Figure pct00012

여기서 X, Y 및 Z는 독립적으로 H, O, S, NH 및 CH2로부터 선택되고, R1, R2 및 R3은 독립적으로 H, 알킬, 알케닐, 알키닐, 아릴, 할로 및 할로알킬로부터 선택되고, M은 수소 및 적합한 반대이온으로부터 선택된다.Wherein X, Y and Z are independently selected from H, O, S, NH and CH 2 , and R 1 , R 2 and R 3 are independently H, alkyl, alkenyl, alkynyl, aryl, halo and haloalkyl And M is selected from hydrogen and a suitable counterion.

본 발명은 또한 (i) 기판의 표면 상에, 유전체 물질의 상승된 영역 및 유전체 물질의 트렌치 영역을 포함하는 패턴 유전체 층을 포함하는 기판을 제공하는 것 (여기서 패턴 유전체 층의 초기 단차는 유전체 물질의 상승된 영역의 높이와 유전체 물질의 트렌치 영역의 높이 사이의 차임), (ii) 연마 패드를 제공하는 것, (iii) (a) 세리아를 포함하는 연마제, (b) 히드록삼산 예컨대 아세토히드록삼산, 벤즈히드록삼산, 살리실히드록삼산 및 그의 조합으로부터 선택된 자기-정지제, (c) 양이온성 중합체, 및 (d) 수성 캐리어를 포함하며, 약 7 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물을 제공하는 것, (iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것, (v) 연마 패드 및 화학-기계적 연마 조성물을 기판에 대해 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것을 포함하는, 기판을 화학-기계적으로 연마하는 방법을 제공한다.The present invention also provides a substrate comprising (i) a patterned dielectric layer comprising a raised region of dielectric material and a trenched region of dielectric material on a surface of the substrate, wherein the initial step of the patterned dielectric layer is a dielectric material The difference between the height of the raised region and the height of the trench region of the dielectric material), (ii) providing a polishing pad, (iii) (a) an abrasive comprising ceria, (b) hydroxamic acid such as acetohydride A chemical having a pH of about 7 to about 9, comprising a self-stopping agent selected from lactic acid, benzhydroxamic acid, salicylic acid, and combinations thereof, (c) a cationic polymer, and (d) an aqueous carrier Providing a mechanical polishing composition, (iv) contacting the substrate with the polishing pad and the chemical-mechanical polishing composition, (v) moving the polishing pad and the chemical-mechanical polishing composition relative to the substrate to provide a pattern on the surface of the substrate. Provided are a method for chemically-mechanically polishing a substrate, comprising grinding at least a portion of the entire layer to polish the substrate.

본 발명의 연마 조성물은 임의의 적합한 기판을 연마하는데 유용하다. 연마 조성물은 특히 산화규소 층을 포함하는 기판을 연마하는데 유용하다. 적합한 기판은, 플랫 패널 디스플레이, 집적 회로, 메모리 또는 강성 디스크, 금속, 반도체, 층간 유전체 (ILD) 장치, 미세전자기계 시스템 (MEMS), 3D NAND 디바이스, 강유전체, 및 자기 헤드를 포함하나 이에 제한되지는 않는다. 연마 조성물은 특히 얕은 트렌치 격리 (STI) 가공을 거친 기판을 평탄화 또는 연마하는데 매우 적합하다. 바람직하게는, 기판은 유전체-함유 (예를 들어, 산화규소-함유) 표면, 특히 유전체 물질의 트렌치 영역에 의해 분리된 상승된 유전체 영역을 포함하는 패턴 유전체 물질의 영역을 갖는 것을 포함한다. 기판은 적어도 1개의 다른 층, 예를 들어, 절연 층을 추가로 포함할 수 있다. 절연 층은 금속 산화물, 다공성 금속 산화물, 유리, 유기 중합체, 불소화 유기 중합체, 또는 임의의 다른 적합한 고 또는 저-κ 절연 층일 수 있다. 절연 층은 산화규소, 질화규소, 또는 그의 조합을 포함하거나, 이로 본질적으로 이루어지거나, 또는 이로 이루어질 수 있다. 산화규소 층은 임의의 적합한 산화규소를 포함하거나, 이로 본질적으로 이루어지거나, 또는 이로 이루어질 수 있으며, 이들 중 다수는 관련 기술분야에 공지되어 있다. 예를 들어, 산화규소 층은 테트라에톡시실란 (TEOS), 고밀도 플라즈마 (HDP) 산화물, 보로포스포실리케이트 유리 (BPSG), 고 종횡비 공정 (HARP) 산화물, 스핀 온 유전체 (SOD) 산화물, 화학 증착 (CVD) 산화물, 플라즈마-강화 테트라에틸 오르토 실리케이트 (PETEOS), 열 산화물, 또는 비도핑된 실리케이트 유리를 포함할 수 있다. 기판은 금속 층을 추가로 포함할 수 있다. 금속은 임의의 적합한 금속을 포함하거나, 이로 본질적으로 이루어지거나, 또는 이로 이루어질 수 있으며, 이들 중 다수는 관련 기술분야에 공지되어 있고, 예컨대, 예를 들어, 구리, 탄탈럼, 텅스텐, 티타늄, 플래티넘, 루테늄, 이리듐, 알루미늄, 니켈, 또는 그의 조합이다.The polishing composition of the present invention is useful for polishing any suitable substrate. The polishing composition is particularly useful for polishing a substrate comprising a silicon oxide layer. Suitable substrates include, but are not limited to, flat panel displays, integrated circuits, memory or rigid disks, metals, semiconductors, interlayer dielectric (ILD) devices, microelectromechanical systems (MEMS), 3D NAND devices, ferroelectrics, and magnetic heads. Does not. The polishing composition is particularly well suited for planarizing or polishing substrates that have undergone shallow trench isolation (STI) processing. Preferably, the substrate comprises one having a region of patterned dielectric material comprising a dielectric-containing (eg, silicon oxide-containing) surface, particularly a raised dielectric region separated by a trench region of the dielectric material. The substrate may further comprise at least one other layer, for example an insulating layer. The insulation layer can be a metal oxide, porous metal oxide, glass, organic polymer, fluorinated organic polymer, or any other suitable high or low-k insulation layer. The insulating layer may comprise, consist essentially of, or consist of silicon oxide, silicon nitride, or a combination thereof. The silicon oxide layer may comprise, consist essentially of, or consist of any suitable silicon oxide, many of which are known in the art. For example, silicon oxide layers include tetraethoxysilane (TEOS), high density plasma (HDP) oxide, borophosphosilicate glass (BPSG), high aspect ratio process (HARP) oxide, spin on dielectric (SOD) oxide, chemical vapor deposition (CVD) oxide, plasma-enhanced tetraethyl ortho silicate (PETEOS), thermal oxide, or undoped silicate glass. The substrate may further comprise a metal layer. The metal may comprise, consist essentially of, or consist of any suitable metal, many of which are known in the art and are, for example, copper, tantalum, tungsten, titanium, platinum, for example , Ruthenium, iridium, aluminum, nickel, or a combination thereof.

본 발명에 따라, 기판은 본 명세서에 기재된 연마 조성물을 사용하여 임의의 적합한 방법에 의해 평탄화 또는 연마될 수 있다. 본 발명의 연마 방법은 화학-기계적 연마 (CMP) 장치와 함께 사용하기에 특히 적합하다. 전형적으로, CMP 장치는 사용시 운동을 하며, 궤도, 직선 또는 원 운동으로부터 비롯된 속도를 갖는 압반; 압반과 접촉되어 있으며, 압반의 운동시 그와 함께 움직이는 연마 패드; 및 연마될 기판을 연마 패드의 표면과 접촉시켜 그에 대하여 이동하게 함으로써 기판을 고정하는 캐리어를 포함한다. 기판의 연마는 기판을 본 발명의 연마 조성물 및 전형적으로는 연마 패드와 접촉하게 배치하고, 이어서 기판의 표면의 적어도 일부, 예를 들어, 산화규소, 또는 본원에 기재된 기판 물질 중 1종 이상을 연마 조성물 및 전형적으로는 연마 패드로 연삭하여 기판을 연마함으로써 수행된다. 임의의 적합한 연마 조건을 사용하여 기판을 본 발명에 따라 연마할 수 있다.In accordance with the present invention, the substrate may be planarized or polished by any suitable method using the polishing composition described herein. The polishing method of the present invention is particularly suitable for use with chemical-mechanical polishing (CMP) apparatus. Typically, a CMP device is a platen that moves in use and has a velocity resulting from orbit, straight or circular motion; A polishing pad in contact with the platen and moving with the platen in motion; And a carrier that secures the substrate by bringing the substrate to be polished into contact with and moving relative to the surface of the polishing pad. Polishing the substrate places the substrate in contact with the polishing composition and typically the polishing pad of the invention, followed by polishing at least a portion of the surface of the substrate, such as silicon oxide, or one or more of the substrate materials described herein. The composition is performed by grinding the substrate, typically by polishing pads. Any suitable polishing condition can be used to polish the substrate in accordance with the present invention.

기판은 임의의 적합한 연마 패드 (예를 들어, 연마 표면)와 함께 화학-기계적 연마 조성물로 평탄화 또는 연마될 수 있다. 적합한 연마 패드는, 예를 들어, 직물 및 부직물 연마 패드를 포함한다. 더욱이, 적합한 연마 패드는 다양한 밀도, 경도, 두께, 압축성, 압축시 되튀기는 능력 및 압축 모듈러스의 임의의 적합한 중합체를 포함할 수 있다. 적합한 중합체는 예를 들어, 폴리비닐클로라이드, 폴리비닐플루오라이드, 나일론, 플루오로카본, 폴리카르보네이트, 폴리에스테르, 폴리아크릴레이트, 폴리에테르, 폴리에틸렌, 폴리아미드, 폴리우레탄, 폴리스티렌, 폴리프로필렌, 이들의 혼성 생성물 및 이들의 혼합물을 포함한다.The substrate may be planarized or polished with the chemical-mechanical polishing composition along with any suitable polishing pad (eg, polishing surface). Suitable polishing pads include, for example, woven and nonwoven polishing pads. Moreover, suitable polishing pads may include any suitable polymer of varying density, hardness, thickness, compressibility, ability to bounce on compression, and compression modulus. Suitable polymers are, for example, polyvinylchloride, polyvinylfluoride, nylon, fluorocarbons, polycarbonates, polyesters, polyacrylates, polyethers, polyethylenes, polyamides, polyurethanes, polystyrenes, polypropylenes, Hybrid products thereof and mixtures thereof.

본 발명의 조성물 및 방법이 자기-정지 거동을 나타내지만, CMP 장치는 계내 연마 종결점 검출 시스템을 추가로 포함할 수 있고, 이들 중 다수는 관련 기술분야에 공지되어 있다. 가공품의 표면으로부터 반사된 광 또는 다른 방사선을 분석함으로써 연마 공정을 검사하고 모니터링하는 기술이 관련 기술분야에 공지되어 있다. 이러한 방법은, 예를 들어 미국 특허 번호 5,196,353, 미국 특허 번호 5,433,651, 미국 특허 번호 5,609,511, 미국 특허 번호 5,643,046, 미국 특허 번호 5,658,183, 미국 특허 번호 5,730,642, 미국 특허 번호 5,838,447, 미국 특허 번호 5,872,633, 미국 특허 번호 5,893,796, 미국 특허 번호 5,949,927, 및 미국 특허 번호 5,964,643에 기재되어 있다. 바람직하게는, 연마할 작업편에 대한 연마 공정 진행을 점검 또는 모니터링하는 것은 연마 종결점의 결정, 즉 특정 작업편에 대해 연마 공정을 언제 종결할 것인지를 결정할 수 있게 한다.Although the compositions and methods of the present invention exhibit self-stop behavior, the CMP apparatus may further comprise an in situ polishing endpoint detection system, many of which are known in the art. Techniques for inspecting and monitoring the polishing process by analyzing light or other radiation reflected from the surface of the workpiece are known in the art. Such methods include, for example, US Patent No. 5,196,353, US Patent No. 5,433,651, US Patent No. 5,609,511, US Patent No. 5,643,046, US Patent No. 5,658,183, US Patent No. 5,730,642, US Patent No. 5,838,447, US Patent No. 5,872,633, US Patent No. 5,893,796, US Pat. No. 5,949,927, and US Pat. No. 5,964,643. Preferably, checking or monitoring the progress of the polishing process for the workpiece to be polished makes it possible to determine the polishing end point, i.e. when to terminate the polishing process for a particular workpiece.

임의의 유형의 장치의 기판에 대해, 기판 표면은 표면 구조 또는 토포그래피를 또한 포함하는 하부 층 위에 놓인 유전체 물질의 연속적이지만 구조화된 (비평면적이고 비-평탄한) 층을 포함할 수 있다. 유전체 물질 표면의 이러한 구조화된 비평면 영역은 "패턴 유전체" 로 지칭된다. 이는 하부 층의 불균일한 구조 위에 배치되어 하부 층에 존재하는 트렌치 또는 홀을 채우는 유전체 물질로 생성된다. 모든 트렌치 또는 홀 등의 완전한 충전을 보장하고, 트렌치 또는 홀 등을 함유하는 하부 층의 표면 상에 완전한 피복을 보장하기 위해, 유전체 물질을 과량으로 침착시킨다. 유전체 물질은 하부 층의 불균일한 토포그래피에 따를 것이고, 이는 트렌치에 의해 분리된 상승된 영역을 특징으로 하는 침착된 연속 유전체 표면을 생성한다. 상승된 영역은 대부분의 유전체 물질이 제거되는 위치를 의미하는, 활성 연마 및 물질 제거의 위치일 것이다. 패턴 유전체 물질은 또한 인접한 트렌치에서 유전체 물질의 높이에 대한 상승된 영역의 유전체 물질의 높이인 "단차"로 지칭되는 것을 특징으로 한다.For substrates of any type of device, the substrate surface may include a continuous but structured (non-planar and non-flat) layer of dielectric material overlying the underlying layer that also includes the surface structure or topography. This structured non-planar region of the dielectric material surface is referred to as a "pattern dielectric." It is produced from a dielectric material disposed over the heterogeneous structure of the underlying layer to fill trenches or holes present in the underlying layer. In order to ensure complete filling of all trenches or holes, etc., and to ensure complete coverage on the surface of the underlying layer containing trenches or holes, the dielectric material is deposited in excess. The dielectric material will follow the non-uniform topography of the underlying layer, which creates a deposited continuous dielectric surface characterized by raised areas separated by trenches. The elevated area will be the location of active polishing and material removal, meaning the location where most dielectric material is removed. The pattern dielectric material is also characterized as being referred to as a "step", which is the height of the dielectric material in the raised region relative to the height of the dielectric material in adjacent trenches.

본 발명의 연마 조성물은 특히 얕은 트렌치 격리 (STI) 또는 유사한 공정을 거친 기판을 평탄화하거나 또는 연마하는데 매우 적합하며, 여기서 유전체는 구조화된 하부 층 상에 코팅되어 패턴 유전체 물질의 영역을 생성한다. 얕은 트렌치 격리를 거친 기판의 경우, 전형적인 단차는 약 1,000 옹스트롬 내지 약 7,000 옹스트롬의 범위일 수 있다.The polishing composition of the present invention is particularly well suited for planarizing or polishing substrates that have undergone shallow trench isolation (STI) or similar processes, where the dielectric is coated on the structured underlying layer to create regions of patterned dielectric material. For substrates that have undergone shallow trench isolation, typical steps may range from about 1,000 angstroms to about 7,000 angstroms.

기재된 연마 조성물의 특정 실시양태는 또한 공정 중 3D NAND 플래시 메모리 장치인 기판을 평탄화하거나 또는 연마하는데 유용하다. 이러한 기판에서, 하부 층은 트렌치, 홀, 또는 종횡비가 높은, 예를 들어 종횡비가 적어도 10:1, 30:1, 60:1 또는 80:1인 다른 구조를 포함하는 반도체 층으로 제조된다. 이러한 높은 종횡비의 구조를 갖는 표면이 유전체 물질에 의해 코팅되는 경우, 생성된 패턴 유전체는 높은 단차, 예컨대 실질적으로 약 7,000 옹스트롬 초과, 예를 들어 약 10,000 옹스트롬 초과, 약 20,000 옹스트롬 초과, 약 30,000 옹스트롬 초과, 또는 약 40,000 옹스트롬 초과 또는 그 초과의 단차를 나타낼 것이다.Certain embodiments of the described polishing compositions are also useful for planarizing or polishing a substrate that is a 3D NAND flash memory device during processing. In such substrates, the underlying layer is made of a semiconductor layer comprising trenches, holes, or other structures having high aspect ratios, eg, at least 10: 1, 30: 1, 60: 1 or 80: 1. When a surface having such a high aspect ratio structure is coated by a dielectric material, the resulting pattern dielectric will have a high step, such as substantially greater than about 7,000 angstroms, for example greater than about 10,000 angstroms, greater than about 20,000 angstroms, greater than about 30,000 angstroms. , Or more than about 40,000 Angstroms or more.

본원에 기재된 임의의 장치의 유전체 물질은 다양한 형태의 산화규소 및 산화규소-기재 유전체 물질을 포함하여 임의의 적합한 유전체 물질을 포함하거나, 이로 본질적으로 이루어지거나, 또는 이로 이루어질 수 있고, 이들 중 다수가 널리 공지되어 있다. 예를 들어, 산화규소 또는 산화규소-기재 유전체 층을 포함하는 유전체 물질은 테트라에톡시실란 (TEOS), 고밀도 플라즈마 (HDP) 산화물, 포스포실리케이트 유리 (PSG), 보로포스포실리케이트 유리 (BPSG), 높은 종횡비 공정 (HARP) 산화물, 유전체 상 스핀 (SOD) 산화물, 화학 증착 (CVD) 산화물, 플라즈마-강화 테트라에틸 오르토 실리케이트 (PETEOS), 열 산화물 또는 도핑되지 않은 규산염 유리 중 임의의 1개 이상을 포함하거나, 이로 이루어지거나, 또는 이로 본질적으로 이루어질 수 있다. 과거에, 패턴 유전체의 평탄화를 필요로 하는 기판의 일부 예는 패턴 유전체 물질의 활성 연마 영역 아래의 위치에서 질화규소 층 (예를 들어 "질화규소 캡" 또는 "라이너"), 예를 들어 구조화된 반도체 층의 랜드 표면 상의 "캡"을 포함하도록 제조되었다. 질화규소는 질화규소 층에 도달시, 활성 영역에서의 유전체 물질의 연마 및 제거를 정지시키도록 설계된다. 질화규소 층은 트렌치 손실 및 최종 토포그래피에서의 디싱을 감소시키도록 의도된 방식으로 연마 단계에서 물질의 제거를 중단하는 기능을 한다. 그러나, 이 단계는 제조 공정에 상당한 비용을 부가하고, 여전히 디싱을 완전히 방지하지 않을 수 있다.The dielectric material of any of the devices described herein can comprise, consist essentially of, or consist of any suitable dielectric material, including various forms of silicon oxide and silicon oxide-based dielectric materials, many of which are It is well known. For example, dielectric materials comprising silicon oxide or silicon oxide-based dielectric layers include tetraethoxysilane (TEOS), high density plasma (HDP) oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG) Any one or more of high aspect ratio process (HARP) oxide, dielectric phase spin (SOD) oxide, chemical vapor deposition (CVD) oxide, plasma-enhanced tetraethyl ortho silicate (PETEOS), thermal oxide or undoped silicate glass It may comprise, consist of, or consist essentially of. In the past, some examples of substrates that require planarization of the pattern dielectric have been silicon nitride layers (eg, "silicon nitride caps" or "liners"), for example structured semiconductor layers, at locations below the active polishing region of the pattern dielectric material. It was made to include a "cap" on the land surface of the. Silicon nitride is designed to stop polishing and removing the dielectric material in the active region upon reaching the silicon nitride layer. The silicon nitride layer functions to stop the removal of material in the polishing step in a manner intended to reduce trench loss and dishing in the final topography. However, this step adds significant cost to the manufacturing process and may still not completely prevent dishing.

본 발명의 방법에 따르면, 기판은 유전체 연마 및 제거 단계의 의도된 말단의 위치에 위치하는 질화규소 라이너를 포함할 수 있다. 다른 실시양태에서, 기판은 활성 영역으로부터 유전체를 제거하는 단계가 종결하는 위치에 배치된 질화규소 "라이너" 또는 "캡"을 필요로 하지 않고, 임의로 및 바람직하게는 배제할 수 있다.According to the method of the present invention, the substrate may comprise a silicon nitride liner positioned at the intended end of the dielectric polishing and removal step. In other embodiments, the substrate does not require a silicon nitride "liner" or "cap" disposed at the location where the step of removing the dielectric from the active region ends, and may optionally and preferably exclude.

바람직하게는, 패턴 유전체 물질은 평탄화 및 연마되어 상승된 영역 (초기 높이를 가짐)과 트렌치 (초기 트렌치 두께를 가짐) 사이의 초기 단차를 감소시킨다. 이러한 평탄화를 효과적으로 및 효율적으로 달성하기 위해, 본 발명의 방법은 트렌치의 유전체 물질의 실질적으로 보다 낮은 제거 속도와 함께, (활성) 패턴 유전체 물질의 상승된 영역의 높은 제거 속도를 갖는다. 가장 바람직하게는, 본 발명의 방법은 또한 자기-정지 거동을 나타낸다.Preferably, the pattern dielectric material is planarized and polished to reduce the initial step between the raised region (with initial height) and the trench (with initial trench thickness). In order to achieve this planarization effectively and efficiently, the method of the present invention has a high removal rate of the elevated region of the (active) pattern dielectric material, with a substantially lower removal rate of the dielectric material of the trench. Most preferably, the method also exhibits self-stop behavior.

CMP 연마 또는 평탄화 동안, 유전체 물질은 상승된 영역으로부터 제거되며 트렌치로부터는 보다 소량으로 제거된다. 연마 동안, 상승된 영역의 높이는 감소하여 결국 본질적으로 트렌치의 높이와 같은 수준이 된다. 이는 단차가 1,000 옹스트롬 미만, 예를 들어 900 옹스트롬 미만, 500 옹스트롬 미만, 300 옹스트롬 미만 또는 250 옹스트롬 미만으로 감소되는 것을 의미할 수 있다. 상승된 영역의 높이를 감소시키는 것은 트렌치들 중 상승된 영역의 패턴을 제거하고, 패턴을 효과적으로 제거하고, 패턴을 평탄화 유전체의 필드, 즉 유전체 물질의 실질적으로 평탄화된 영역을 의미하는 "블랭킷 유전체" 또는 "블랭킷 산화물"로 전환시킨다.During CMP polishing or planarization, the dielectric material is removed from the raised areas and in smaller amounts from the trenches. During polishing, the height of the raised area decreases and eventually becomes essentially the same as the height of the trench. This may mean that the step is reduced to less than 1,000 angstroms, for example less than 900 angstroms, less than 500 angstroms, less than 300 angstroms or less than 250 angstroms. Reducing the height of the raised region removes the pattern of the raised region of the trenches, effectively removing the pattern, and patterning the "blanket dielectric", which means a field of planarizing dielectric, ie a substantially planarized region of the dielectric material. Or "blanket oxide".

연마되는 기판에 따라, 초기 단차는 적어도 1,000 옹스트롬, 예를 들어 적어도 2,000 옹스트롬, 또는 적어도 5,000 옹스트롬일 수 있고, CMP 가공의 단계를 시작하기 전에 측정한 바와 같이 실질적으로 7,000 옹스트롬 초과, 예를 들어 적어도 10,000 옹스트롬, 적어도 20,000 옹스트롬, 적어도 30,000 옹스트롬, 또는 적어도 40,000 옹스트롬일 수 있다. 연마 후, 단차가 감소되고 트렌치 두께가 감소된다.Depending on the substrate to be polished, the initial step may be at least 1,000 angstroms, for example at least 2,000 angstroms, or at least 5,000 angstroms, and substantially greater than 7,000 angstroms, for example at least as measured before beginning the step of CMP processing. 10,000 angstroms, at least 20,000 angstroms, at least 30,000 angstroms, or at least 40,000 angstroms. After polishing, the step is reduced and the trench thickness is reduced.

도 1은 초기 단차 (h0) 및 초기 트렌치 두께 (t0)를 갖는 예시적인 기판을 도시한다. 단차의 물질은 대부분 TEOS, BPSG 또는 다른 무정형 실리카-함유 물질과 같은 유전체일 수 있다. 3D NAND 유전체 (및 다른 벌크 산화물 제거) 가공에서의 주요 단계는 최소 트렌치 손실 (t0-t1)을 가지면서 단차 (h1)를 (예를 들어, 약 1,000 Å 미만으로, 또는 약 900 Å 미만으로) 감소시키는 것이다. 우수한 평탄화 효율을 위해, 최종 단차는 유의한 트렌치 손실 없이 달성되어야 한다. 이는 트렌치 영역에서보다는 활성 (즉, 상승된) 영역에서 더 높은 제거 속도를 갖는 연마 조성물을 필요로 한다. 추가로, 바람직한 연마 조성물은 "자기-정지" 또는 "평면 상의 정지" 거동을 생성하여, 과연마를 야기하지 않는 보다 효과적인 최종 연마를 가능하게 할 것이다. 바람직하게는, 본 발명의 연마 조성물은 블랭킷 (실질적으로 평탄한) 유전체 물질 상에서의 제거 속도와 비교하여 훨씬 더 높은 패턴 제거 속도 (활성 영역에서의 제거 속도)를 갖는다.1 illustrates an example substrate having an initial step h 0 and an initial trench thickness t 0 . The stepped material may be mostly a dielectric, such as TEOS, BPSG or other amorphous silica-containing material. The key step in 3D NAND dielectric (and other bulk oxide removal) processing is to reduce the step (h 1 ) (eg, less than about 1,000 GPa, or about 900 GPa, with minimal trench loss (t 0 -t 1 ). Less than). For good planarization efficiency, the final step must be achieved without significant trench loss. This requires a polishing composition that has a higher removal rate in the active (ie elevated) region than in the trench region. In addition, preferred polishing compositions will produce "self-stop" or "stop on plane" behavior, allowing for a more effective final polishing without causing overpolishing. Preferably, the polishing composition of the present invention has a much higher pattern removal rate (removal rate in the active region) compared to the removal rate on the blanket (substantially flat) dielectric material.

활성 영역에서 유전체 물질의 제거 속도는 패턴 물질의 제거 속도 (예를 들어, 패턴 제거 속도) 또는 "패턴 제거 속도" 또는 "활성 제거 속도"로 지칭된다. 본원에 기재된 방법 및 연마 조성물을 사용하여 달성된 패턴 제거 속도는 임의의 적합한 속도일 수 있고, 임의의 주어진 공정 및 기판에 대해 상승된 영역의 치수 (예를 들어, 피치 및 폭)에 따라 매우 부분적으로 달라질 것이다. 바람직한 방법에 따르면, 패턴 유전체 물질의 제거 속도는 분당 적어도 약 2,000 옹스트롬, 바람직하게는 분당 적어도 약 4,000 옹스트롬, 예를 들어 분당 적어도 약 5,000 옹스트롬, 분당 적어도 약 6,000 옹스트롬, 분당 적어도 약 10,000 옹스트롬, 분당 적어도 약 14,000 옹스트롬 또는 분당 적어도 약 15,000 옹스트롬일 수 있다.The removal rate of the dielectric material in the active region is referred to as the removal rate of the pattern material (eg, the pattern removal rate) or the “pattern removal rate” or “active removal rate”. The pattern removal rate achieved using the methods and polishing compositions described herein can be any suitable rate and is very partial depending on the dimensions (eg, pitch and width) of the raised region for any given process and substrate. Will vary. According to a preferred method, the removal rate of the pattern dielectric material is at least about 2,000 angstroms per minute, preferably at least about 4,000 angstroms per minute, for example at least about 5,000 angstroms per minute, at least about 6,000 angstroms per minute, at least about 10,000 angstroms per minute, at least per minute About 14,000 angstroms or at least about 15,000 angstroms per minute.

바람직한 방법에 따르면, 패턴 유전체는 패턴 유전체의 CMP 가공에 의해 5분 미만, 예를 들어 3분 미만, 2분 미만, 또는 1분 미만의 시간 동안 평탄화된 표면으로 가공될 수 있다. 이는 적어도 7,000 옹스트롬, 예를 들어 적어도 10,000 옹스트롬, 적어도 20,000 옹스트롬, 적어도 30,000 옹스트롬, 또는 적어도 40,000 옹스트롬의 단차를 포함하는, 패턴 유전체 물질을 갖는 기판에 대해 달성될 수 있다. 표면은 1,000 옹스트롬 미만의 (연마에 의해) 감소된 단차 (즉, "잔여" 단차)를 달성할 때 효과적으로 평탄화되는 것으로 생각된다. 따라서, 본 발명의 연마 조성물 및 방법은 1,000 옹스트롬 미만, 예를 들어 900 옹스트롬 미만, 500 옹스트롬 미만, 300 옹스트롬 미만, 또는 250 옹스트롬 미만의 잔여 단차를 제공할 수 있다.According to a preferred method, the pattern dielectric can be processed into a flattened surface for less than 5 minutes, for example less than 3 minutes, less than 2 minutes, or less than 1 minute by CMP processing of the pattern dielectric. This may be achieved for a substrate having a patterned dielectric material, including a step of at least 7,000 angstroms, for example at least 10,000 angstroms, at least 20,000 angstroms, at least 30,000 angstroms, or at least 40,000 angstroms. It is believed that the surface is effectively planarized when achieving a reduced step (ie, "residual" step) of less than 1,000 Angstroms (by polishing). Thus, the polishing compositions and methods of the present invention may provide residual steps of less than 1,000 angstroms, such as less than 900 angstroms, less than 500 angstroms, less than 300 angstroms, or less than 250 angstroms.

또한, 본원에 기재된 바와 같은 연마 조성물을 사용하는 바람직한 연마 방법에 따르면, 본원에 기재된 바와 같은 자기-정지제 (예를 들어, 화학식 Q-B의 화합물)를 함유하지 않는 연마 조성물에 비해 트렌치 손실이 감소될 수 있고, 평탄화 효율은 개선될 수 있다. 트렌치 손실은 CMP 가공 전 트렌치의 두께 (t0)와 CMP 가공 후의 트렌치의 두께 (t1) 사이의 차를 지칭하며, 즉 트렌치 손실은 (주어진 가공 시간 또는 결과에 대하여) t0-t1이다 (도 1). 바람직하게는, 평탄화로의 연마 동안, 또는 주어진 양의 가공 시간 동안 발생할 트렌치 손실의 양 (예를 들어, 1,000 옹스트롬 미만, 예를 들어 900 옹스트롬 미만, 500 옹스트롬 미만, 300 옹스트롬 미만, 또는 250 옹스트롬 미만의 "잔여" 단차로 정의됨)은 본원에 기재된 바와 같은 연마 조성물에서 본원에 기재된 바와 같은 자기-정지제의 존재에 의해 감소될 수 있다. 따라서, 본원에 기재된 연마 방법은 동일한 공정 조건 및 장비를 사용하여 유사하지만 본원에 기재된 바와 같은 자기-정지제를 함유하지 않는 연마 조성물 (예를 들어, 화학식 Q-B의 화합물을 함유하지 않는 연마 조성물)로 동일한 유형의 기판을 연마하는데 발생할 트렌치 손실보다 실질적으로 더 적은 (예를 들어, 적어도 10% 더 적은) 트렌치 손실을 야기한다. 바람직하게는, 기판을 연마하는 본 발명의 방법은 약 2,000 옹스트롬 미만 (예를 들어, 약 1,500 옹스트롬 미만, 약 1,000 옹스트롬 미만, 약 500 옹스트롬 미만, 또는 약 250 옹스트롬 미만)의 트렌치 손실을 제공한다.Furthermore, according to a preferred polishing method using the polishing composition as described herein, trench loss will be reduced compared to the polishing composition that does not contain a self-stopping agent (eg, a compound of formula QB) as described herein. And the planarization efficiency can be improved. Trench loss refers to the difference between the thickness of the trench before CMP processing (t 0 ) and the thickness of the trench after CMP processing (t 1 ), ie the trench loss is t 0 -t 1 (for a given processing time or result). (FIG. 1). Preferably, the amount of trench loss that will occur during polishing to planarization, or during a given amount of processing time (eg, less than 1,000 angstroms, such as less than 900 angstroms, less than 500 angstroms, less than 300 angstroms, or less than 250 angstroms). Defined as the "residual" step may be reduced by the presence of a self-stopping agent as described herein in the polishing composition as described herein. Thus, the polishing methods described herein are similar using the same process conditions and equipment but with a polishing composition that does not contain a self-stopping agent as described herein (eg, a polishing composition that does not contain a compound of Formula QB). It results in substantially less (eg, at least 10% less) trench loss than the trench loss that would occur in polishing the same type of substrate. Preferably, the method of the present invention for polishing a substrate provides a trench loss of less than about 2,000 angstroms (eg, less than about 1,500 angstroms, less than about 1,000 angstroms, less than about 500 angstroms, or less than about 250 angstroms).

보다 낮은 트렌치 손실은 평탄화 효율로 반영될 수 있으며, 이는 단차 감소 (Å)를 트렌치 손실 (Å)로 나눈 것을 지칭한다. 본 발명의 바람직한 방법에 따르면, 평탄화 효율은 본원에 기재된 바와 같은 연마 조성물 중에 본원에 기재된 바와 같은 자기-정지제의 존재에 의해 개선될 수 있다. 따라서, 본원에 기재된 연마 방법은 동일한 공정 조건 및 장비를 사용하여 유사하지만 본원에 기재된 자기-정지제를 함유하지 않는 연마 조성물 (예를 들어, 화학식 Q-B의 화합물을 함유하지 않는 연마 조성물)로 동일한 유형의 기판을 연마하는데 발생할 평탄화 효율보다 실질적으로 더 큰 (예를 들어, 적어도 10% 더 큰) 평탄화 효율을 가져온다. 바람직하게는, 기판을 연마하는 본 발명의 방법은 적어도 약 2.0, 바람직하게는 적어도 약 3.0, 예컨대 적어도 약 3.5의 평탄화 효율을 제공한다.Lower trench losses can be reflected in planarization efficiency, which refers to step reduction reduction divided by trench loss. According to a preferred method of the present invention, the planarization efficiency can be improved by the presence of a self-stopping agent as described herein in the polishing composition as described herein. Thus, the polishing methods described herein are similar types using the same process conditions and equipment but of the same type as polishing compositions (eg, polishing compositions that do not contain a compound of Formula QB) that do not contain the self-stopping agents described herein. This results in a planarization efficiency that is substantially greater (eg, at least 10% greater) than the planarization efficiency that would occur in polishing the substrate of the substrate. Preferably, the present method of polishing the substrate provides a planarization efficiency of at least about 2.0, preferably at least about 3.0, such as at least about 3.5.

바람직한 방법은 또한 자기-정지 거동을 나타낼 수 있고, 블랭킷 유전체 물질 (1,000 옹스트롬 미만, 900 옹스트롬 미만, 500 옹스트롬 미만, 300 옹스트롬 미만, 또는 200 옹스트롬 미만의 단차에 도달시)로부터의 유전체 물질의 제거 속도 (즉, "블랭킷 제거 속도")가 패턴 유전체 물질의 제거 속도보다 상당히 더 낮음을 의미한다. 자기-정지 거동은 블랭킷 유전체 물질의 제거 속도가 분당 약 1,000 옹스트롬 미만인 경우 발생하는 것으로 생각된다. 따라서, 바람직한 실시양태에서, 본 발명의 방법은 분당 약 1,000 옹스트롬 미만, 예를 들어 분당 약 800 옹스트롬 미만, 분당 약 500 옹스트롬 미만, 분당 약 300 옹스트롬 미만, 또는 분당 약 200 옹스트롬 미만의 블랭킷 유전체 물질 제거 속도를 제공한다.Preferred methods can also exhibit self-stop behavior and provide a rate of removal of the dielectric material from the blanket dielectric material (less than 1,000 angstroms, less than 900 angstroms, less than 500 angstroms, less than 300 angstroms, or less than 200 angstroms). (Ie, "blanket removal rate") is significantly lower than the removal rate of the pattern dielectric material. Self-stop behavior is believed to occur when the rate of removal of the blanket dielectric material is less than about 1,000 angstroms per minute. Thus, in a preferred embodiment, the method of the invention removes blanket dielectric material of less than about 1,000 angstroms per minute, for example, less than about 800 angstroms per minute, less than about 500 angstroms per minute, less than about 300 angstroms per minute, or less than about 200 angstroms per minute. To provide speed.

또 다른 수단에 의해, 자기-정지 거동은 블랭킷 유전체 물질의 제거 속도를 패턴 유전체 물질의 제거 속도와 비교함으로써 측정될 수 있다. 패턴 제거 속도에 대한 낮은 블랭킷 제거 속도의 비는 양호한 자기-정지 거동을 나타낸다. 따라서, 바람직한 실시양태에서, 블랭킷 유전체 물질의 제거 속도 대 패턴 유전체 물질의 제거 속도의 비는 약 1 미만, 예를 들어 약 0.5 미만, 약 0.3 미만, 또는 약 0.1 미만이다. 따라서, 본 발명의 연마 방법은 동일한 공정 조건 및 장비를 사용하여 유사하지만 본원에 기재된 바와 같은 자기-정지제를 함유하지 않는 연마 조성물 (예를 들어, 화학식 Q-B의 화합물을 함유하지 않는 연마 조성물)로 동일한 유형의 기판을 연마하는데 발생할 블랭킷 제거 속도 대 패턴 제거 속도의 비보다 실질적으로 더 적은 (예를 들어, 적어도 약 10% 더 적은) 블랭킷 제거 속도 대 패턴 제거 속도의 비를 가져온다.By another means, self-stop behavior can be measured by comparing the removal rate of the blanket dielectric material with the removal rate of the pattern dielectric material. The ratio of low blanket removal rate to pattern removal rate shows good self-stop behavior. Thus, in a preferred embodiment, the ratio of the removal rate of the blanket dielectric material to the removal rate of the pattern dielectric material is less than about 1, for example less than about 0.5, less than about 0.3, or less than about 0.1. Thus, the polishing methods of the present invention are similar using the same process conditions and equipment but with a polishing composition that does not contain a self-stopping agent as described herein (eg, a polishing composition that does not contain a compound of formula QB). This results in a ratio of the blanket removal rate to the pattern removal rate being substantially less (eg, at least about 10% less) than the ratio of the blanket removal rate to the pattern removal rate that will occur to polish the same type of substrate.

한 실시양태에서, 본 발명은 패턴 유전체 층이 적어도 약 1,000 옹스트롬의 초기 단차를 포함하고, 초기 단차를 연마 동안 약 900 옹스트롬 미만으로 감소시켜 평탄화 유전체를 생성하는 것을 포함하고, 여기서 평탄화 유전체의 제거 속도가 분당 약 1,000 옹스트롬 미만인 방법을 제공한다.In one embodiment, the present invention includes a patterned dielectric layer comprising an initial step of at least about 1,000 Angstroms, wherein the initial step is reduced to less than about 900 Angstroms during polishing to produce a planarization dielectric, wherein the rate of removal of the planarization dielectric Provides a method that is less than about 1,000 Angstroms per minute.

한 실시양태에서, 본 발명은 패턴 유전체 층의 표면으로부터 유전체 물질의 상승된 영역의 적어도 약 10,000 옹스트롬을 제거하는 것을 포함하는 방법을 제공한다.In one embodiment, the present invention provides a method comprising removing at least about 10,000 angstroms of raised regions of a dielectric material from the surface of a patterned dielectric layer.

한 실시양태에서, 본 발명은 유전체 물질의 상승된 영역의 제거 속도 대 유전체 물질의 트렌치 영역의 제거 속도의 비가 약 5 초과, 바람직하게는 약 10 초과, 약 15 초과, 또는 약 20 초과인 방법을 제공한다.In one embodiment, the present invention provides a method wherein the ratio of the removal rate of the elevated region of the dielectric material to the removal rate of the trench region of the dielectric material is greater than about 5, preferably greater than about 10, greater than about 15, or greater than about 20. to provide.

한 실시양태에서, 본 발명은 유전체 물질의 상승된 영역의 제거 속도가 분당 약 1000 옹스트롬 초과인 방법을 제공한다. 따라서, 바람직한 실시양태에서, 유전체 물질의 상승된 영역의 제거 속도는 분당 약 2,000 옹스트롬 초과, 예를 들어 분당 약 4,000 옹스트롬 초과, 분당 약 5,000 옹스트롬 초과, 분당 약 6,000 옹스트롬 초과, 분당 약 10,000 옹스트롬 초과, 또는 분당 약 15,000 옹스트롬 초과이다.In one embodiment, the present invention provides a method wherein the removal rate of an elevated region of dielectric material is greater than about 1000 Angstroms per minute. Thus, in a preferred embodiment, the removal rate of the elevated region of the dielectric material is greater than about 2,000 angstroms per minute, for example, greater than about 4,000 angstroms per minute, greater than about 5,000 angstroms per minute, greater than about 6,000 angstroms per minute, greater than about 10,000 angstroms per minute, Or greater than about 15,000 Angstroms per minute.

한 실시양태에서, 본 발명은 패턴 유전체 층이 산화규소, 테트라에톡시실란, 포스포실리케이트 유리, 보로포스포실리케이트 유리, 및 그의 조합으로부터 선택된 유전체 물질을 포함하는 방법을 제공한다.In one embodiment, the present invention provides a method wherein the pattern dielectric layer comprises a dielectric material selected from silicon oxide, tetraethoxysilane, phosphosilicate glass, borophosphosilicate glass, and combinations thereof.

실시양태Embodiment

(1) 실시양태 (1)에서, (a) 연마제, (b) 화학식 Q-B의 자기-정지제 (여기서 Q는 치환 또는 비치환된 소수성 기, 또는 입체 장애를 부여하는 기이고, B는 결합 기이고, 여기서 결합 기는 구조; -C(O)-X-OH 또는 -C(O)-OH를 가지며, 여기서 X는 C1-C2 알킬 기임) (예를 들어, 화학식 (II), (III) 및 (IV) 중 임의의 화합물); 및 (c) 수성 캐리어를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물이 제시된다.(1) In embodiment (1), (a) an abrasive, (b) a self-stopping agent of formula QB, wherein Q is a substituted or unsubstituted hydrophobic group, or a group imparting steric hindrance, and B is a bonding group Wherein the bonding group has a structure; -C (O) -X-OH or -C (O) -OH, where X is a C1-C2 alkyl group (e.g., formulas (II), (III) and Any of (IV)); And (c) an aqueous carrier, wherein a chemical-mechanical polishing composition having a pH of about 3 to about 9 is presented.

(2) 실시양태 (2)에서, 연마제가 세리아, 지르코니아 및 그의 조합으로부터 선택되는, 실시양태 (1)의 연마 조성물이 제시된다.(2) In embodiment (2), the polishing composition of embodiment (1) is provided, wherein the abrasive is selected from ceria, zirconia and combinations thereof.

(3) 실시양태 (3)에서, 연마제가 세리아인 실시양태 (2)의 연마 조성물이 제시된다.(3) In embodiment (3), the polishing composition of embodiment (2) is provided wherein the abrasive is ceria.

(4) 실시양태 (4)에서, 연마제가 연마 조성물 중에 약 0.001 중량% 내지 약 5 중량%의 농도로 존재하는, 실시양태 (1) 내지 (3) 중 어느 하나의 연마 조성물이 제시된다.(4) In embodiment (4), the polishing composition of any one of embodiments (1) to (3) is provided, wherein the abrasive is present in the polishing composition at a concentration of about 0.001% to about 5% by weight.

(5) 실시양태 (5)에서, Q는 알킬 기, 시클로알킬 기, 방향족 기, 헤테로시클릭 기, 헤테로방향족 기 및 그의 조합으로부터 선택된 것인 실시양태 (1) 내지 (4) 중 어느 하나의 연마 조성물이 제시된다.(5) In embodiment (5), any one of embodiments (1) to (4) wherein Q is selected from alkyl groups, cycloalkyl groups, aromatic groups, heterocyclic groups, heteroaromatic groups, and combinations thereof Abrasive composition is presented.

(6) 실시양태 (6)에서, Q는 히드록실 기, 알킬 기, 할로겐, 아민 기, 또는 그의 임의의 조합으로부터 선택된 1개 이상의 기로 치환된 것인 실시양태 (5)의 연마 조성물이 제시된다.(6) In embodiment (6), the polishing composition of embodiment (5) is provided wherein Q is substituted with one or more groups selected from hydroxyl groups, alkyl groups, halogens, amine groups, or any combination thereof. .

(7) 실시양태 (7)에서, Q-B는 말톨, 코지산, 크로톤산, 티글산, 2-펜텐산, 발레르산, 벤조산, 3,4-디히드록시벤조산, 3,5-디히드록시벤조산, 카페인산, 에틸 말톨, 소르브산칼륨, 소르브산, 및 그의 조합으로부터 선택된 것인 실시양태 (1)의 연마 조성물이 제시된다.(7) In embodiment (7), QB is maltol, kojic acid, crotonic acid, tiglic acid, 2-pentenic acid, valeric acid, benzoic acid, 3,4-dihydroxybenzoic acid, 3,5-dihydroxybenzoic acid The polishing composition of embodiment (1) is selected from caffeic acid, ethyl maltol, potassium sorbate, sorbic acid, and combinations thereof.

(8) 실시양태 (8)에서, 자기-정지제가 연마 조성물 중에 약 0.5 중량% 미만의 농도로 존재하는 것인 실시양태 (1) 내지 (7) 중 어느 하나의 연마 조성물이 제시된다.(8) In embodiment (8), the polishing composition of any one of embodiments (1) to (7), wherein the self-stopping agent is present in the polishing composition at a concentration of less than about 0.5% by weight.

(9) 실시양태 (9)에서, 양이온성 중합체를 추가로 포함하는 실시양태 (1) 내지 (8) 중 어느 하나의 연마 조성물이 제시된다.(9) In embodiment (9), the polishing composition of any one of embodiments (1) to (8) is further provided comprising a cationic polymer.

(10) 실시양태 (10)에서, 양이온성 중합체가 4급 아민으로부터 선택된 단량체, 양이온성 폴리비닐 알콜, 양이온성 셀룰로스 및 그의 조합을 포함하는 것인 실시양태 (9)의 연마 조성물이 제시된다.(10) In embodiment (10), the polishing composition of embodiment (9) is provided wherein the cationic polymer comprises a monomer selected from quaternary amines, cationic polyvinyl alcohol, cationic cellulose and combinations thereof.

(11) 실시양태 (11)에서, 양이온성 중합체가 4급 아민 단량체를 포함하고, 여기서 4급 아민 단량체는 비닐이미다졸륨, 메타크릴로일옥시에틸트리메틸암모늄 할라이드, 디알릴디메틸암모늄 할라이드 및 그의 조합으로부터 선택된 것인 실시양태 (10)의 연마 조성물이 제시된다.(11) In embodiment (11), the cationic polymer comprises a quaternary amine monomer, wherein the quaternary amine monomer is vinylimidazolium, methacryloyloxyethyltrimethylammonium halide, diallyldimethylammonium halide and An abrasive composition of embodiment (10) is selected that is selected from the combination.

(12) 실시양태 (12)에서, 양이온성 중합체가 폴리(비닐이미다졸륨), 폴리(메타크릴로일옥시에틸트리메틸암모늄) 클로라이드, 폴리(디알릴디메틸암모늄) 클로라이드, 폴리쿼터늄-2 및 그의 조합으로부터 선택된 것인 실시양태 (9)의 연마 조성물이 제시된다.(12) In embodiment (12), the cationic polymer is poly (vinylimidazolium), poly (methacryloyloxyethyltrimethylammonium) chloride, poly (diallyldimethylammonium) chloride, polyquaternium-2 and An abrasive composition of embodiment (9) is selected that is selected from combinations thereof.

(13) 실시양태 (13)에서, 약 6.5 내지 약 8.5의 pH를 갖는 실시양태 (1) 내지 (12) 중 어느 하나의 연마 조성물이 제시된다.(13) In embodiment (13), the polishing composition of any one of embodiments (1) to (12) having a pH of about 6.5 to about 8.5 is presented.

(14) 실시양태 (14)에서, 약 3 내지 약 5의 pH를 갖는 실시양태 (1) 내지 (12) 중 어느 하나의 연마 조성물이 제시된다.(14) In embodiment (14), the polishing composition of any one of embodiments (1) to (12) having a pH of about 3 to about 5 is presented.

(15) 실시양태 (15)에서, 속도 증진제 및/또는 pH 완충제를 추가로 포함하는 실시양태 (1) 내지 (14) 중 어느 하나의 연마 조성물이 제시된다.(15) In embodiment (15), the polishing composition of any one of embodiments (1) to (14) is further provided comprising a rate enhancer and / or a pH buffer.

(16) 실시양태 (16)에서, (a) 세리아를 포함하는 연마제, (b) 코지산, 크로톤산, 티글산, 발레르산, 2-펜텐산, 말톨, 벤조산, 3,4-디히드록시벤조산, 3,5-디히드록시벤조산, 카페인산, 에틸 말톨, 소르브산칼륨, 소르브산, 및 그의 조합으로부터 선택된 자기-정지제, 및 (c) 수성 캐리어를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물이 제시된다.(16) In embodiment (16), (a) an abrasive comprising ceria, (b) kojic acid, crotonic acid, tiglic acid, valeric acid, 2-pentenic acid, maltol, benzoic acid, 3,4-dihydroxy A self-terminating agent selected from benzoic acid, 3,5-dihydroxybenzoic acid, caffeic acid, ethyl maltol, potassium sorbate, sorbic acid, and combinations thereof, and (c) an aqueous carrier, wherein the carrier is from about 3 to about 9 A chemical-mechanical polishing composition having a pH is presented.

(7) 실시양태 (17)에서, 약 3 내지 약 5의 pH를 갖는 실시양태 (16)의 연마 조성물이 제시된다.(7) In embodiment (17), the polishing composition of embodiment (16) having a pH of about 3 to about 5 is shown.

(18) 실시양태 (18)에서, 폴리(비닐이미다졸륨), 폴리(메타크릴로일옥시에틸트리메틸암모늄) 클로라이드, 폴리(디알릴디메틸암모늄) 클로라이드, 폴리쿼터늄-2, 및 그의 조합으로부터 선택된 양이온성 중합체를 포함하는 평탄화제를 추가로 포함하는 실시양태 (16)의 연마 조성물이 제시된다.(18) From embodiment (18), from poly (vinylimidazolium), poly (methacryloyloxyethyltrimethylammonium) chloride, poly (diallyldimethylammonium) chloride, polyquaternium-2, and combinations thereof A polishing composition of embodiment (16) is further provided that further includes a leveling agent comprising a selected cationic polymer.

(19) 실시양태 (19)에서, 약 6.5 내지 약 8.5의 pH를 갖는 실시양태 (18)의 연마 조성물이 제시된다.(19) In embodiment (19), the polishing composition of embodiment (18) is shown having a pH of about 6.5 to about 8.5.

(20) 실시양태 (20)에서, (a) 세리아를 포함하는 연마제, (b) 화학식 (I)의 화합물로부터 선택된 자기-정지제, (c) 알루미늄 염, 2-(디메틸아미노)에틸 메타크릴레이트, 디알릴디메틸암모늄, 폴리(비닐이미다졸륨), 폴리(메타크릴로일옥시에틸트리메틸암모늄) 할라이드, 폴리(디알릴디메틸암모늄) 할라이드, 폴리쿼터늄-2, 폴리쿼터늄-11, 폴리쿼터늄-16, 폴리쿼터늄-46, 폴리쿼터늄-44, 루비쿼트 슈프림, 루비쿼트 홀드, 루비쿼트 울트라케어, 루비쿼트 FC 370, 루비쿼트 FC 550, 루비쿼트 FC 552, 루비쿼트 엑설런스, 및 그의 조합으로부터 선택된 양이온성 화합물, 및 (d) 수성 캐리어를 포함하며, 약 7 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물이 제시된다.(20) In embodiment (20), (a) an abrasive comprising ceria, (b) a self-terminating agent selected from compounds of formula (I), (c) aluminum salts, 2- (dimethylamino) ethyl methacryl Rate, diallyldimethylammonium, poly (vinylimidazolium), poly (methacryloyloxyethyltrimethylammonium) halide, poly (diallyldimethylammonium) halide, polyquaternium-2, polyquaternium-11, poly Quaternium-16, Polyquaternium-46, Polyquaternium-44, RubyQuart Supreme, RubyQuart Hold, RubyQuart Ultracare, RubyQuart FC 370, RubyQuart FC 550, RubyQuart FC 552, RubyQuart Excellence, And a cationic compound selected from combinations thereof, and (d) an aqueous carrier, wherein a chemical-mechanical polishing composition having a pH of about 7 to about 9 is presented.

Figure pct00013
Figure pct00013

여기서 R은 수소, 알킬, 시클로알킬, 아릴, 헤테로시클릭 알킬 및 헤테로시클릭 아릴로 이루어진 군으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있다.Wherein R is selected from the group consisting of hydrogen, alkyl, cycloalkyl, aryl, heterocyclic alkyl and heterocyclic aryl, each of which may be substituted or unsubstituted.

(21) 실시양태 (21)에서, 약 7 내지 약 9의 pH를 갖는 실시양태 (20)의 연마 조성물이 제시된다.(21) In embodiment (21), the polishing composition of embodiment (20) having a pH of about 7 to about 9 is presented.

(22) 실시양태 (22)에서, (i) 기판의 표면 상에, 유전체 물질의 상승된 영역 및 유전체 물질의 트렌치 영역을 포함하는 패턴 유전체 층을 포함하는 기판을 제공하는 것 (여기서 패턴 유전체 층의 초기 단차는 유전체 물질의 상승된 영역의 높이와 유전체 물질의 트렌치 영역의 높이 사이의 차임), (ii) 연마 패드를 제공하는 것, (iii) 실시양태 (1)-(21) 중 어느 하나의 화학-기계적 연마 조성물을 제공하는 것, (iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것, (v) 연마 패드 및 화학-기계적 연마 조성물을 기판에 대해 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것을 포함하는, 기판을 화학-기계적으로 연마하는 방법이 제시된다.(22) In embodiment (22), (i) providing a substrate comprising a patterned dielectric layer on the surface of the substrate, the patterned dielectric layer comprising an elevated region of the dielectric material and a trenched region of the dielectric material, wherein the patterned dielectric layer The initial step of is the difference between the height of the raised region of the dielectric material and the height of the trench region of the dielectric material), (ii) providing a polishing pad, (iii) any of embodiments (1)-(21) Providing a chemical-mechanical polishing composition of (i) contacting the substrate with the polishing pad and the chemical-mechanical polishing composition, (v) moving the polishing pad and the chemical-mechanical polishing composition relative to the substrate to A method of chemically-mechanically polishing a substrate is provided that includes polishing at least a portion of a patterned dielectric layer to polish the substrate.

(23) 실시양태 (23)에서, 초기 단차를 연마 동안 약 900 옹스트롬 미만으로 감소시켜 평탄화 유전체를 생성하고, 평탄화 유전체의 제거 속도는 분당 약 1,000 옹스트롬 미만인, 패턴 유전체 층이 적어도 약 1,000 옹스트롬의 초기 단차를 포함하는 것인 실시양태 (22)의 연마 방법이 제시된다.(23) In embodiment (23), the initial step is reduced to less than about 900 angstroms during polishing to produce a planarized dielectric, the removal rate of the planarized dielectric being less than about 1,000 angstroms per minute, wherein the patterned dielectric layer has an initial of at least about 1,000 angstroms. A polishing method of embodiment (22) is provided that includes a step.

(24) 실시양태 (24)에서, 패턴 유전체 층으로부터 유전체 물질의 상승된 영역의 적어도 약 10,000 옹스트롬을 제거하는 것을 포함하는, 실시양태 (22) 또는 실시양태 (23)의 연마 방법이 제시된다.(24) In embodiment (24), a method of polishing of embodiment (22) or embodiment (23) is provided, comprising removing at least about 10,000 angstroms of raised regions of the dielectric material from the patterned dielectric layer.

(25) 실시양태 (25)에서, 유전체 물질의 상승된 영역의 제거 속도 대 유전체 물질의 트렌치 영역의 제거 속도의 비가 약 5 초과인 실시양태 (22) 내지 (24) 중 어느 하나의 연마 방법이 제시된다.(25) The method of any one of embodiments (22) to (24), wherein in the embodiment (25), the ratio of the removal rate of the elevated region of the dielectric material to the removal rate of the trench region of the dielectric material is greater than about 5. Presented.

(26) 실시양태 (26)에서, 유전체 물질의 상승된 영역의 제거 속도가 분당 약 1,000 옹스트롬 초과인 실시양태 (22) 내지 (25) 중 어느 하나의 연마 방법이 제시된다.(26) In embodiment (26), the polishing method of any one of embodiments (22) to (25) is provided wherein the removal rate of the elevated region of the dielectric material is greater than about 1,000 angstroms per minute.

(27) 실시양태 (27)에서, 패턴 유전체 층이 산화규소, 테트라에톡시실란, 포스포실리케이트 유리, 보로포스포실리케이트 유리, 및 그의 조합으로부터 선택된 유전체 물질을 포함하는, 실시양태 (22) 내지 (26) 중 어느 하나의 연마 방법이 제시된다.(27) The embodiments (22) to (27), wherein the pattern dielectric layer comprises a dielectric material selected from silicon oxide, tetraethoxysilane, phosphosilicate glass, borophosphosilicate glass, and combinations thereof. The polishing method of any one of (26) is presented.

실시예Example

하기 실시예는 본 발명을 추가로 예시하지만, 물론 그의 범위를 제한하는 것으로서 이해되지 않아야 한다.The following examples further illustrate the invention but, of course, should not be understood as limiting its scope.

실시예에서 하기 약어가 사용된다: PEG8000은 8,000 g/mol의 분자량을 갖는 폴리에틸렌 글리콜을 지칭하고; pMADQUAT는 폴리MADQUAT를 지칭하고; SHA는 살리실히드록삼산을 지칭하고; BHA는 벤즈히드록삼산을 지칭하고; BTA는 1H-벤조트리아졸을 지칭하고; TEA는 트리에탄올아민을 지칭하고; POU는 사용 지점을 지칭하고; RR은 제거 속도를 지칭하고; AA는 활성 영역을 지칭하고; TA는 트렌치 영역을 지칭하고; BW는 TEOS 블랭킷 웨이퍼를 지칭하고; SH는 단차를 지칭한다.In the examples the following abbreviations are used: PEG8000 refers to polyethylene glycol having a molecular weight of 8,000 g / mol; pMADQUAT refers to polyMADQUAT; SHA refers to salicylic hydroxamic acid; BHA refers to benzhydrosamic acid; BTA refers to 1H-benzotriazole; TEA refers to triethanolamine; POU refers to a point of use; RR refers to the removal rate; AA refers to the active region; TA refers to trench regions; BW refers to TEOS blanket wafers; SH refers to the step.

실시예 1Example 1

본 실시예는, 임의로 양이온성 화합물과 조합된 자기-정지제의 그를 포함하는 연마 조성물에서의 연마 성능에 대한 효과를 입증한다.This example demonstrates the effect on polishing performance in polishing compositions comprising those of self-stopping agents, optionally in combination with cationic compounds.

패턴 기판을 14개의 연마 조성물 (즉, 연마 조성물 1A-1N)로 연마하였다. 연마 조성물 1A-1N은, 연마제 조성물 C1 및 C2 (하기 표 1에 기재됨)와 첨가제 제제 F1-F15 (하기 표 2에 기재됨)를 7:3 부피비로 혼합함으로써 제조하였다.The patterned substrate was polished with 14 polishing compositions (ie, polishing compositions 1A-1N). Abrasive compositions 1A-1N were prepared by mixing abrasive compositions C1 and C2 (shown in Table 1 below) and additive formulations F1-F15 (shown in Table 2 below) in a 7: 3 volume ratio.

연마제 조성물 C1 및 C2는 표 1에 제시된 바와 같이 세리아 연마제, 피콜린산 및 물을 함유하였다. HC60 및 HC30 세리아 연마제는 로디아(Rhodia)로부터 상업적으로 입수가능하다. H-30 세리아 연마제는 이전 출원 (미국 공개 특허 출원 2016/0257855)에 기재된 습식 가공 세리아이다. 연마제 조성물 C1 및 C2는 각각 4.2의 pH를 가졌다.The abrasive compositions C1 and C2 contained ceria abrasive, picolinic acid and water as shown in Table 1. HC60 and HC30 ceria abrasives are commercially available from Rhodia. H-30 ceria abrasive is the wet process ceria described in the previous application (US Published Patent Application 2016/0257855). Abrasive compositions C1 and C2 each had a pH of 4.2.

표 1: 연마제 조성물Table 1: Abrasive Composition

Figure pct00014
Figure pct00014

첨가제 제제 F4-F15는 표 2에 제시된 바와 같이 양이온성 화합물 (pMADQUAT)), 자기-정지제 (SHA 또는 BHA), 및 첨가제 (BTA)를 함유하였다. 각각의 첨가제 제제 F3-F15의 pH를 트리에탄올아민 (TEA)을 사용하여 조정하였다. 첨가제 제제 F1 및 F2는 염기를 함유하지 않았고, 4.2의 pH를 가졌다.Additive Formulation F4-F15 contained cationic compounds (pMADQUAT)), self-stopping agents (SHA or BHA), and additives (BTA) as shown in Table 2. The pH of each additive formulation F3-F15 was adjusted using triethanolamine (TEA). The additive formulations F1 and F2 did not contain a base and had a pH of 4.2.

표 2: 첨가제 제제Table 2: Additive Formulations

Figure pct00015
Figure pct00015

대략 8,000 Å의 단차를 갖는 패턴화 규소 기판 상에 초기에 코팅된 50% 패턴 밀도를 갖는 250μm TEOS 특징부 (대략 20,000Å 두께 특징부)를 포함하는 개별 패턴화된 쿠폰 기판 (SKW 어소시에이츠, 인크.(SKW Associates, Inc.)로부터의 SKW7-2 웨이퍼의 각각의 변이 40 mm인 정사각형 절단물)을, 200 mm CMP 압반을 갖는 POLI-300 (G&P 테크. 인크.(G&P Tech. Inc.)) 상에서 60초 동안 각각 120 rpm 및 110 rpm의 압반 속도 및 헤드 속도로 20.68 kPa (3 psi) 하향력에서 IC1010™ 패드 (롬 앤 하스 일렉트로닉 머티리얼즈(Rohm and Haas Electronic Materials))를 사용하여 연마하였다. 연마 조성물의 총 유량은 200 mL/분이었다. 결과는 표 3에 제시된다.Individually patterned coupon substrates (SKW Associates, Inc.) comprising 250 μm TEOS features (approximately 20,000 μs thick features) with a 50% pattern density initially coated on a patterned silicon substrate having a step of approximately 8,000 μs. (A square cut with 40 mm on each side of the SKW7-2 wafer from SKW Associates, Inc.) on POLI-300 (G & P Tech. Inc.) with a 200 mm CMP platen. Polishing was performed using IC1010 ™ pads (Rohm and Haas Electronic Materials) at 20.68 kPa (3 psi) down force at platen speeds and head speeds of 120 rpm and 110 rpm, respectively, for 60 seconds. The total flow rate of the polishing composition was 200 mL / min. The results are shown in Table 3.

표 3: 연마 성능에 대한 양이온성 화합물 및 pH의 효과Table 3: Effect of Cationic Compounds and pH on Polishing Performance

Figure pct00016
Figure pct00016

표 3에 제시된 결과로부터 명백한 바와 같이, 산성 pH (pH 4.2)에서 자기-정지제 (히드록삼산)를 사용한 연마제 제제를 포함하는 연마 조성물 1A 및 1B는, 바람직하게는 활성 영역 제거 대 트렌치 영역 제거의 비를 대략 3 내지 6의 범위로 나타냈다. 따라서, 연마 조성물 1A 및 1B는 바람직하게는 트렌치 물질을 보존하면서 패턴 물질을 평탄화하는 "자기-정지" 조성물이다.As is evident from the results presented in Table 3, polishing compositions 1A and 1B comprising an abrasive formulation with a self-stopping agent (hydroxysamic acid) at an acidic pH (pH 4.2) are preferably active area removal versus trench area removal. The ratio of was shown in the range of about 3-6. Thus, polishing compositions 1A and 1B are preferably "self-stop" compositions that planarize the pattern material while preserving the trench material.

자기-정지제 및 양이온성 화합물 둘 다를 포함하는 연마 조성물 1I는 6.1의 pH에서 활성 영역 제거 대 트렌치 영역 제거의 비가 대략 8.6이고, 활성 영역 제거는 777 Å임을 나타내었다. 따라서, 연마 조성물 1I는 또한 트렌치 물질을 보존하면서 패턴 물질을 평탄화하는 "자기-정지" 조성물이다.The polishing composition 1I comprising both self-stopping and cationic compounds showed that the ratio of active area removal to trench area removal at pH of 6.1 was approximately 8.6 and active area removal was 777 kPa. Thus, polishing composition 1I is also a “self-stop” composition that planarizes the pattern material while preserving the trench material.

자기-정지제 및 양이온성 화합물 둘 다를 포함하는 연마 조성물 1C-1H 및 1J-1N은 7.6-8.8의 pH에서 약 5.76:1 내지 약 50:1 범위의 활성 영역 제거 대 트렌치 영역 제거의 비 및 약 4,700Å 내지 약 9,000Å의 활성 영역 제거를 나타냈다. 따라서, 연마 조성물 1C-1H 및 1J-1N은 트렌치 물질을 보존하면서 패턴 물질을 평탄화하는 "자기-정지" 조성물이다.The polishing compositions 1C-1H and 1J-1N comprising both a self-stopping agent and a cationic compound have a ratio of active area removal to trench area removal in the range of about 5.76: 1 to about 50: 1 at a pH of 7.6-8.8 and about Active area removal of 4,700 mm 3 to about 9,000 mm 3 was shown. Thus, polishing compositions 1C-1H and 1J-1N are "self-stop" compositions that planarize the pattern material while preserving the trench material.

실시예 2Example 2

본 실시예는, 임의로 양이온성 화합물과 조합된 자기-정지제의 그를 포함하는 연마 조성물에서의 연마 성능에 대한 효과를 입증한다.This example demonstrates the effect on polishing performance in polishing compositions comprising those of self-stopping agents, optionally in combination with cationic compounds.

패턴 기판을 3종의 연마 조성물 (즉, 연마 조성물 2A-2C)로 연마하였다. 연마 조성물 2B 및 2C를 실시예 1에 기재된 연마제 조성물 및 첨가제 제제 (7:3 부피비)를 사용하여 제조하였다. 조성물 2A (비교예)는 단지 연마제 제제 C2만을 함유하였다.The patterned substrate was polished with three kinds of polishing compositions (ie, polishing compositions 2A-2C). Abrasive compositions 2B and 2C were prepared using the abrasive composition and additive formulation described in Example 1 (7: 3 volume ratio). Composition 2A (comparative) contained only abrasive formulation C2.

대략 5,000 Å의 단차를 갖는 패턴화된 규소 기판 상에 다양한 폭 및 밀도로 초기에 코팅된 TEOS (대략 10,000 Å 두께의 특징부)를 포함하는 실리브 인크.(Silyb Inc.)로부터 수득된 개별 패턴화된 기판을, 300 mm CMP 압반을 갖는 AP-300TM (CTS 캄파니 리미티드(CTS Co., Ltd.)) 상에서 다양한 시간 동안 각각 93 rpm 및 87 rpm의 압반 속도 및 헤드 속도로 3 psi 하향력 하에 IC1010™ 패드를 사용하여 연마하였다. 연마 조성물의 총 유량은 250 mL/분이었다.Individual patterns obtained from Silyb Inc. comprising TEOS (features approximately 10,000 mm thick) initially coated at varying widths and densities on a patterned silicon substrate having a step of approximately 5,000 mm 3 3 psi downward force on plated speeds and head speeds of 93 rpm and 87 rpm, respectively, for various times on AP-300 (CTS Co., Ltd.) with 300 mm CMP platens Under IC1010 ™ pads. The total flow rate of the polishing composition was 250 mL / min.

표 4: 연마 조성물 2A-2C에 대한 설명Table 4: Description of Polishing Compositions 2A-2C

Figure pct00017
Figure pct00017

실시예 2의 결과로서 피치 및 패턴 밀도에 따른 연마 전후의 잔여 활성 두께를 도 2에 그래프로 나타내었다.The remaining active thicknesses before and after polishing according to the pitch and pattern density as a result of Example 2 are shown graphically in FIG. 2.

도 2에 나타낸 결과로부터 명백한 바와 같이, 연마 조성물 2A 및 2B에 비교했을 때, 연마제, 벤즈히드록삼산, 및 폴리MADQUAT를 7.7의 pH (POU)로 함유하는 본 발명의 연마 조성물 2C는 연마 시간이 증가함에 따라 낮은 패턴 밀도 의존성을 나타내고, 기판에 걸쳐 균일한 토포그래피로 정지가 일어났다 (90초 동안 연마 조성물 2C 연마).As is apparent from the results shown in FIG. 2, the polishing composition 2C of the present invention containing the polishing agent, benzhydroxamic acid, and polyMADQUAT at a pH (POU) of 7.7, compared to the polishing compositions 2A and 2B, has a polishing time. As increasing, it exhibited a low pattern density dependency, with a stop with uniform topography across the substrate (polishing composition 2C polishing for 90 seconds).

추가의 연마 성능 데이터는 표 5 및 도 2에 제시된다. 표 5의 데이터는 연마 시간에 따른 웨이퍼 상에 900 μm TEOS 특징부 (50% 패턴 밀도)를 포함하는 잔여 활성 두께를 도시한다.Additional abrasive performance data is presented in Table 5 and FIG. 2. The data in Table 5 shows the remaining active thickness including 900 μm TEOS features (50% pattern density) on the wafer over polishing time.

표 5: 연마 시간에 따른 잔여 산화규소Table 5: Residual Silicon Oxide with Polishing Time

Figure pct00018
Figure pct00018

표 5 및 도 2에 제시된 결과로부터 명백한 바와 같이, 연마 조성물 2C는 초기에 패턴 물질 상에서 보다 낮은 연마 속도를 나타냈지만, 속도는 비교예 (연마 조성물 2A 및 2B)와 비교하여 단차 감소가 감소함에 따라 웨이퍼 상에서 균일하게 저하되었다. 본 실시예는 추가로 대조군 연마 조성물에 비해, 자기-정지제 (예를 들어, 히드록삼산) 및 양이온성 화합물 (예를 들어, pMADQUAT)과 사용 지점에서 약 7.0 내지 약 8.5의 pH 범위로 제제화된 자기-정지 연마 조성물의, 기판에 걸친 토포그래피 변동 (패턴 밀도 의존성)에 관련한 및 웨이퍼 연마 속도 변동 (WIWNU) 내에서 이점을 입증한다.As is apparent from the results presented in Table 5 and FIG. 2, the polishing composition 2C initially exhibited a lower polishing rate on the pattern material, but the rate decreased as the step reduction decreased compared to the comparative examples (polishing compositions 2A and 2B). Uniformly degraded on the wafer. This example is further formulated in a pH range of about 7.0 to about 8.5 at the point of use with a self-stopping agent (eg, hydroxamic acid) and a cationic compound (eg, pMADQUAT), as compared to the control polishing composition. Verified self-stop polishing composition with respect to topography variation (pattern density dependence) across the substrate and within wafer polishing rate variation (WIWNU).

실시예 3Example 3

본 실시예는 임의로 양이온성 화합물과 조합된 본 발명의 자기-정지제 및 pH 범위의 연마 성능에 대한 효과를 입증한다.This example demonstrates the effect on the self-stopping agent of the present invention and the polishing performance in the pH range, optionally in combination with cationic compounds.

하기 표 7에 기재된 14종의 연마 조성물 (즉, 연마 조성물 3A-3N)을 사용하여 패턴 기판 및 TEOS-코팅된 규소 기판을 연마하였다. 연마 조성물을 연마제 조성물 (표 1에 기재됨) 및 표 6에 기재된 첨가제 제제를 7:3 부피비로 혼합하여 제조하였다.The pattern substrate and the TEOS-coated silicon substrate were polished using the 14 polishing compositions (ie, polishing compositions 3A-3N) described in Table 7 below. The polishing composition was prepared by mixing the abrasive composition (shown in Table 1) and the additive formulations shown in Table 6 in a 7: 3 volume ratio.

첨가제 제제 G1-G5는 양이온성 화합물을 함유하지 않는 반면, 제제 G6-G14는 양이온성 화합물 (즉, pMADQUAT 또는 루비쿼트 슈프림)을 함유하였다. 모든 제제는 자기-정지제, 및 표 6에 제시된 바와 같은 추가의 성분을 함유하였다.Additive Formulations G1-G5 do not contain cationic compounds, whereas Formulations G6-G14 contain cationic compounds (ie, pMADQUAT or rubyquat supreme). All formulations contained a self-stop agent and additional ingredients as shown in Table 6.

표 6: 첨가제 제제Table 6: Additive Formulations

Figure pct00019
Figure pct00019

패턴화된 웨이퍼를 실리브 인크.로부터 입수하고, 대략 5,000 Å의 단차를 갖는 패턴화된 규소 기판 상에 초기에 코팅된 50% 패턴 밀도를 갖는 900 μm TEOS 특징부 (대략 10,000 Å 두께의 특징부)를 포함하였다. TEOS 블랭킷 웨이퍼는 WRS 물질로부터 수득하였다. 시험 웨이퍼를 미라(MIRRA)™ 연마 도구 (어플라이드 머티리얼즈, 인크.(Applied Materials, Inc.))를 사용하여 각각 패턴 웨이퍼 및 블랭킷 웨이퍼에 대해 60초 및 90초 동안 연마하였다. 넥스플라나(NexPlanar)® E6088 (캐보트 마이크로일렉트로닉스 코포레이션(Cabot Microelectronics Corporation)) 연마 패드를 3 psi 하향력을 사용하여 200 mm 압반 상에서, 및 압반 속도 및 헤드 속도 각각 93 rpm 및 87 rpm으로 사용하였다. 총 슬러리 유량은 150 mL/분이었다. 결과는 표 7에 제시된다.900 μm TEOS features (approximately 10,000 μs thick features) with a 50% pattern density obtained from patterned wafers from Silicon Inc. and initially coated on a patterned silicon substrate having a step of approximately 5,000 μs ). TEOS blanket wafers were obtained from WRS material. Test wafers were polished for 60 and 90 seconds on patterned and blanket wafers, respectively, using a MIRRA ™ polishing tool (Applied Materials, Inc.). NexPlanar® E6088 (Cabot Microelectronics Corporation) polishing pads were used on a 200 mm platen using 3 psi downforce and at 93 rpm and 87 rpm, respectively. Total slurry flow rate was 150 mL / min. The results are shown in Table 7.

표 7: 연마 성능에 대한 첨가제 및 POU pH의 효과Table 7: Effect of additives and POU pH on polishing performance

Figure pct00020
Figure pct00020

표 7에 제시된 결과로부터 명백한 바와 같이, 자기-정지제를 갖는 연마제 제제를 포함하는 모든 연마 조성물은 패턴 상의 높은 단차 제거 속도 및 블랭킷 웨이퍼 상의 낮은 산화물 제거 속도를 나타내었다. 이는 평탄화되면서 패턴 웨이퍼 상에서 유의한 제거 속도 저하가 발생함을 나타낸다. 단차 제거 속도 대 산화물 블랭킷 제거 속도의 비는, POU pH 및 양이온성 화합물과 조합하여 자기-정지제의 유형에 따라, 대략 4 내지 24의 범위에 있었다.As is evident from the results presented in Table 7, all polishing compositions including abrasive formulations with self-stopping agents exhibited high step removal rates on patterns and low oxide removal rates on blanket wafers. This indicates that a significant removal rate drop occurs on the pattern wafer as it is planarized. The ratio of step removal rate to oxide blanket removal rate ranged from approximately 4 to 24, depending on the type of self-stopping agent in combination with the POU pH and the cationic compound.

상기 인용된 간행물, 특허 출원 및 특허를 포함하는 모든 문헌은, 각각의 참고문헌이 개별적으로 및 구체적으로 나타내어 참조로 포함되고 본원에 그의 전문이 제시된 경우에, 동일한 정도로 참조로 본원에 포함된다.All publications, including the publications, patent applications, and patents cited above are hereby incorporated by reference to the same extent when each reference is individually and specifically incorporated by reference and its entirety is set forth herein.

본 발명을 기재하는 것과 관련하여 단수 용어 및 "적어도 1종" 및 유사한 지시대상은, 본원에 달리 지시되거나 문맥에서 명백하게 모순되지 않는 한, 단수형 및 복수형을 둘 다를 포괄하는 것으로 해석되어야 한다. 열거된 1종 이상의 항목에 이어지는 용어 "적어도 1종"의 사용 (예를 들어, "A 및 B 중 적어도 1종")은, 본원에서 달리 지시되거나 문맥에서 명백하게 모순되지 않는 한, 열거된 항목으로부터 선택된 1종의 항목 (A 또는 B) 또는 열거된 항목 중 2종 이상의 임의의 조합 (A 및 B)을 의미하는 것으로 해석되어야 한다. 용어 "포함하는", "갖는", "비롯한" 및 "함유하는"은 다르게 언급되지 않는 한 개방형 (open-ended) 용어 (즉, "포함하나 이에 제한되지는 않음"의 의미함)로 해석되어야 한다. 본원에서 값의 범위에 대한 언급은, 본원에 달리 지시되지 않는 한, 단지 범위 내에 속한 각각의 개별 값을 개별적으로 언급하는 단축 방법으로서 역할을 하도록 의도되고, 각각의 개별 값은 본원에서 개별적으로 인용되는 바와 같이 명세서에 포함된다. 본원에서 달리 지시되거나 문맥에서 달리 명백하게 모순되지 않는 한, 본원에 기재된 모든 방법은 임의의 적합한 순서로 수행될 수 있다. 본원에 제공된 임의의 및 모든 예, 또는 예시적인 언어 (예를 들어, "예컨대")의 사용은, 단지 본 발명을 보다 설명하는 것으로 의도되며, 달리 청구되지 않는 한 본 발명의 범주에 대해 제한을 제기하지 않는다. 명세서에서의 어구는 임의의 청구되지 않은 요소를 본 발명의 실시에 필수적인 것으로 나타내는 것으로 해석되어서는 안된다.In the context of describing the present invention, the singular and “at least one” and similar referents should be construed to encompass both the singular and the plural unless the context clearly dictates otherwise or otherwise clearly contradicts the context. The use of the term “at least one” (eg, “at least one of A and B”) following one or more of the items listed is from an item listed unless otherwise indicated herein or otherwise clearly contradicted by context. It should be construed as meaning one item selected (A or B) or any combination of two or more of the listed items (A and B). The terms "comprising", "having", "including" and "including" are to be interpreted as open-ended terms (ie, meaning "including but not limited to") unless stated otherwise. do. Reference to a range of values herein is intended to serve as a shorthand way of individually referring to each individual value within a range, unless otherwise indicated herein, each individual value cited individually herein. It is included in the specification as it becomes. Unless otherwise indicated herein or otherwise clearly contradicted by context, all methods described herein may be performed in any suitable order. The use of any and all examples, or exemplary language (eg, “such as”) provided herein, is intended to further illustrate the invention and is not intended to limit the scope of the invention unless otherwise claimed. Do not raise. The phraseology in the specification should not be construed as indicating any non-claimed element as essential to the practice of the invention.

본 발명자들이 알고 있는, 본 발명을 실시하기 위한 최고의 방식을 포함하는 본 발명의 바람직한 실시양태는 본원에 기재된다. 그 바람직한 실시양태의 변형도 상기 명세서를 읽으면 관련 기술분야의 통상의 기술자에게 자명하게 될 수 있다. 본 발명자들은 관련 기술분야의 통상의 기술자가 이러한 변형을 적절하게 이용할 것으로 예상하며, 본 발명자들은 본원에 명시적으로 기재된 것과 다르게 실시될 본 발명을 의도한다. 따라서, 본 발명은 적용 법령에 의해 허용된 것과 같은 본원에 첨부된 특허청구범위에 인용된 대상의 모든 변형 및 등가물을 포함한다. 더욱이, 본원에 달리 지시되거나 문맥에서 달리 명백하게 모순되지 않는 한, 본 발명의 모든 가능한 변형에서 상기-기재된 요소들의 임의의 조합도 본 발명에 포함된다.Preferred embodiments of the invention are described herein, including the best mode known to the inventors for carrying out the invention. Modifications of the preferred embodiments thereof will become apparent to those skilled in the art upon reading the above specification. The inventors expect skilled artisans to employ such variations as appropriate, and the inventors intend for the invention to be practiced otherwise than as explicitly described herein. Accordingly, the invention includes all modifications and equivalents of the subject matter recited in the claims appended hereto as permitted by applicable legislation. Moreover, unless otherwise indicated herein or otherwise clearly contradicted by context, any combination of the above-described elements in all possible variations of the invention is included in the invention.

Claims (20)

(a) 세리아, 지르코니아 및 그의 조합으로부터 선택된 연마제,
(b) 화학식 (II)의 화합물, 화학식 (III)의 화합물, 화학식 (IV)의 화합물, 및 그의 조합으로부터 선택된 자기-정지제
Figure pct00021

여기서 각각의 X1-X3은 독립적으로 N, O, S, sp2-혼성화 탄소, 및 CY1Y2로부터 선택되고, 여기서 각각의 Y1 및 Y2는 독립적으로 수소, 히드록실, C1-C6 알킬, 할로겐, 및 그의 조합으로부터 선택되고, 각각의 Z1-Z3은 독립적으로 수소, 히드록실, C1-C6 알킬, 및 그의 조합으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있고;
Figure pct00022

여기서 Z는 N, C1-C6 알킬, C1-C6 알케닐, C1-C6 알키닐, 및 아릴 (예를 들어, 페닐, 벤질, 나프틸, 아줄렌, 안트라센, 피렌 등)로부터 선택되고, X1 및 X2는 독립적으로 수소, 히드록시, 아미노, 및 C1-C6 알킬, C1-C6 알케닐로부터 선택되고, 여기서 X1 및 X2는 부착된 탄소와 함께 sp2-혼성화 탄소를 형성할 수 있고, n은 1 또는 2이고, p는 0-4이고, M은 수소 및 적합한 반대이온 (예를 들어, I족 금속)으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있고;
Figure pct00023

여기서 X, Y 및 Z는 독립적으로 H, O, S, NH 및 CH2로부터 선택되고, R1, R2 및 R3은 독립적으로 H, 알킬, 알케닐, 알키닐, 아릴, 할로 및 할로알킬로부터 선택되고, M은 수소 및 적합한 반대이온으로부터 선택됨, 및
(c) 수성 캐리어
를 포함하며, 약 3 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물,
(a) an abrasive selected from ceria, zirconia and combinations thereof,
(b) a self-stopping agent selected from compounds of formula (II), compounds of formula (III), compounds of formula (IV), and combinations thereof
Figure pct00021

Wherein each X 1 -X 3 is independently selected from N, O, S, sp 2 -hybridized carbon, and CY 1 Y 2 , wherein each Y 1 and Y 2 are independently hydrogen, hydroxyl, C 1 -C 6 alkyl, halogen, and combinations thereof, each Z 1 -Z 3 is independently selected from hydrogen, hydroxyl, C 1 -C 6 alkyl, and combinations thereof, each of which is substituted or unsubstituted Can be;
Figure pct00022

Where Z is N, C 1 -C 6 alkyl, C 1 -C 6 alkenyl, C 1 -C 6 alkynyl, and aryl (eg, phenyl, benzyl, naphthyl, azulene, anthracene, pyrene, etc.) And X 1 and X 2 are independently selected from hydrogen, hydroxy, amino, and C 1 -C 6 alkyl, C 1 -C 6 alkenyl, wherein X 1 and X 2 together with the attached carbon sp 2 -hybridized carbon can be formed, n is 1 or 2, p is 0-4, M is selected from hydrogen and a suitable counterion (eg, Group I metal), each of which is substituted or Can be unsubstituted;
Figure pct00023

Wherein X, Y and Z are independently selected from H, O, S, NH and CH 2 , and R 1 , R 2 and R 3 are independently H, alkyl, alkenyl, alkynyl, aryl, halo and haloalkyl Is selected from M and hydrogen is selected from a suitable counterion, and
(c) aqueous carrier
A chemical-mechanical polishing composition comprising: and having a pH of about 3 to about 9
제1항에 있어서, 속도 증진제를 더 포함하는 연마 조성물.The polishing composition of claim 1 further comprising a rate enhancer. 제1항에 있어서, 연마제가 연마 조성물 중에 약 0.001 중량% 내지 약 5 중량%의 농도로 존재하는 것인 연마 조성물.The polishing composition of claim 1, wherein the abrasive is present in the polishing composition at a concentration of about 0.001% to about 5% by weight. 제1항에 있어서, 자기-정지제가 말톨, 에틸 말톨, 코지산, 벤조산, 3,4-디히드록시벤조산, 3,5-디히드록시벤조산, 카페인산, 에틸 말톨, 소르브산, 부티르산, 발레르산, 헥산산, 티글산, 안젤산, 크로톤산, 소르브산, 디페리프론, 2-히드록시 니코틴산, 2-펜텐산, 3-펜텐산, 다른 포화 및 불포화 알킬 카르복실산, 그의 염, 및 그의 조합으로부터 선택된 것인 연마 조성물.The method of claim 1 wherein the self-stopping agent is maltol, ethyl maltol, kojic acid, benzoic acid, 3,4-dihydroxybenzoic acid, 3,5-dihydroxybenzoic acid, caffeic acid, ethyl maltol, sorbic acid, butyric acid, valeric Acids, hexanoic acid, tiglic acid, angelic acid, crotonic acid, sorbic acid, diferipron, 2-hydroxy nicotinic acid, 2-pentenoic acid, 3-pentenoic acid, other saturated and unsaturated alkyl carboxylic acids, salts thereof, and Polishing composition selected from combinations thereof. 제1항에 있어서, 자기-정지제가 연마 조성물 중에 약 1 중량% 이하의 농도로 존재하는 것인 연마 조성물.The polishing composition of claim 1, wherein the self-stopping agent is present in the polishing composition at a concentration of about 1% by weight or less. 제1항에 있어서, 중합체, 올리고머, 소분자, 염 및 그의 조합으로부터 선택된 양이온성 화합물을 더 포함하는 연마 조성물.The polishing composition of claim 1 further comprising a cationic compound selected from polymers, oligomers, small molecules, salts, and combinations thereof. 제6항에 있어서, 양이온성 화합물이 4급 아민으로부터 선택된 단량체, 양이온성 폴리비닐 알콜, 양이온성 셀룰로스 및 그의 조합을 포함하는 중합체 또는 올리고머인 연마 조성물.The polishing composition of claim 6, wherein the cationic compound is a polymer or oligomer comprising a monomer selected from quaternary amines, cationic polyvinyl alcohol, cationic cellulose and combinations thereof. 제7항에 있어서, 양이온성 화합물이 4급 아민 단량체를 포함하는 중합체 또는 올리고머이고, 여기서 4급 아민 단량체는 비닐이미다졸륨, 메타크릴로일옥시에틸트리메틸암모늄 할라이드, 디알릴디메틸암모늄 할라이드 및 그의 조합으로부터 선택된 것인 연마 조성물.The method of claim 7, wherein the cationic compound is a polymer or oligomer comprising a quaternary amine monomer, wherein the quaternary amine monomer is vinylimidazolium, methacryloyloxyethyltrimethylammonium halide, diallyldimethylammonium halide and its A polishing composition selected from the combination. 제6항에 있어서, 양이온성 화합물이 2-(디메틸아미노)에틸 메타크릴레이트, 디알릴디메틸암모늄, 폴리(비닐이미다졸륨), 폴리(메타크릴로일옥시에틸트리메틸암모늄) 할라이드, 폴리(디알릴디메틸암모늄) 할라이드, 폴리쿼터늄-2, 폴리쿼터늄-11, 폴리쿼터늄-16, 폴리쿼터늄-46, 폴리쿼터늄-44, 루비쿼트 슈프림, 루비쿼트 홀드, 루비쿼트 울트라케어, 루비쿼트 FC 370, 루비쿼트 FC 550, 루비쿼트 FC 552, 루비쿼트 엑설런스, 및 그의 조합으로부터 선택된 양이온성 올리고머 또는 양이온성 중합체인 연마 조성물.The method of claim 6, wherein the cationic compound is 2- (dimethylamino) ethyl methacrylate, diallyldimethylammonium, poly (vinylimidazolium), poly (methacryloyloxyethyltrimethylammonium) halide, poly (di Allyldimethylammonium) halide, polyquaternium-2, polyquaternium-11, polyquaternium-16, polyquaternium-46, polyquaternium-44, rubyquat supreme, rubyquat hold, rubyquat ultracare, ruby A polishing composition that is a cationic oligomer or cationic polymer selected from quart FC 370, ruby quart FC 550, ruby quart FC 552, ruby quart Excellence, and combinations thereof. 제1항에 있어서, 약 6.0 내지 약 8.5의 pH를 갖는 연마 조성물.The polishing composition of claim 1 having a pH of about 6.0 to about 8.5. 제1항에 있어서, 약 3 내지 약 5의 pH를 갖는 연마 조성물.The polishing composition of claim 1 having a pH of about 3 to about 5. 6. (a) 세리아, 지르코니아 및 그의 조합으로부터 선택된 연마제,
(b) 화학식 (I)의 화합물로부터 선택된 자기-정지제
Figure pct00024

여기서 R은 수소, 알킬, 시클로알킬, 아릴, 헤테로시클릭 알킬 및 헤테로시클릭 아릴로 이루어진 군으로부터 선택되고, 이들 각각은 치환 또는 비치환될 수 있음,
(c) 양이온성 중합체,
(d) 수성 캐리어
를 포함하며, 약 7 내지 약 9의 pH를 갖는 화학-기계적 연마 조성물.
(a) an abrasive selected from ceria, zirconia and combinations thereof,
(b) a self-terminating agent selected from compounds of formula (I)
Figure pct00024

Wherein R is selected from the group consisting of hydrogen, alkyl, cycloalkyl, aryl, heterocyclic alkyl and heterocyclic aryl, each of which may be substituted or unsubstituted,
(c) cationic polymers,
(d) aqueous carrier
And a chemical-mechanical polishing composition comprising a pH of about 7 to about 9. 9.
제12항에 있어서, 자기-정지제가 히드록삼산, 아세토히드록삼산, 벤즈히드록삼산, 살리실히드록삼산 및 그의 조합으로부터 선택된 것인 연마 조성물.13. The polishing composition of claim 12, wherein the self-stopping agent is selected from hydroxamic acid, acetohydroxysamic acid, benz hydroxamic acid, salicylic hydroxamic acid and combinations thereof. 제12항에 있어서, 양이온성 중합체가 2-(디메틸아미노)에틸 메타크릴레이트, 디알릴디메틸암모늄, 폴리(비닐이미다졸륨), 폴리(메타크릴로일옥시에틸트리메틸암모늄) 할라이드, 폴리(디알릴디메틸암모늄) 클로라이드, 폴리쿼터늄-2, 폴리쿼터늄-11, 폴리쿼터늄-16, 폴리쿼터늄-46, 폴리쿼터늄-44, 루비쿼트 슈프림, 루비쿼트 홀드, 루비쿼트 울트라케어, 루비쿼트 FC 370, 루비쿼트 FC 550, 루비쿼트 FC 552, 루비쿼트 엑설런스, 및 그의 조합으로부터 선택된 것인 연마 조성물.The method of claim 12, wherein the cationic polymer is 2- (dimethylamino) ethyl methacrylate, diallyldimethylammonium, poly (vinylimidazolium), poly (methacryloyloxyethyltrimethylammonium) halide, poly (di Allyldimethylammonium) chloride, polyquaternium-2, polyquaternium-11, polyquaternium-16, polyquaternium-46, polyquaternium-44, rubyquat supreme, rubyquat hold, rubyquat ultracare, ruby A polishing composition selected from Quart FC 370, RubyQuart FC 550, RubyQuart FC 552, RubyQuart Excellence, and combinations thereof. 제12항에 있어서, 속도 증진제를 더 포함하는 연마 조성물.13. The polishing composition of claim 12 further comprising a rate enhancer. 제12항에 있어서, 자기-정지제가 연마 조성물 중에 약 1 중량% 이하의 농도로 존재하는 것인 연마 조성물.The polishing composition of claim 12, wherein the self-stopping agent is present in the polishing composition at a concentration of about 1% by weight or less. 제12항에 있어서, 연마제가 세리아인 연마 조성물.The polishing composition of claim 12, wherein the abrasive is ceria. 제12항에 있어서, 연마제가 연마 조성물 중에 약 0.001 중량% 내지 약 5 중량%의 농도로 존재하는 것인 연마 조성물.The polishing composition of claim 12, wherein the abrasive is present in the polishing composition at a concentration of about 0.001% to about 5% by weight. (i) 기판의 표면 상에 패턴 유전체 층을 포함하는 기판을 제공하는 것,
(ii) 연마 패드를 제공하는 것;
(iii) 제1항의 화학-기계적 연마 조성물을 제공하는 것;
(iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것; 및
(v) 연마 패드 및 화학-기계적 연마 조성물을 기판에 대해 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것
을 포함하는, 기판을 화학-기계적으로 연마하는 방법.
(i) providing a substrate comprising a patterned dielectric layer on a surface of the substrate,
(ii) providing a polishing pad;
(iii) providing the chemical-mechanical polishing composition of claim 1;
(iv) contacting the substrate with the polishing pad and the chemical-mechanical polishing composition; And
(v) polishing the substrate by moving the polishing pad and the chemical-mechanical polishing composition relative to the substrate to grind at least a portion of the patterned dielectric layer on the surface of the substrate.
And chemically-mechanically polishing the substrate.
(i) 기판의 표면 상에 패턴 유전체 층을 포함하는 기판을 제공하는 것,
(ii) 연마 패드를 제공하는 것;
(iii) 제12항의 화학-기계적 연마 조성물을 제공하는 것;
(iv) 기판을 연마 패드 및 화학-기계적 연마 조성물과 접촉시키는 것; 및
(v) 연마 패드 및 화학-기계적 연마 조성물을 기판에 대해 이동시켜 기판의 표면 상의 패턴 유전체 층의 적어도 일부를 연삭하여 기판을 연마하는 것
을 포함하는, 기판을 화학-기계적으로 연마하는 방법.
(i) providing a substrate comprising a patterned dielectric layer on a surface of the substrate,
(ii) providing a polishing pad;
(iii) providing the chemical-mechanical polishing composition of claim 12;
(iv) contacting the substrate with the polishing pad and the chemical-mechanical polishing composition; And
(v) polishing the substrate by moving the polishing pad and the chemical-mechanical polishing composition relative to the substrate to grind at least a portion of the patterned dielectric layer on the surface of the substrate.
And chemically-mechanically polishing the substrate.
KR1020197033492A 2017-04-17 2018-03-23 Self-Stop Polishing Compositions and Methods for Bulk Oxide Flattening KR20190132537A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762486219P 2017-04-17 2017-04-17
US62/486,219 2017-04-17
PCT/US2018/024067 WO2018194792A1 (en) 2017-04-17 2018-03-23 Self-stopping polishing composition and method for bulk oxide planarization

Publications (1)

Publication Number Publication Date
KR20190132537A true KR20190132537A (en) 2019-11-27

Family

ID=63856438

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197033492A KR20190132537A (en) 2017-04-17 2018-03-23 Self-Stop Polishing Compositions and Methods for Bulk Oxide Flattening

Country Status (6)

Country Link
EP (1) EP3612608A4 (en)
JP (1) JP7132942B2 (en)
KR (1) KR20190132537A (en)
CN (2) CN110520493B (en)
TW (1) TWI663231B (en)
WO (1) WO2018194792A1 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7220522B2 (en) * 2018-05-24 2023-02-10 株式会社バイコウスキージャパン Abrasive grains, manufacturing method thereof, polishing slurry containing the same, and polishing method using the same
CN114599750A (en) 2019-10-22 2022-06-07 Cmc材料股份有限公司 Compositions and methods for chemical mechanical polishing of silicon oxides and carbon-doped silicon oxides
US20210115298A1 (en) * 2019-10-22 2021-04-22 Cabot Microelectronics Corporation, nka CMC Materials, Inc. Composition and method for dielectric cmp
US20210115301A1 (en) * 2019-10-22 2021-04-22 Cabot Microelectronics Corporation nka CMC Materials, Inc. Self-stopping polishing composition and method
TWI767355B (en) * 2019-10-24 2022-06-11 美商慧盛材料美國責任有限公司 High oxide removal rates shallow trench isolation chemical mechanical planarization compositions, system and method
JP7409918B2 (en) * 2020-03-13 2024-01-09 株式会社フジミインコーポレーテッド Polishing composition, method for producing polishing composition, polishing method, and method for producing semiconductor substrate
CN114621683A (en) * 2020-12-11 2022-06-14 安集微电子(上海)有限公司 Chemical mechanical polishing solution and use method thereof
US20220195244A1 (en) * 2020-12-21 2022-06-23 Cmc Materials, Inc. Self-stopping polishing composition and method for high topological selectivity
WO2023013059A1 (en) * 2021-08-06 2023-02-09 昭和電工マテリアルズ株式会社 Cmp polishing liquid, cmp polishing liquid set, and polishing method
US20230242791A1 (en) * 2022-02-03 2023-08-03 Cmc Materials, Inc. Ceria-based slurry compositions for selective and nonselective cmp of silicon oxide, silicon nitride, and polysilicon
CN115160933B (en) * 2022-07-27 2023-11-28 河北工业大学 Alkaline polishing solution for cobalt CMP of cobalt interconnection integrated circuit and preparation method thereof

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
US20050108947A1 (en) * 2003-11-26 2005-05-26 Mueller Brian L. Compositions and methods for chemical mechanical polishing silica and silicon nitride
US20060096179A1 (en) * 2004-11-05 2006-05-11 Cabot Microelectronics Corporation CMP composition containing surface-modified abrasive particles
KR100661273B1 (en) * 2005-04-28 2006-12-26 테크노세미켐 주식회사 Abrasive composition for polishing of wafer
JP5133874B2 (en) 2005-04-28 2013-01-30 テクノ セミケム シーオー., エルティーディー. Chemical mechanical polishing composition with automatic polishing stop function for planarization of high step oxide film
JP2009094233A (en) 2007-10-05 2009-04-30 Showa Denko Kk Polishing composition for semiconductor substrate
US8409990B2 (en) * 2008-09-12 2013-04-02 Ferro Corporation Chemical-mechanical polishing compositions and methods of making and using the same
KR101469258B1 (en) * 2009-12-31 2014-12-09 제일모직주식회사 CMP slurry compositions and polishing method using the same
TWI538970B (en) * 2010-09-08 2016-06-21 巴斯夫歐洲公司 Process for chemically mechanically polishing substrates containing silicon oxide dielectric films and polysilicon and/or silicon nitride films
JP6222907B2 (en) 2012-09-06 2017-11-01 株式会社フジミインコーポレーテッド Polishing composition
KR101557542B1 (en) * 2012-12-27 2015-10-06 제일모직주식회사 Cmp slurry composition and polishing method using the same
US20160122696A1 (en) * 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
US9303187B2 (en) * 2013-07-22 2016-04-05 Cabot Microelectronics Corporation Compositions and methods for CMP of silicon oxide, silicon nitride, and polysilicon materials
US9434859B2 (en) * 2013-09-24 2016-09-06 Cabot Microelectronics Corporation Chemical-mechanical planarization of polymer films
US9340706B2 (en) * 2013-10-10 2016-05-17 Cabot Microelectronics Corporation Mixed abrasive polishing compositions
KR101524624B1 (en) * 2013-11-18 2015-06-03 주식회사 케이씨텍 Additive composition of high aspect ratio polishing slurry and high aspect ratio polishing slurry composition comprising the same
US9735030B2 (en) * 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
KR102426915B1 (en) * 2014-12-24 2022-08-02 솔브레인 주식회사 Chemical mechanical polishing composition, chemical mechanical polishing method for a low-k dielectric film and method of preparing semiconductor device
US9758697B2 (en) * 2015-03-05 2017-09-12 Cabot Microelectronics Corporation Polishing composition containing cationic polymer additive
WO2017011451A1 (en) 2015-07-13 2017-01-19 Cabot Microelectronics Corporation Methods and compositions for processing dielectric substrate
CN108026412B (en) * 2015-09-03 2021-08-31 嘉柏微电子材料股份公司 Method and composition for processing dielectric substrate

Also Published As

Publication number Publication date
JP7132942B2 (en) 2022-09-07
EP3612608A4 (en) 2021-01-20
EP3612608A1 (en) 2020-02-26
CN113637412A (en) 2021-11-12
WO2018194792A1 (en) 2018-10-25
CN110520493A (en) 2019-11-29
CN110520493B (en) 2022-11-22
JP2020517117A (en) 2020-06-11
TWI663231B (en) 2019-06-21
TW201839077A (en) 2018-11-01

Similar Documents

Publication Publication Date Title
JP7132942B2 (en) Self-stopping polishing composition and method for bulk oxide planarization
US10920107B2 (en) Self-stopping polishing composition and method for bulk oxide planarization
US9828528B2 (en) Polishing composition containing ceria abrasive
EP3055376B1 (en) Mixed abrasive polishing compositions
US10639766B2 (en) Methods and compositions for processing dielectric substrate
EP3265526B1 (en) Polishing composition containing ceria particles and method of use
EP3397710B1 (en) Cmp processing composition comprising alkylamine and cyclodextrin
TWI826878B (en) Self-stopping polishing composition and method for high topological selectivity

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)