KR20190084899A - 비선형 도즈 및 블러 의존 에지 배치 보정 - Google Patents

비선형 도즈 및 블러 의존 에지 배치 보정 Download PDF

Info

Publication number
KR20190084899A
KR20190084899A KR1020190002719A KR20190002719A KR20190084899A KR 20190084899 A KR20190084899 A KR 20190084899A KR 1020190002719 A KR1020190002719 A KR 1020190002719A KR 20190002719 A KR20190002719 A KR 20190002719A KR 20190084899 A KR20190084899 A KR 20190084899A
Authority
KR
South Korea
Prior art keywords
pattern
pixel
dose
exposure
value
Prior art date
Application number
KR1020190002719A
Other languages
English (en)
Other versions
KR102652218B1 (ko
Inventor
디알. 엘마르 플라츠구머
맥. 크리스토프 스팽글러
울프 나에타
Original Assignee
아이엠에스 나노패브릭케이션 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아이엠에스 나노패브릭케이션 게엠베하 filed Critical 아이엠에스 나노패브릭케이션 게엠베하
Publication of KR20190084899A publication Critical patent/KR20190084899A/ko
Application granted granted Critical
Publication of KR102652218B1 publication Critical patent/KR102652218B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31752Lithography using particular beams or near-field effects, e.g. STM-like techniques
    • H01J2237/31754Lithography using particular beams or near-field effects, e.g. STM-like techniques using electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electron Beam Exposure (AREA)

Abstract

래스터화된 노광 방법에서, 패턴 요소 경계의 피쳐 에지(dCD)의 위치와 에지 픽셀의 노광 도즈(d)을 통해 표현되는 명목 경계 위치 간의 비선형 관계를 보정하기 위해, 에지 위치에 대한 위치 보정이 채용된다. 위치 보정은 상기 에지 위치를 나타내는 위치 값을 결정하는 단계, 사전 정의된 비선형 함수를 사용하여 위치 값에 기초하여 보정된 위치 값을 결정하는 단계 및 보정된 위치 값에 따라 패턴 요소 경계를 효과적으로 시프트시키기 위해 패턴을 수정하는 단계를 포함한다. 비선형 함수는 패턴의 노광 동안 입력 값으로서 사용되는 명목 위치 값(d)과, 상기 명목 위치 값으로 노광될 때 생성된 패턴 요소 경계의 결과적인 위치(dCD) 간의 관계의 역(inverse)을 나타낸다.

Description

비선형 도즈 및 블러 의존 에지 배치 보정{NON-LINEAR DOSE- AND BLUR-DEPENDENT EDGE PLACEMENT CORRECTION}
본 발명은 대전 입자 멀티 빔 기록 장치에 의해 타겟 상에 노광될 패턴을 계산하는 방법에 관한 것으로서, 상기 장치는 스캐닝 스트라이프(stripe) 노광에 의해 상기 패턴을 생성하도록 상기 타겟상의 노광 영역 내의 복수의 픽셀을 노광하고, 이 패턴은 노광 영역상의 래스터 그리드(raster grid)에 따라 형성된 복수의 픽셀로 구성된 픽셀 그래픽으로서 실현되고, 상기 픽셀 각각은 각각의 픽셀 위치에 위치한 기하학적 픽셀 형상에 의해 표현되며 각각의 픽셀에 대해 노광될 노광 도즈의 값에 대응하는 강도 값이 할당되고, 상기 방법은:
(i) 벡터 패턴을 복수의 패턴 요소로서 제공하는 단계로서, 각각의 패턴 요소는 경계 및 내부를 포함하는 각각의 기하학적 형상을 가지며, 각각의 할당된 도즈와 연관되며, 상기 할당된 도즈는 각각의 형상 내부의 픽셀들에 대해 노광될 노광 도즈의 값을 정의하는 것인 상기 벡터 패턴을 복수의 패턴 요소로서 제공하는 단계, 및
(ii) 상기 복수의 픽셀 각각에 대해, 벡터 패턴에 기초하여 각각의 강도 값을 계산함으로써 벡터 패턴을 패턴으로 래스터화(rasterizing)하는 단계를 포함한다.
언급된 유형의 방법이 사용되는 대전 입자 멀티 빔 처리 장치는 종래 기술에서 잘 알려져 있다. 특히, 본 출원인은 대전 입자 광학부재, 패턴 정의(PD) 장치, 및 여기에 채용된 멀티 빔 기록 방법과 관련하여 출원인의 명의의 여러 특허에 기재된 바와 같은 대전 입자 멀티 빔 장치를 실현하였다. 예를 들어, 6 "마스크 블랭크 기판 노광 용의, eMET(Electron Mask Exposure Tool) 또는 MBMW(Multi-beam mask writer)라 불리는, EUV 리소그래피 용 마스크 및 임프린트 리소그래피 용 템플릿(1x 마스크)의, 193nm 이머전 리소그래피 용 리딩-에지 복합 포토마스크를 구현할 수 있는 50keV 전자 멀티-빔 기록기가 구현되어 있다. 또한, PML2(Projection Mask-Less Lithography)라고도 불리는 멀티 빔 시스템이 실리콘 웨이퍼 기판에 EBDW(Electron Beam Direct Write) 애플리케이션 용으로 구현되었다. 상기 종류의 멀티 빔 처리 장치는 이하 멀티 빔 기록기(multi-beam writer) 또는 간단히 MBW라 한다.
MBW의 경우에, 스캐닝 스트라이프 노광은 복수의 빔렛(beamlet)들로 구성된 구조화된 빔이 타겟 상으로 지향되고 노광 영역 위 경로를 따라 이동되는 타입의 것이고, 후속의 노광 단계들 사이에서 구조화된 빔은 타겟상의 구조화된 빔의 폭보다 작은 노광 길이에 대응하는 연속적 거리만큼 타겟 상에서 시프트된다.
MBW의 전형적인 구현으로서, 본 출원인은 기판에서 81.92㎛ × 81.92㎛ 크기의 빔 어레이 필드 내에 512 × 512(= 262,144) 개의 프로그램 가능한 빔렛을 포함하는 20nm의 전체 빔 크기를 구현한 50keV 전자 기록기를 실현했다. 이 기록기 도구에서, 전형적인 유형의 기판은 전자 빔에 민감한 레지스트로 덮인 6" 마스크 블랭크(6" × 6"= 152.4mm × 152.4mm의 면적 및 1"/4 = 6.35mm의 두께)이고, 또한, 멀티 빔 기록은 레지스트 커버된 150mm Si 웨이퍼 상에도 가능하다. MBW 타입의 이러한 기록기 도구에 관한 더 자세한 정보는 본 출원인의 미국 특허 제9,653,263호에서 찾을 수 있으며, 이는 본 명세서에 참조로서 통합되어 있다. MBW는 본 명세서에서 "스캐닝 스트라이프 노광"으로 지칭되는 기록 방법을 수행하도록 구성된다. 스캐닝 스트라이프 노광 기록 방법은 도 1 내지 도 7을 참조하여 본 발명의 맥락상 필요에 따라 아래에서 설명되며, 스캐닝 스트라이프 노광에 대한 더 상세한 내용은 본 명세서에 참조로서 통합된 본 출원인의 미국 특허 제 9,053,906 호에서 찾을 수 있다.
유리 기판상의 마스크 패턴과 같은, 패턴을 노광하는데 사용되는 또 다른 최신 기록기 기술은 소위 VSB 기술(가변 형상 빔)이다. VSB 기술은 기판 상에 "샷(shot)"을 순차적으로 전달하는 것에 기반을 두고 있지만, 샷은 크기 조절 가능하며, 샷 당 도즈는 고속 블랭커(blanker)에 의해 제어 가능하다. 일반적으로 최신 VSB 기록기의 전류 밀도는 매우 높으나(100-1000 A/㎠cm2), MBW에서, 전류 밀도는 1-4 A/cm2 정도이다. 따라서, VSB 라이터 전류 밀도는 MBW에 비해 최대 1000 배 더 크다. MBW의 향상된 생산성은 대개 25 만 개 이상인 매우 많은 수의 빔("빔렛")에서 기인한다. 따라서, 멀티 빔 작성기는 이론적으로 낮은 전류 밀도에도 불구하고, 동일한 빔 크기가 적용될 경우 VSB 작성기보다 최대 250 배 높은 전류를 전달할 수 있다. 빔 내 입자 내부의 쿨롱 상호 작용과 같은 모든 도구적 및 물리적 제한을 고려할 때, 멀티 빔 작성기는 실제적으로 VSB 작성자보다 약 10 ~ 25 배 많은 전류를 전달할 수 있으며, 이는 생산성 향상을 의미한다.
MBW 또는 VSB 라이터와 같이, 대전 입자 작성기에서의 노광 패턴을 디자인 할 때, 피쳐에 특정 노광 도즈 레벨을 할당하는 것이 일반적이며, 이 노광 도즈 레벨을 본 명세서에서 "할당 도즈"라 한다. 할당된 도즈에 대한 표준 값으로서 "도즈 투 클리어(dose-to-clear)"의 두 배를 사용하는 것이 일반적이나(여기서 "도즈 투 클리어"는 여기서 긍정적인 노광, 즉 레지스트의 개발을 달성하기에 충분할 도즈를 나타내기 위해 사용되며, DDtC라 약칭됨), 피쳐 크기의 수정을 위한 특정 접근법과 같은 특정 경우에 대해 할당 도즈를 다른 값으로 수정할 수 있다. 일반적으로, 할당 도즈는 상승된다(소위 "오버도징", 또는 관련 피쳐의 용량이 감소되면 "언더도징"된다). 리소그래피의 관점에서, 피쳐의 오버도징(또는 언더도징은 노광 공정의 품질에 거의 영향을 주지 않으며, 최첨단 산업 사용자는 에칭/부식에 의한 또는 패턴 밀도 관련 로딩 효과와 같은 프로세싱 관련 사이징 효과를 보정하기 위해 상당한 도즈 조정과 함께 작업하는 것이 일반적인 VSB 기반 기술에 사용되고, 여기서 오버도징의 특정 양은 희망하는 피쳐 크기의 수축 또는 팽창에 각각 대응한다. 이것은 상이한 패턴 구성요소가 광범위하게 변화하는 노광 도즈 레벨을 갖는 패턴을 초래할 수 있고, 극단적인 경우, 그러한 도즈 조정은-40 % 언더도징에서 + 300 % 오버도징 이상의 범위일 수 있다.
할당 도즈(D)는 종종 소위 도즈 팩터(dose factor)로 표현되는데, 이는 도즈-투-클리어의 2배로 스케일된 할당 도즈((D/2DDtC))이다. 이것은 1의 도즈 인자가 도즈-투-클리어의 두 배인 할당 도즈를 실현하고, "아이소포컬 도즈(isofocal dose)"를 실현한다는 개념을 반영한 것인데, 이는 초점 변경(또는 유사하게 블러(blur) 변경)은 "아이소포컬 도즈"에서 또는 그 부근에서 기록된 피쳐에 최소한의 영향을 미치기 때문이다.
본 발명은 발명자들이 관찰한 비선형 문제를 다룬다. 특히, 종래에는, 피쳐의 에지에서 최외측 픽셀의 도즈를 증가시키는 것이 도즈 프로파일의 대응하는 선형 시프트를 발생시키는 것으로 가정된다. (도 15a 내지 도 15c를 참조한 아래의 설명 참조) 본 발명자들은 에지 시프트가 단지 "에지 픽셀"에서의 도즈 값의 거의 선형인 함수라는 것을 관찰하였다. 비선형성은 작고 많은 실제적인 경우에서 무시될 수 있지만, 비선형 거동이 피쳐 크기에 대해 큰 편차를 유발할 수 있는 경우가 있다. 특히 이 비선형성은 도즈 팩터가 1에서 크게 벗어나도록 피쳐의 위치를 변경할 때 피쳐 크기의 상당한 변경을 야기할 수 있다.
US 2012/329289 A1에 서술된 마스크 처리 보정(MPC: mask processing correction) 또는 근접 효과 보정(PEC: proximity effect correction)과 같은 패턴 크기 및 임계 치수의 선형성에 관한 최신 개념과 비교할 때, 본 발명은 또한 상기 패턴을 노광하는데 사용되는 래스터 그리드에 대한 패턴 위치(또는 보다 일반적으로 래스터 그리드와 패턴 위치 사이의 상호작용)가 이용된다는 통찰을 포함한다.
에지 시프트의 비선형 거동에 관한 전술한 문제점을 고려하여, 언급된 비선형성의 영향을 감소시키고 피쳐 경계의 정확한 에지 배치를 보장하는 방법을 제공하는 것이 본 발명의 목적이다.
이 목적은 청구항 제 1 항에 기재된 방법에 의해 충족된다. 추가의 임의의 유리한 특징이 종속항에 주어진다. 더 상세하게는, 본 발명은 패턴 요소 경계의 에지 위치에 대한 위치 보정을 구현하는 것을 제안한다. 이러한 에지 위치에 대한 위치 보정은 벡터 패턴을 제공하는 동안(단계 i) 또는 래스터화 동안(단계 ii) 수행되며, 다음의 하위 단계를 포함한다:
- 상기 에지 위치를 나타내는 위치 값을 결정하는 단계,
- 사전 정의된 비선형 함수
Figure pat00001
를 사용하여 위치 값에 기초하여 보정된 위치 값을 결정하는 단계, 및
- 상기 보정된 위치 값에 따라 패턴 요소 경계를 효과적으로 시프트 시키기 위해 패턴을 수정하는 단계.
여기서, 이 사전 정의된 비선형 함수
Figure pat00002
는 명목 위치 값(상기 명목 위치 값은 패턴의 노광 동안 입력 값으로서 사용됨)과, 상기 명목 위치 값으로 노광될 때 생성된 패턴 요소 경계의 (결과적) 위치 간의 관계
Figure pat00003
의 역함수를 나타낸다. 이 함수를 역전함으로써, 명목 위치 값은 원하는 보정된 위치 값으로 변환된다. 또한, 이 비선형 함수는 사용된 패턴 요소 또는 노광 방법의 다른 피쳐를 나타내는 양과 관련된 적어도 하나의 파라미터를 더 갖는다. 구체적으로, 한 파라미터는 관련된 패턴 요소의 할당 도즈이다.
이 솔루션은 비선형성의 원하지 않는 영향을 줄이거나 심지어 완전히 없애는 효율적인 방법이고, 그러므로 원하는 임계 치수(CD)에 따라 피쳐의 실제 이미징을 복구할 수 있다.
본 발명에 따른 방법의 하나의 적절한 구현예에서, 위치 보정은 래스터화 단계(ⅱ)에서(예를 들어, 단계(ii)의 끝에서) 수행된다. 이 경우, 여기서 언급된 하위 단계들을 아래의 하위 단계로 실현함으로써 픽셀의 강도 값이 계산된다는 점에서, 적어도 픽셀의 형상이 패턴 요소의 경계에 의해 교차되는 픽셀에서 위치 보정이 수행된다.
- 패턴 요소의 경계가 상기 픽셀 형상을 가로지르는 패턴 요소에 의해 커버되는 픽셀 형상 부분의 영역을 제1 영역으로 결정하고, 위치 값(d)을 제1 영역 대 전체 픽셀 형상의 영역의 비율로서 결정하는 단계,
- 사전 정의된 비선형 함수를 사용하여 위치 값으로부터 강도 값을 결정하는 단계, 및
- 각각의 픽셀에 강도 값을 할당하는 단계.
이 경우, 비선형 함수의 대안적인 설명은 강도 도즈와 동등한 노광 도즈로 그러한 픽셀을 노광함으로써 생성된 픽셀의 강도 값과, 그러한 강도 값에 의해 생성된 패턴 요소 경계의 픽셀 형상 영역의 기하학적 영역 부분 사이의 관계의 역을 설명하는 것일 수 있다.
대안으로, 위치 보정은 벡터 패턴을 제공하는 단계(i) 동안 수행될 수 있다. 이 경우, 언급한 하위 단계들을 아래의 하위 단계들로서 실현함으로써, 적어도 하나의 패턴 요소에 대해 에지 위치 보정이 행해진다는 점에서 위치 보정이 수행된다.
- 래스터 그리드에 대한 경계의 경계 세그먼트 위치를 결정하는 단계,
- 사전 정의된 비선형 함수에 기초하여 각 경계 세그먼트에 대한 보정된 위치를 명목 에지 위치로서 결정하는 단계, 및
상기 재형상화된 패턴 요소를 형성하고, 상기 재형상화된 패턴 요소는 상기 각 세그먼트에 수직한 방향으로 상기 보정된 위치에 따라 위치가 오프셋되는 경계 세그먼트를 가지며, 패턴 요소를 재형상화된 패턴 요소로 대체하는 단계.
이 경우, 비선형 함수의 다른 설명은 명목 에지 위치와, 그러한 명목 에지 위치를 사용하여 기록될 때 래스터 그리드에 대한 상대적 위치 사이의 관계의 역을 설명한다는 점에 있을 수 있다.
위에서 언급했듯이, 비선형 함수는 적어도 하나의 파라미터에 영향을 받으며, 그 중 하나는 흔히 도즈 팩터라 표현되는, 관련된 패턴 요소의 할당 도즈이다(즉, 도즈-투-클리어 레벨에 대한 할당된 도즈의 비). 다른 파라미터는 아래와 같이, 노광된 각 패턴 구성요소 및/또는 채택된 노광 방법의 세부 사항과 관련된다.
- 타겟 상에 이미징된 픽셀의 빔렛 블러(beamlet blur),
- 구체적으로, 래스터 그리드의 방향 또는 스캐닝 노광의 스캐닝 방향과 관련하여, 각각의 패턴 요소의 경계 또는 에지의 방향,
- 도즈 배경,
- 잘 알려진 근접 효과와 관련하여, 근접 효과 팩터(proximity-effect factor)
- 래스터 그리드에 대한 픽셀의 밀도(인터로킹 구조를 통해 규정됨, 도 8a-8c 및 오버 샘플링 팩터(o)에 의해 기술된 오버 샘플링 참조)
본 발명의 추가 개발에서, 비선형 함수 및/또는 그 역함수를 나타내는 효율적인 방법은 하나 이상의 테이블에 의한 것이다. 따라서, 함수는 적어도 하나의 세트의 지지 포인트를 통해 지정될 수 있으며, 각 지지 포인트는 비선형 함수의 파라미터의 특정 값에서 명목 위치 값(강도 값/명목 에지 위치)의 수치 값과 관련 위치 값(기하학적 영역 부분/에지 위치)의 수치 값을 지정한다. 이 수치 값은 예를 들어 컴퓨터 시뮬레이션 및/또는 실험 측정을 통해 사전에 도출되었다. 지지 점들 사이에 있는 비선형 함수의 값은 당업계에 잘 알려진 바와 같이 적절한 보간법(예컨대, 입력 값의 두 개의 다음 이웃 점 사이의 선형 보간, 또는 더 단순한 다음 이웃 점의 찾아보기(0 차까지의 보간), 입력 값의 두 개의 다음 이웃 점 사이의 선형 보간, 또는 더 단순한 다음 이웃 점의 룩업(0 차까지의 보간)에 따라 보간된다.
본 발명의 방법은 복수의 빔렛들로 구성된 구조화된 빔이 타겟 상으로 지향되고 노광 영역 위의 경로를 따라 이동되는 타입의 스캐닝 스트라이프 노광에 특히 적합하며, 이 때 후속하는 노광 단계들 사이에서, 구조화된 빔은 타겟상의 구조화된 빔의 폭보다 작은 노광 길이에 대응하는 연속적인 거리만큼 타겟상에서 이동된다.
본 발명의 유리한 실시예에서, 이렇게 생성된 패턴은 중첩하는 노광 스폿을 갖는 기록 프로세스에서 사용될 수 있으며, 스캐닝 스트라이프 노광의 기록 프로세스는 타겟 상에 서로 중첩하는 애퍼처(aperture) 이미지를 생성하고; 다시 말해서, 애퍼처 이미지들은 타겟 상에 생성된 이웃한 애퍼처 이미지들의 픽셀 위치들 사이의 거리(e)의 배수(즉, 1보다 큰 배율(o)) 만큼의 명목 폭을 갖는다. 이 경우, 바람직하게는, 아래와 같은 추가 단계가 존재한다.
(iii) 단계(ii)에서 얻어진 패턴으로부터, 애퍼처 이미지의 노광을 통해 상기 기록 프로세스에 의해 상기 패턴을 노광시키는데 적합한 노광 패턴을 생성하는 단계.
또한, 본 발명은 대전 입자 멀티 빔 기록 장치에 의해 타겟 상에 패턴을 노광하는 방법을 포함하며, 상기 장치는 언급된 유형의 장치이고, 특히, 스캐닝 스트라이프 노광에 의해 상기 패턴을 생성하기 위해 타겟상의 노광 영역 내의 복수의 픽셀을 노광하도록 구성되며, 상기 방법은:
패턴을 상기 장치에 연결된 프로세싱 시스템에 제공하는 단계로서, 이 패턴은 노광 영역상의 래스터 그리드에 따라 형성된 복수의 픽셀로 구성된 픽셀 그래픽으로서 실현되고, 상기 픽셀 각각은 각각의 픽셀 위치에 위치한 기하학적 픽셀 형상에 의해 표현되고, 각각의 픽셀에 대해 노광될 노광 도즈의 값에 대응하는 강도 값이 할당되어 있는 것인, 패턴 제공 단계;
상기 프로세싱 시스템에서, 본 명세서에 설명된 위치 보정을 상기 패턴에 적용함으로써 보정된 패턴을 계산하는 단계; 및
상기 장치에서 보정된 패턴에 따라 노광 프로세스를 수행하는 단계를 포함한다.
도 1은 종래 기술의 리소그래피 시스템의 종단면도를 도시한다.
도 2는 종래 기술의 패턴 정의 시스템의 종단면도를 도시한다.
도 3은 스트라이프를 이용한 타겟상의 기본적인 기록 방법을 도시한다.
도 4는 타겟 상에 이미징된 애퍼처들의 예시적인 배치를 도시한다.
도 5a 및 5b는 노광될 예시적인 패턴의 픽셀 맵의 예를 도시한다.
도 6a는 M = 2, N = 2 인 애퍼처들의 배열을 도시한다.
도 6b는 "이중 그리드(double grid)" 배열의 픽셀의 오버샘플링의 예를 도시한다.
도 7a는 하나의 스트라이프의 노광을 도시한다.
도 7b는 그레이 레벨의 노광을 도시한다.
도 8a 내지 도 8c는 그리드 배치의 3 가지 상이한 경우(즉, 도 8a: "이중 그리드", 도 8b: "4중 그리드" 및 도 8c: "이중 중심 그리드")를 도시한다.
도 9는 하나의 노광 스폿이 최대 도즈로 노광될 때 생성되는 강도 프로파일을 도시한다.
도 10은 도 1에 도시된 타입의 MBW의 강도 프로파일 및 30 nm 라인에 대한 도즈 레벨 프로파일을 도시한다.
도 11은 도 10의 30 nm 라인 도즈 레벨 프로파일에 대한 강도 프로파일을 도시한다.
도 12는 패턴에 대한 데이터 준비의 "데이터 경로"를 나타내는 흐름도를 도시한다.
도 13은 MBW를 통한 30nm 라인의 생성을 도시한다.
도 13a는 강도 프로파일이 "0.5" 강도 레벨을 교차하는 좌측 플랭크에서의 도 13의 상세도를 도시한다.
도 14a는 결정된 폭의 라인의 노광으로부터 생성된 강도 프로파일을 도시한다.
도 14b 및 도 14c는 노광 스폿에 상응하는 도즈 레벨의 적절한 변경을 통해도 14a의 라인의 한 에지(도 14b) 또는 양 에지(도 14c)의 위치의 미세 조정을 도시한다.
도 15a 내지 도 15c는 0 내지 100 %의 그레이 레벨 스케일을 통해 우측 에지 픽셀의 도즈를 변화시킨 결과로서 에지 시프트를 도시한다. 상이한 노광 파라미터와 관련된 3 가지 상이한 경우가 도시되어 있다. 즉, 도 15a는 5nm의 1 시그마 빔 블러를 갖는 1의 도즈 팩터, 도 15b는 5㎚의 블러를 갖는 2의 도즈 팩터; 및 도 15c는 10nm의 블러를 갖는 2의 도즈 팩터의 경우이다.
도 16a는 지시된 바와 같은 다양한 도즈 팩터 레벨에서, 라인 에지에서의 픽셀의 픽셀 도즈(d)의 함수인 라인 폭(dCD)의 변화를 도시한다.
도 16b는 도 16a의 데이터에 대한 선형화 에러,
Figure pat00004
을 도시한다.
도 17a는 도즈 인자(D)의 함수로서 2 개의 물리적 그리드 포인트 사이의 중간에 에지가 있는 피쳐 및 그리드 피쳐에 대한 임계 치수의 변화(dCD)를 도시한다.
도 17b는 도 17a의 두 라인 사이의 차이(d(dCD))를 도시한다.
도 18은 각각 도 17a의 두 라인에 대응하는 두 개의 피쳐의 위치를 도시한다.
도 19a 및 도 19b는 픽셀 그리드 영역에서, y 축에 평행하게 정렬된 라인 피쳐를 도시하고, 도 19a는 픽셀 경계와 일치하는 라인 피쳐를 도시하고, 도 19b는 절반의 패턴 픽셀만큼 우측으로 시프트된 라인 피쳐를 도시한다.
도 20은 본 발명의 제 1 실시예에 따른 픽셀 도메인에서 동작하는 비선형성 보정 절차를 도시한다.
도 21은 제 2 실시예에 따른 벡터 도메인에서 동작하는 비선형성 보정을 도시한다.
도 22는 도 20의 피쳐 구조에 대한 벡터 영역에서의 비선형성 보정을 도시한다.
도 23은 비선형 함수의 표 형태의 표현을 도시한다.
도 24a 및 도 24b는 구조 에지에 위치한 픽셀에 대한 패턴 픽셀 영역의 영향을 받는 부분과 구조 에지 위치 사이의 관계를 도시는데, 도 24a는 구조 에지의 기하학적 표현(rendition)을 도시하고, 도 24b는 교차의 영역의 함수 관계를 시각화한다.
도 25a 내지 도 25d는 에지 위치 조절 시 에지의 기울기의 비선형 효과를 도시하는데, 도 25a는 지시된 에지 방향의 각도의 다양한 값에서, 픽셀 그리드에 대한 에지 위치(dx)의 함수인 에지 위치(dCD)(평균 노광 에지 시프트)의 변화를 도시하고, 도 25b는 대응하는 평균 선형화 에러,
Figure pat00005
를 도시하며, 도 25c는 라인을 따른 변위의 1 시그마 변화(노광된 에지 시프트의 표준 편차, 이 변위는 라인 방향에 수직으로 측정됨)를 도시하며, 도 25d는 선형화 에러,
Figure pat00006
의 상응하는 1 시그마 변동을 도시한다.
도 26a 및 도 26b는 에지를, 예컨대, 균일한 간격이거나(도 26a), 또는 위치 오차의 변동이 더 큰 경우 감소된 폭을 갖는(도 26b) 복수의 에지 세그먼트로 분할함으로써 경사진 에지에 대한 위치 에러를 보정하기 위한 예시적인 접근법을 도시한다.
아래에 제공된 본 발명의 예시적인 실시예에 대한 상세한 설명은 본 발명의 기본 개념 및 다른 유리한 전개를 개시한다. 당업자는 본 발명의 특정 응용에 적합하다고 간주되는 것으로 여기서 설명된 실시예들 중 몇몇 또는 모두를 자유롭게 조합할 수 있음이 명백할 것이다. 본 명세서 전체에 걸쳐 "유리한", "예시적인" 또는 "바람직한"과 같은 용어는 본 발명 또는 그것의 실시예에 특히 적합한 요소 또는 치수를 나타내며, 명시적으로 요구되는 경우를 제외하고는 당업자가 적합한 것으로 여겨지는 곳에서 수정될 수 있다. 본 발명은 이하에서 논의되는 예시적인 실시예들에 제한되지 않으며, 이는 예시적인 목적을 위해 제공되었고 본 발명의 적절한 구현예를 제시할 뿐임을 이해해야 한다.
리소그래피 장치
본 발명의 바람직한 실시예를 사용하기에 적합한 리소그래피 장치의 개요가도 1에 도시되어 있다. 이하, 본 발명을 개시하는 데 필요한 세부 정보만 제공되고, 명료함을 위해, 구성 요소들은 도 1에서 크기로 나타내지 않는다. 리소그래피 장치(1)의 주요 구성 요소는, 이 예에서 도 1에서 수직 하방으로 진행하는 리소그래피 빔(1b, pb)의 방향에 대응하여, 조명 시스템(3), 패턴 정의(PD) 시스템(4), 투영 시스템(5) 및 기판(16)을 갖는 타겟 스테이션(6)을 포함한다. 전체 장치(1)는 높은 진공도로 유지되는 진공 하우징(2) 내에 수용되어 장치의 광축(cw)을 따라 대전 입자들의 빔(1b, pb)의 방해 받지 않는 전파를 보장한다. 대전 입자 광학 시스템(3, 5)은 정전 및/또는 자기 렌즈를 사용하여 실현된다.
조명 시스템(3)은 예를 들어 전자총(7), 추출 시스템(8) 및 집광 렌즈 시스템(9)을 포함한다. 그러나, 전자 대신에, 일반적으로 다른 전기적으로 대전된 입자가 또한 사용될 수 있음을 알아야 한다. 전자 이외에, 이들은 예를 들어 수소 이온 또는 더 무거운 이온, 대전된 원자 클러스터 또는 대전된 분자 일 수 있다.
추출 시스템(8)은 통상적으로 수 keV, 예컨대, 5 keV의 정해진 에너지로 입자를 가속시킨다. 콘덴서 렌즈 시스템(9)에 의해, 소스(7)로부터 방출된 입자들은 리소그래피 빔(1b)으로서 역할하는 광범위하고 실질적으로 텔레센트릭(telecentric)한 입자 빔(50)으로 형성된다. 그 다음, 리소그래피 빔(1b)은 복수의 개구(애퍼처라고도 함)를 갖는 다수의 플레이트를 포함하는 PD 시스템(4)을 조사한다. PD 시스템(4)은 리소그래피 빔(1b)의 경로 내의 특정 위치에 유지되며, 따라서 다수의 애퍼처 및/또는 개구를 조사하고 다수의 빔렛으로 분할된다.
일부의 애퍼처/개구는 그것을 통과하는 빔의 부분, 즉, 빔렛(51)이 타겟에 도달할 수 있게 한다는 의미에서 입사 빔에 대해 투명하도록 "스위치 온" 또는 "개방"되고, 다른 애퍼처/개구는 "스위치 오프" 또는 "폐쇄"되어, 즉, 상응하는 빔렛(52)이 타깃에 도달할 수 없으므로, 사실상 이들 애퍼처/개구는 빔에 대해 투명하지 않다(불투명하다). 따라서, 리소그래피 빔(1b)은 PD 시스템(4)으로부터 나오는 패턴화된 빔(pb)으로 구조화된다. 스위치 온 애퍼처의 패턴(리소그래피 빔(1b)에 대해 투명한 PD 시스템(4)의 유일한 부분)은 대전 입자 감응성 레지스트(17)로 덮인 기판(16) 상에서 노광될 패턴에 따라 선택된다. 애퍼처/개구의 "스위치 온/오프"는 일반적으로 PD 시스템(4)의 판들 중 하나에 제공된 적절한 유형의 편향 수단에 의해 실현된다는 것을 이해해야 한다. "스위치 오프된" 빔렛(52)들은 타겟에 도달할 수 없지만 단지 리소그래피 장치의 임의의 장소, 예를 들어, 흡수판(11)에서, 리소그래피 장치에 단순히 흡수되도록 그들의 경로로부터(비록 매우 작은 각도이더라도 충분한 만큼) 편향된다.
그 다음, 패턴화된 빔(pb)에 의해 표현되는 패턴은 빔이 "스위치 온된" 애퍼처 및/또는 개구의 이미지를 형성하는 기판(16) 상에 전자-자기-광 투영 시스템(5)에 의해 투영된다. 투영 시스템(5)은 2 개의 교차점(c1 및 c2)을 갖는, 예를 들어, 200:1의 축소를 구현한다. 예를 들어, 기판(16)은 입자 감응성 레지스트 층(17)으로 덮인 6" 마스크 블랭크 또는 실리콘 웨이퍼이다. 기판은 척(15)에 의해 유지되고 타겟 스테이션(6)의 기판 스테이지(14)에 의해 위치 조절된다.
노광될 패턴에 관한 정보는 전자 패턴 정보 처리 시스템(18)에 의해 실현되는 데이터 경로에 의해 PD 시스템(4)에 공급된다. 데이터 경로에 대한 자세한 내용은 아래의 "데이터 경로" 섹션에 제공된다.
도 1에 도시된 실시예에서, 투영 시스템(5)은 바람직하게는 정전 및/또는 자기 렌즈들을 포함하는 다수의 연속적인 전기-자기-광 투영기 스테이지(10a, 10b, 10c) 및 가능하게는 다른 편향 수단들로 구성된다. 이들 렌즈 및 수단은 그 적용이 종래 기술에서 공지되어 있으므로, 심볼 형태로만 도시된다. 투영 시스템(5)은 교차점(c1, c2)을 통해 축소 이미징을 이용한다. 양 스테이지에 대한 축소 팩터는 수백 개의 결과의 전체적인 축소가 가능하도록 선택된다, 예컨대, 200:1 감소. 이러한 수준의 축소는 특히 PD 장치의 소형화 문제를 완화하기 위해 리소그래피 셋업에 적합하다.
전체 투영 시스템(5)에서, 색수차 및 기하학적 수차에 대해 렌즈 및/또는 편향 수단을 광범위하게 보상하기 위한 대비가 이루어진다. 이미지를 전체적으로 측방향으로, 즉, 광축(cw)에 수직한 방향을 따라 이동시키는 수단으로서, 콘덴서(3) 및 투영 시스템(5)에 편향 수단(12a, 12b 및 12c)이 제공된다. 편향 수단은, 예를 들어, 편향 수단(12b)을 갖는 도 1에 도시된 바와 같이, 소스 추출 시스템(8) 또는 크로스오버 중 하나 부근에 위치하거나, 또는 도 1의 스테이지 편향 수단(12c)의 경우에서와 같이, 각 프로젝터의 최종 렌즈(10c)의 후방에 배치될 수 있는 멀티폴 전극 시스템으로서 구현될 수 있다. 이 장치에서, 멀티폴 전극 배열은 전하-입자 광학 정렬 시스템과 관련하여 스테이지 이동과 관련하여 이미지를 시프팅하기 위한 그리고 이미지 시스템의 보정을 위한 편향 수단으로서 사용된다. 이러한 편향 수단(10a, 10b, 10c)은 정지 판(11)과 관련하여 PD 시스템(4)의 편향 어레이 수단과 혼동되어서는 안되며, 후자는 패턴화된 빔(pb)의 선택된 빔렛을 스위치 "온" 또는 "오프"시키기 위해 사용되는 반면, 전자는 입자 빔 전체만을 처리한다. 축 방향 자기장을 제공하는 솔레노이드(13)를 사용하여 프로그래밍 가능한 빔의 앙상블을 회전시킬 수도 있다.
도 2의 세부 단면도는 AAP(Aperture Array Plate)(20), DAP(Deflection Array Plate)(30) 및 FAP(Field-boundary Array Plate)(40)를 포함하는 연속적 구성으로 적층된 3 개의 플레이트를 구비한 PD 시스템(4)의 하나의 적절한 실시예를 도시한다. '플레이트'라는 용어는 각 장치의 전반적인 형상을 말하지만, 그러한 구성일 일반적으로 바람직한 구현 방법이라 하더라도, 플레이트가 반드시 단일 플레이트 요소로 구현된다는 것을 나타내지는 않으며, 여전히, 특정 실시예에서, 애퍼처 어레이 플레이트와 같은 '플레이트'는 다수의 서브 플레이트로 구성될 수 있다. 플레이트는 바람직하게는 Z 방향(도 2의 수직축)을 따른 상호 거리로 서로 평행하게 배열된다.
AAP(20)의 편평한 상부 표면은 대전 입자 집광 광학/조명 시스템(3)에 대해 정의된 잠재적 인터페이스를 형성한다. AAP는 예를 들어, 얇은 중앙 부분(22)을 갖는 실리콘 웨이퍼(대략 1mm 두께)(21)의 정사각형 또는 직사각형 조각으로부터 만들어질 수 있다. 이 플레이트는(미국 특허 제 6,858,118 호에서와 같이) 수소 또는 헬륨 이온을 사용할 때 특히 유리한 전기 전도성 보호 층(23)에 의해 덮일 수 있다. 전자 또는 무거운 이온(예를 들어, 아르곤 또는 크세논)을 사용할 때, 층(23)은 또한 각각 21 및 22의 표면 섹션에 의해 제공되는 실리콘일 수 있어, 층(23)과 벌크 부분(21, 22) 사이에 계면이 없다.
AAP(20)에는 얇은 부분(22)를 가로 지르는 개구에 의해 형성된 복수의 애퍼처(24)가 제공된다. 애퍼처(24)는 얇은 부분(22)에 제공된 애퍼처 영역 내에 사전 결정된 배열로 배열되어, 애퍼처 어레이(26)를 형성한다. 애퍼처 어레이(26) 내의 애퍼처들의 배열은, 예를 들어 지그재그 배열 또는 정사각형 또는 정사각형 배열 일 수 있다(도 4 참조). 도시된 실시예에서, 애퍼처(24)는 층(23)으로 제조된 직선형 프로파일 및 AAP(20)의 벌크 층에서 "역행(retrograde)" 프로파일을 갖도록 구현되어, 개구의 하향 출구(25)가 애퍼처(24)의 메인 부분보다 넓다. 직선 및 역행 프로파일은 모두 반응 이온 에칭과 같은 최신 구조화 기술을 통해 제조될 수 있다. 역행 프로파일은 개구부를 통과하는 빔의 미러 대전 효과를 크게 감소시킨다.
DAP(30)는 AAP(20)의 애퍼처(24)의 위치에 대응하는 복수의 개구(33)가 제공된 플레이트이고, 그들 각각의 경로로부터 선택적으로 개구(33)를 통과하는 개개의 빔렛을 편향 시키도록 구성된 전극(35, 38)이 제공되어 있다. DAP(30)는, 예를 들어, ASIC 회로를 갖는 CMOS 웨이퍼를 후 처리함으로써 제조될 수 있다. DAP(30)는, 예를 들어, 정사각형 또는 직사각형 형상을 갖는 CMOS 웨이퍼 조각으로 제조되고, 얇은 중앙 부분(32)을 유지하는 프레임을 형성하는 두꺼운 부분(31)(그러나 얇은 부분(22)의 두께와 비교하여 적절하게 두꺼울 수 있다)을 포함한다. 중앙 부분(32)의 애퍼처 개구(33)는(24)와 비교하여(예를 들어 각 측면에서 약 2㎛만큼) 넓다. CMOS 전자 장치(34)는 전극(35, 38)을 제어하기 위해 제공되며, MEMS 기술에 의해 제공된다. 각각의 개구(33)에 인접하여, "접지" 전극(35) 및 편향 전극(38)이 제공된다. 접지 전극(35)은 공통 접지 전위에 전기적으로 상호 연결되고 접속되며, 대전을 방지하는 역행 부(36) 및 CMOS 회로로의 원하지 않는 쇼트컷을 방지하기 위한 절연부(37)를 포함한다. 접지 전극(35)은 또한 실리콘 벌크 부분(31 및 32)과 동일한 전위에 있는 CMOS 회로(34)의 부분에 연결될 수 있다.
편향 전극(38)은 선택적으로 정전 전위를 인가하도록 구성된다; 그러한 정전 전위가 전극(38)에 인가될 때, 편향 전극은 대응하는 빔렛 상에 편향을 일으켜 그것을 명목 경로로부터 벗어나게 만드는 전기장을 발생시킨다. 전극(38)은 대전을 피하기 위해 역행 섹션(39)을 가질 수 있다. 각각의 전극(38)은 그 하부에서 CMOS 회로(34) 내의 각각의 접촉 지점에 연결된다.
접지 전극(35)의 높이는 빔렛 간의 누화 효과를 억제하기 위해 편향 전극(38)의 높이보다 높다.
도 2에 도시된 DAP(30)를 갖는 PD 시스템(4)의 배열은 여러 가능성 중 하나 일 뿐이다. 변형 예(미도시)에서, DAP의 접지 전극(35) 및 편향 전극(38)은 하류가 아닌 상류로(상방을 향해) 배향될 수 있다. 예를 들어, 내장된 접지 및 편향 전극을 갖는 다른 DAP 구성들이 당업자에 의해 고안될 수 있다(US 8,198,601 B2와 같은 본 출원인 명의의 다른 특허를 참조).
FAP로 역할하는 제 3 플레이트(40)는 하류 축소 대전 입자 투영 광학부재(5)의 제 1 렌즈 부분과 대향하는 편평한 표면을 가지며, 따라서 투영 광학부재의 제 1 렌즈(10a)에 대하여 정의된 전위 계면을 제공한다. FAP(40)의 두꺼운 부분(41)은 얇은 중앙 섹션(42)을 갖는 실리콘 웨이퍼의 부분으로부터 만들어진 정사각형 또는 직사각형 프레임이다. FAP(40)에는 AAP(20) 및 DAP(30)의 개구(24, 33)에 대응하지만 그것들에 비해 더 넓은 복수의 개구(43)가 제공된다.
PD 시스템(4), 특히 그것의 제 1 플레이트인 AAP(20)는 넓은 대전 입자 빔(50)에 의해 조명되며(여기서, "넓은" 빔은 그 빔이 AAP에 형성된 애퍼처 어레이의 전체 영역을 커버하기에 충분히 넓은 것을 의미), 이 빔은 애퍼처(24)를 통해 투과될 때 수 천 개의 마이크로미터 크기의 빔렛(51)으로 분할된다. 빔렛(51)은 방해 받지 않고 DAP 및 FAP를 가로 지른다.
이미 언급된 바와 같이, 편향 전극(38)이 CMOS 전자 장치를 통해 전력을 공급받을 때마다, 편향 전극과 대응하는 접지 전극 사이에 전기장이 발생하고, 그에 따라 통과하는 각각의 빔렛(52)의 작지만 충분한 편향이 유도된다(도 2). 편향된 빔렛은 각각 개구(33 및 43)가 충분히 넓게 만들어지므로 방해 받지 않고 DAP 및 FAP를 가로지를 수 있다. 그러나, 편향된 빔렛(52)은 서브-칼럼의 정지 판(11)에서 여과된다(도 1). 따라서, DAP에 의해 영향을 받지 않는 빔렛들만이 기판에 도달할 것이다.
축소 대전-입자 광학부재(5)의 감소 팩터는 빔렛들의 치수 및 PD 장치(4)에서의 그들의 상호 거리 및 타겟에서의 구조체의 원하는 치수를 고려하여 적합하게 선택된다. 이것은 PD 시스템에서 마이크로미터 크기의 빔렛을 허용하지만 나노 미터 크기의 빔렛은 기판 상에 투영된다.
AAP에 의해 형성된(영향을 받지 않는) 빔렛(51)의 앙상블은 투영 대전-입자 광학부재의 미리 정해진 감소 팩터(R)로 기판에 투영된다. 따라서, 기판에서 각각 폭 BX = AX/R 및 BY = AY/R을 갖는 "빔 어레이 필드"(BAF)가 투영되고, 여기서, AX 및 AY는 각각 X 및 Y 방향을 따른 애퍼처 어레이 필드의 크기를 나타낸다. 기판(즉, 애퍼처 이미지)에서의 빔렛의 명목 폭은 각각 bX = aX/R 및 bY = aY/R로 주어지며, 여기서, aX 및 aY는 각각 DAP(30)의 레벨에서 X 및 Y 방향을 따라 측정된 빔렛(51)의 크기를 나타낸다. 따라서, 타겟상에 형성된 단일의 애퍼처 이미지의 크기는 bX × bY이다.
도 2에 도시된 개개의 빔렛(51, 52)은 2 차원 X-Y 어레이로 배열된 매우 많은 수의 빔렛, 전형적으로 수천 개의 빔렛을 나타낸다는 것을 주목할만 하다. 예를 들어, 본 출원인은 수천 개(예를 들어, 262,144)의 프로그래밍 가능한 빔렛을 갖는 이온 및 전자 멀티 빔 칼럼에 대해 R = 200의 감소 팩터를 갖는 실현된 멀티 빔 대전 입자 광학부재를 가진다. 본 출원인은 기판에서 약 82μm × 82μm의 BAF의 칼럼을 실현하였다. 이들 예는 예시의 목적으로 언급되었지만, 제한적인 예로서 해석되어서는 안된다.
패턴 기록
도 3을 참조하면, PD 시스템(4)에 의해 형성된 패턴 이미지(pm)가 타겟(16) 상에 생성된다. 대전 입자 감응성 레지스트 층(17)으로 덮인 타겟 표면은 노광될 하나 이상의 영역(r1)을 포함할 것이다. 일반적으로, 타겟 상에 노광된 패턴 이미지(pm)는 패턴화되는 영역(r1)의 폭보다 일반적으로 작은 유한 크기(y0)를 갖는다. 따라서, 타겟이 입사 빔 아래로 이동할 때, 타깃상의 빔의 위치를 영구적으로 변경시키기 위한 스캐닝 스트라이프 노광 방법이 이용되고, 이 빔은 타깃 표면상에서 효과적으로 스캐닝된다. 본 발명의 목적 상, 타겟상의 패턴 이미지(pm)의 상대적인 이동 만이 관련된다는 것이 강조된다. 상대 이동에 의해, 패턴 이미지(pm)는 폭(y0)의 하나의 시퀀스의 스트라이프(s1, s2, s3, ... sn)(노광 스트립)를 형성하도록 영역(r1) 위로 이동된다. 전체 스트라이프 세트는 기판 표면의 전체 영역을 커버한다. 스캐닝 방향(sd)은 균일한 배향을 가질 수 있거나, 스트라이프마다 교대의 이동 방향을 가질 수 있다.
도 5a는 10 × 18 = 180 픽셀의 크기를 갖는 촬상 패턴(ps)의 간단한 예이고, 여기서 노광 영역의 일부 픽셀(p100)은 100 %의 그레이 레벨(401)에 노광되고, 다른 픽셀(p50)은 전체 그레이 레벨의 단지 50 %에 노광된다(402). 나머지 픽셀들은 0 % 도즈(403)에 노광된다(전혀 노광되지 않음). 도 5b는 50 % 레벨이 실현되는 방법을 도시하며, 각 픽셀은 여러번 노광되며, 0 및 100 % 사이의 그레이 레벨을 갖는 픽셀에 대해, 그레이 레벨은 활성화된 픽셀을 갖는 대응하는 노광 횟수를 선택함으로써 실현되고, 그레이 레벨은 총 노광 횟수에 대한 활성화된 노광의 비이다. 이 예에서 4회 중 2회를 선택함으로써 50 % 레벨이 실현된다. 물론, 본 발명의 실제 적용에서, 표준 이미지의 픽셀 수는 훨씬 더 많을 것이다. 그러나, 도 5a 및 도 5b에서, 픽셀 수는 더 나은 명료함을 위해 단지 180개이다. 또한 일반적으로 0 %에서 100 % 사이의 범위에서 훨씬 많은 그레이 레벨이 사용될 것이다.
따라서, 패턴 이미지(pm)(도 3)는 노광될 원하는 패턴에 따른 도즈 값으로 노광되는 복수의 패턴 픽셀(px)로 구성된다. 그러나, 유한 개의 애퍼처 만이 PD 시스템의 애퍼처 필드에 존재하기 때문에, 한 서브셋의 픽셀(px)만이 동시에 노광될 수 있음을 이해해야 한다. 스위치 온 애퍼처의 패턴은 기판 상에 노광되는 패턴에 따라 선택된다. 따라서, 실제 패턴에서, 모든 픽셀이 전체 도즈에서 노광되는 것은 아니지만, 일부 픽셀은 실제 패턴에 따라 "스위치 오프"될 것이고, 임의의 픽셀에 대하여(또는 동등하게 그 픽셀을 커버하는 모든 빔렛에 대하여), 노광 도즈는 표적에 노광되거나 구조화되는 패턴에 따라, 그 픽셀이 "스위치 온(on on)" 또는 "스위치 오프(switch off)"되는지의 여부에 따라 픽셀 노광 사이클마다 변할 수 있다.
기판(16)이 연속적으로 이동하는 동안, 타겟상의 패턴 픽셀(px)에 대응하는 동일한 이미지 요소는 일련의 애퍼처들의 이미지에 의해 여러 번 커버될 수 있다. 동시에, PD 시스템의 패턴은 PD 시스템의 애퍼처를 통해 단계적으로 이동된다. 따라서, 타겟상의 몇몇 위치에서 하나의 픽셀을 살펴보자면, 모든 애퍼처가 그 픽셀을 커버할 때 스위치 온된다면, 이것은 최대 노광 도즈 레벨(100 %에 상응하는 "백색" 음영)을 야기할 것이다. "백색" 음영 이외에도, 최소('흑색')와 최대('백색') 음영 사이를 보간하는 낮은 도즈 레벨('회색 음영'이라고도 함)에 따라 타겟에서 픽셀을 노광하는 것이 가능하다. 회색 음영은, 예를 들어, 하나의 픽셀을 기록하는 것과 관련될 수 있는 애퍼처의 서브셋만을 스위칭함으로써 구현될 수 있다(예를 들어, 16 개 애퍼처 중 4 개는 25 %의 회색 레벨을 제공할 것이다). 또 다른 접근법은 관련된 애퍼처에 대한 블랭크 없는 노광의 지속기간을 줄이는 것이다. 따라서, 하나의 애퍼처 이미지의 노광 지속기간은 그레이 스케일 코드, 예컨대 정수에 의해 제어된다. 노광된 애퍼처 이미지는 0 및 최대 노광 지속시간 및 도즈 레벨에 대응하는, 제공된 수의 회색 음영 중 하나의 표명(manifestation)이다. 그레이 스케일은 일반적으로, 예를 들면, 0, 1 /(ny-1) ..., i /(ny-1), ..., 1과 같은 그레이 값들의 세트를 정의하며, ny는 그레이 값의 수이고, i는 정수("회색 인덱스", 0 ≤ i ≤ ny)이다. 그러나 일반적으로 그레이 값은 등거리일 필요는 없고, 0과 1 사이의 비감소 시퀀스를 형성한다.
도 4는 기본 레이아웃에 따라 PD 장치의 애퍼처 필드에서의 애퍼처 의 배열을 도시하고 또한 이하에서 사용되는 몇몇 수량 및 약어를 보여준다. 어두운 음영으로 도시된, 타겟 상으로 투영된 애퍼처 이미지(b1)의 배열이 도시되어 있다. 메인 축(X 및 Y)은 각각 타겟 이동의 진행 방향(주사 방향(sd)) 및 수직 방향에 대응한다. 각각의 애퍼처 이미지는 방향(X 및 Y)을 따라 폭(bX 및 bY)를 각각 갖는다. 애퍼처는 각각 MX 및 MY 개의 애퍼처를 갖는 라인 및 로우를 따라 배열되고, 하나의 라인 및 로우에서 이웃하는 애퍼처 사이의 오프셋은 각각 NX · bX 및 NY · bY이다.·결과적으로, 각각의 애퍼처 이미지에는 NX · bX · NY · bY의 면적을 갖는 개념적 셀(C1)이 속해 있고, 애퍼처 배열은 직사각형 방식으로 배열된 MX · YY 셀을 포함한다. 이하, 이들 셀(C1)을 "노광 셀"이라 부른다. 타겟에 투사된 완전한 애퍼처 배열은 BY = MY · NY · bY × BX = MX · NX · bX의 치수를 갖는다. 이하의 설명에서, 보편성에 대한 어떤 제한이 모든 추가 설명에 대하여, 사각형 그리드의 특별한 경우로서 정사각형 그리드를 가정하고, b = bX = bY, M = MX = MY 및 N = NX = NY이고 M을 정수로 설정할 것이다. 따라서, "노광 셀"은 타겟 기판 상에 N · b × N · b의 크기를 갖는다.
이웃한 2 개의 노광 위치 사이의 피치는 아래에서 'e'로 표시된다. 일반적으로, 거리(e)는 애퍼처 이미지의 명목 폭(b)과 상이할 수 있다. 가장 단순한 경우에, 2 × 2 노광 셀(C3)의 배열의 예에 대해 도 6a에 도시된 b = e이고, 하나의 애퍼처 이미지(bi0)는 하나의 픽셀(그것의 명목상의 위치)을 커버한다. 도 6b에 도시된(및 미국 특허 제 8,222,621 호 및 미국 특허 제 7,276,714 호의 설명에 따라) 또 다른 흥미있는 경우 e는 애퍼처 이미지의 폭(b)의 비(b/o) 일 수 있으며, o> 1은 바람직하게는(반드시 그런 것은 아니지만) 정수이고, 이는 오버 샘플링 팩터로도 지칭된다. 이 경우, 다양한 노광의 과정에서 애퍼처 이미지가 공간적으로 중첩될 것이고, 이는 패턴 배치의 더 높은 해상도가 개발될 수 있게 한다. 따라서, 애퍼처의 각 이미지는 한 번에 복수의 픽셀, 즉, o2개의 픽셀을 커버할 것이다. 타겟에 이미지화된 애퍼처 필드의 전체 영역은(NMo)2 개의 픽셀을 포함할 것이다. 애퍼처 이미지의 배치의 관점에서 볼 때, 이 오버 샘플링은 타겟 영역을 단순히 커버하는 데 필요한 것과는(간격이 더 촘촘하기 때문에) 상이한, 소위 배치 그리드에 대응한다.
도 6b는 배치 그리드와 결합된 o = 2의 오버 샘플링("이중 그리드"라고도 함), 즉 파라미터 o = 2, N = 2를 갖는 노광 셀(C4)을 갖는 애퍼처 어레이의 이미지의 일례를 도시한다. 따라서, 각각의 명목 위치(도 6b의 작은 정사각형 필드)에서 X 및 Y 방향으로 피치(e)만큼 레귤러 그리드 상에 오프셋된 4개의 애퍼처 이미지(bi1)(점선)가 프린트된다. 애퍼처 이미지의 크기는 여전히 동일한 값, b이지만, 배치 그리드 피치(e)는 이제 b/o = b/2이다. 이전 명목 위치에 대한 오프셋(배치 그리드의 오프셋)도 크기 b/2이다. 동시에, 각각의 픽셀을 커버하는 애퍼처 이미지에 적합한 그레이 값을 선택함으로써, 각 픽셀의 도즈 및/또는 그레이 음영이 조정(감소)될 수 있다. 결과적으로 크기가 b × b 인 영역이 인쇄되지만 더 촘촘한 배치 그리드로 인해 배치 정확도가 향상된다. 도 6b와 도 6a를 직접 비교하면, 애퍼처 이미지 자체가 중첩되지만, 애퍼처 이미지의 위치는 배치 그리드 상에 이전보다 2 배(일반적으로 o 배) 더 촘촘하게 배치된다는 것을 알 수 있다. 이제, 노광 셀(C4)은 기록 프로세스 동안 어드레싱 될(No)2 개의 위치(즉, "픽셀")를 포함하고, 따라서, 이전보다 o2배 더 많은 픽셀을 갖는다. 이에 대응하여, 애퍼처 이미지의 이러한 크기(b × b)를 갖는 영역(bi1)은 도 6b의 o = 2의 오버 샘플링의 경우에( "이중 그리드"라고도 함) o2 = 4개의 픽셀과 관련된다. 물론, o는 다른 정수 값, 구체적으로 4( "4중 그리드", 도시되지 않음) 또는 8을 취할 수도 있다. 파라미터 o는 또한 US 9,653,263에 도시된 "이중 중심 그리드"의 경우에 대응하는 21/2 = 1.414 또는 23/2 = 2.828과 같은 1보다 큰 비 정수(non-integer) 값을 할당 받을 수도 있다.
인터로킹 그리드(o > 1)를 사용하면, 도즈 분포가 균일하게 유지되는 동안 "디더링(dithering)"에 의해 회색 음영 수를 늘리는 것이 가능함을 주목할만 하다. 이를 위한 기초는 임의의 명목 그리드의 회색 음영이 동일하다는 것이다. 즉, 이중 인터로킹 그리드의 경우, 실현될 수 있는 유효 도즈 레벨의 수는 논-인터로킹 그리드보다 4 배 많다. 일반적으로 말하자면, 임의의 오버 샘플링된 노광 그리드(즉, o > 1)는 X 및 Y 방향으로 거리(b/o)만큼 시프트된 최대 o2 개의 명목 그리드로 구성된다. 따라서, 한 도즈 레벨에서 다음 도즈 레벨까지의 스텝은 o개의 서브-스텝으로 분할될 수 있는데, 여기서 이러한 o개의 그리드 중 오직 하나만의 도즈 레벨이 증가되고; 이것은 모든 서브-그리드가 명목 레벨에 노광할 때까지 다른 그리드에 대하여 반복될 수 있다. 당업자라면 알 수 있듯이, 기판에서의 빔 형상은 기계 블러 및 애퍼처 플레이트의 감소된 애퍼처 형상의 콘볼루션(convolution)이다. 폭(b)를 노광 그리드 상수(e)의 자연 배수로 설정함으로써, 즉, o = b/e를 정수로 만듦으로써, 기판 상에 균일한 도즈 분포를 얻는 것이 가능하다. 그렇지 않으면, 도즈 분포는 앨리어싱 효과에 의해 노광 그리드의 주기에 따라 최소 및 최대를 가질 수 있다. 회색 음영의 수가 많을 수록 더 나은 피쳐 배치가 가능하다. 따라서, 그레이 레벨을 증가시키는 것은 픽셀 위치 당 그레이 음영이 특정 수로 제한되는 경우와 관련이 있다.
도 7a는 본 발명과 함께 바람직하게 사용되는 스캐닝 스트라이프 노광에 적합한 픽셀의 노광 스킴을 도시한다. 프레임의 시퀀스가 도시되어 있는데, 위쪽(이전)에서 아래쪽(이후)으로 시간이 증가한다. 이 도면에서 파라미터 값은 o = 1, N = 2이고, 또한, MX = 8 및 MY = 6 인 직사각형 빔 어레이가 가정된다. 타겟은 왼쪽으로 연속적으로 이동하는 반면, 빔 편향은 도면의 왼쪽에 표시된 시소 함수를 통해 제어된다. 길이(T1)의 각 시간 인터벌 동안, 빔 이미지는("배치 그리드"의 위치에 대응하는) 타겟상의 위치에 고정된 채로 유지된다. 따라서, 빔 이미지(pm)는 배치 그리드 시퀀스(p11, p21, p31)를 통과하는 것으로 도시되어 있다. 배치 그리드의 한 사이클은 타겟 이동(v)에 의해 시간 인터벌(L/v = NMb/v) 내에서 노광된다. 각 배치 그리드에서의 노광 시간(T1)은 LG = vT1 = L/p = NMb/p로 주어지는 "노광 길이"라 불리는 길이에 대응하고, 여기서 p는 셀 내의 노광 위치의 수(레귤러 오버 샘플링된 그리드의 경우, p = No2)를 나타낸다..
빔렛들은 하나의 세트의 이미지 요소들이 타겟과 함께 노광되는 동안 LG의 거리에 걸쳐 이동된다. 즉, 모든 빔렛들은 시간 인터벌(T1) 동안 기판의 표면에 대해 고정된 위치를 유지한다. 거리(LG)를 따라 타겟과 함께 빔렛을 이동시킨 후에, 빔렛들은 즉시(매우 짧은 시간 내에) 재배치되어 다음 배치 그리드의 이미지 요소들의 노광을 시작한다. 배치 그리드 사이클의 위치(p11 ... p31)를 완전히 순환한 후, X 방향(스캐닝 방향)에 평행한 추가 종방향 오프셋(L = bNM)과 함께 시퀀스가 다시 시작된다. 스트라이프의 시작과 끝에서, 노광 방법은 연속적인 커버링을 만들지 않을 수 있으므로, 완전히 채워지지 않은 길이(L)의 여백이 존재할 수 있다.
도 7a는 실제 패턴에 따라 개개의 애퍼처를 개/폐하는 데 필요한 시간을 무시함이 주목된다. 실제로, DAP 및 편향 멀티폴 시스템의 편향 장치는 재 위치 조절 후 애퍼처의 상태를 안정화하기 위해, 그리고 일시적 진동의 페이딩 아웃(fading out)을 위해 일정한 안정화 시간 인터벌(TS)을 필요로 한다. 안정화 시간 인터벌(TS)은 픽셀 노광 사이클(T1)의(매우) 작은 부분이다. 따라서, 전체 픽셀 노광 사이클(T1)이 아니라, 픽셀의 노광을 위해, 사용 가능한 시간(Tu = T1-TS)만이 사용된다. 시간 인터벌(Tu)는 적절한 도즈가 각각의 픽셀로 전달되는 것을 보장하기 위한 픽셀 노광 기간이다. 그러나, 이하에서는 T1과 비교하여 TS는 무시할 만하다고 가정하고, 이하에서는 Tu와 T1을 구별하지 않는다.
사용 가능한 노광 시간(Tu)은 주소 지정이 가능한 회색 음영의 수에 해당하는 g 개의 시간 슬롯으로 분할된다. g에 대한 하나의 값은 g = 16(4 비트)이다. 픽셀 노광은 Tu 내의 사용된 시간 슬롯의 합인 원하는 그레이 음영에 따라 활성화된다. 시간(Tu) 내에 하나의 픽셀에 적용된 도즈가 g 개의 그레이 레벨로 디지털화되면, Tu 동안 일반적인 블랭킹 셀을 g 번 리로드(reload)하는 것이 가능하다. 블랭킹 어레이의 각각의 블랭킹 셀은 노광 기간(T1)(또는 보다 정확하게는 사용 가능한 시간(Tu)) 동안 그것의 개개의 그레이 음영을 수신한다.
도 7b는 g = 5 인 단순화된 예에서 상이한 그레이 음영을 갖는 2 개의 픽셀의 노광을 도시하며, 안정화 인터벌(TS)의 상대적 크기는 크게 과장되어 있다. g = 5에 따라, 각각의 사용 가능한 시간 인터벌(Tu)에는 5 개의 시간 슬롯이 있다. 제 1 픽셀(p72)은 100%의 그레이 음영(즉, "흑색")에 노광되고, 제 2 픽셀(p71)은 40 %의 그레이 음영에 노광된다. 픽셀(p71)에 대해, 대응하는 블랭킹 전극의 2 개의 시간 슬롯은 그레이 음영 픽셀을 생성하고; 이 예에서 40 %는 5 개 중 2 개가 회색 음영과 일치하므로, 그 중 2 개가 임의의 순서로 스위치 온 되도록 설정된다. 반면에, 픽셀(p72)에 대해, 각각의 블랭킹 전극은 모든 5 개 시간 슬롯 동안 활성화되어, Tu 동안 제공될 수 있는 최대 도즈를 갖는 흑색 픽셀을 생성한다.
이중 및 4중 그리드 내의 피쳐의 노광
8a 내지 도 8c를 참조하면, 애퍼처 이미지(bi0, bi1)(도 6a, 도 6b)에 대응하는 각각의 노광 스폿(60)은 아래에 보다 상세히 설명되는 바와 같이 개별적인 도즈 레벨로 노광된다. 도 8a 내지 도 8c는 특별히 관심 있는 다양한 중첩 구성을 도시한다.
도 8a는 도 6b와 관련하여 상술한 바와 같은 "이중 그리드" 멀티 빔 노광을 도시하며, 여기서 노광 스폿들 사이의 중첩은 X 및 Y 방향에서의 빔 스폿 크기의 절반이다. 이 경우, 물리적 그리드 크기(61)는 스폿(60)의 선형 크기의 절반이다.
도 8b는 "4중 그리드" 멀티 빔 노광을 도시하며, 여기서 스폿들 사이의 오버랩은 X 및 Y 방향으로의 빔 스폿 크기의 1/4이다. 이 경우, 물리적 그리드 크기(62)는 스폿 크기 폭의 1/4이다.
도 8c는 또 다른 그리드 레이아웃을 도시하며, 이중 그리드 중첩 빔 노광 이외에, 빔 노광은 그 사이의 중앙에서 행해진다. 따라서, 물리적 그리드 크기(63)는 선형 스폿 크기의(½)3/2(즉, √2/4)이다. 이 멀티 빔 노광 모드는 "이중 중심 그리드"라 한다.
도 9는 최대 도즈 레벨로 노광되는 하나의 노광 스폿(그 폭은 60으로 표시됨)의 강도 프로파일의 심볼적 표현( "브릭 층")을 도시한다. 4 비트 코딩의 예시적인 경우에, 16 개의 도즈 레벨(0, 1, 2, ... 15)이 있다. 즉, 최대 도즈 레벨은 15 도즈 레벨 증분(64)의 합이다.
도 10은 제로 블러의 이상적인 경우에 폭 30nm의 라인에 대한 이상적인 강도 프로파일(71)을 도시한다. "4중 그리드" 멀티 빔 노광을 사용할 때 오버랩은 빔 크기의 1/4이다. 따라서 20 nm 빔 크기의 경우 물리적 그리드 크기는 5 nm이다. 개별 도즈 레벨은 선택된 예의 경우에 5 nm × 5 nm인 물리적 그리드의 각각의 영역에 할당될 수 있다. 도 10의 라인(72)은 30 nm 라인을 생성하기 위해 픽셀 위치에 할당된 개별적인 도즈 레벨을 갖는 중첩하는 노광 스폿들에 의해 구성될 때의 강도(또는 총 도즈)의 중첩을 나타내며, 한편 더 나은 가시성을 위해 블러는 0으로 설정되었다(따라서 단일 노광 스폿의 도즈 분포는 직사각형이 된다). 블러가 도 13에 도시된 바와 같은 현실적인 값을 갖는다면, 직사각형의 가장자리에 있는 스텝 함수는 가우시안(Gaussian) 함수와 컨볼루션되어, 결국 가우시안 형태로 변환된다. 이러한 의미에서 라인(72)은 블러 제로에서 가우시안 함수의 중첩으로 볼 수 있다. 일반적인 경우, 도즈 레벨 히스토그램은 왼쪽과 오른쪽 가장자리를 사전 정의된 위치에 배치하기 위해 대칭적이지 않을 것이다.
도 11은 좌측 에지가 0.0nm에 위치되고 우측 에지가 30.0nm에 위치되는 30.0nm 폭의 라인에 대한 시뮬레이션을 도시한다. 이러한 시뮬레이션을 위해, 20 nm의 빔 스폿이 5.1 nm 1 시그마 블러(즉, 12.0 nm FWHM 블러)로 노광되는 것으로 가정하였다. 강도 프로파일(76)은 노광 스폿(73, 74, 75)의 프로파일을 중첩함으로써 형성된다. 가장 왼쪽의 노광 스폿(74)의 도즈 레벨은 30nm 라인이 원하는 시작 위치(77), 즉 0nm에서 시작하도록 조정된다. 가장 우측의 노광 스폿(75)의 도즈 레벨은 노광된 라인이 30.0 nm에서 위치(78)에서 끝나도록 조정된다. 도 11에서 알 수 있는 바와 같이, "4중 그리드" 노광에 따르면, 노광 스폿(73, 74, 75)의 오버랩은 빔 사이즈의 1/4, 즉 5nm이다.
소위 "아이소포컬 도즈"를 사용할 때, 즉, 레지스트의 "도즈-투-클리어"의 2배의 도즈를 사용할 때, 피쳐 크기(예 : 라인 폭)는 50 % 도즈 임계 값에서 블러와 거의 독립적이다. 이것은 도 13에 도시되어 있으며, 이는 0 블러에 대한 강도 프로파일(71), 도즈 레벨 히스토그램(72) 및 각각 3.5nm, 5.0nm 및 7.5nm 1 시그마 블러로 계산된 결과 강도 프로파일(81, 82, 83)을 도시한다. 생성된 구조의 에지 위치(xE1 및 xE2)는 제로 흐림 강도 프로파일(71)이 "0.5" 강도 레벨("도즈-투-클리어")을 교차하는 곳이다. 도 13a의 확대된 상세도는 좌측 플랭크에서의 위치(xE1) 주위의 영역을 도시한다. 도즈 레벨 할당(72)은 5 nm의 1 시그마 블러를 갖는 20 nm 빔 크기 및 5 nm의 물리적 그리드 크기를 제공하는 4중 그리드 멀티-빔 노광을 사용하기 위한 것이다.
도 14a, 도 14b 및 도 14c는 여기 예시된 멀티-빔 노광 방법이 그리드 크기보다 작은 해상도를 갖는 구조 피쳐의 미세 위치 조절을 어떻게 달성 할 수 있는지를 도시하는 강도 프로파일 다이어그램을 도시한다. 이러한 강도 프로파일 다이어그램에서, 도 14a 내지 도 14c의 것과 유사하게, 이산 도즈 레벨은 "브릭-층" 배열로 쌓인 균일한 높이의 직사각형(64)으로서 시각화되어 있다. 물론, 이 "브릭 층" 묘사는 단지 상징적인 것일 뿐이며, 도면의 해석을 용이하게 하기 위한 것이다.
도 14a는 20nm 폭의 빔 스폿 크기를 갖는 4중 그리드에서 4 비트(즉, 스폿 당 15 도즈 레벨) 노광에 의해 노광된 30nm 폭의 라인의 예에 대한 도즈 레벨 히스토그램을 도시한다. 그리드 크기(62)는 노광 스폿의 선형 크기의 1/4이며, 이는 "브릭-층" 배열로 쌓인 직사각형으로 심볼화되고, 결과적인 도즈 레벨 분포(65)는 굵은 선으로 윤곽이 그려져 있다.
라인 폭은 그리드 크기, 본 케이스에서는 4중 그리드 크기(62) 보다 작은 매우 미세한 스텝으로 더 작거나 크게 만들어질 수 있다. 라인 폭을 줄이는 것은 최 외곽 노광 스폿의 도즈 레벨을 낮춤으로써 및/또는 노광 스폿을 누락시킴으로써 달성될 수 있다(감소가 노광 스폿 사이즈의 적어도 약 1/2 인 경우 후자). 선폭을 증가시키는 것은 최외측 노광 스폿의 도즈 레벨을 증가시킴으로써 및/또는 특히 최대 도즈 레벨에 도달된 때 추가의, 바람직하게는, 중첩하는 노광 스폿을 추가함으로써 달성될 수 있다. 후자의 양태는 도 14b에 도시되어 있다: 정의된 도즈 레벨을 갖는 노광 스폿(66)이 추가되어,(65)와 비교하여 더 큰 폭을 갖는 라인에 대한 도즈 레벨 히스토그램(67)이 생성된다. 감소와 증가의 이러한 효과들을 결합함으로써, 매우 미세한 스텝으로 라인 위치를 이동하는 것이 가능하다. 도 14c는 스폿(68)으로부터 도즈 레벨을 제거하고 스폿(69)으로부터 도즈 레벨을 추가함으로써 달성되는, 폭을 변화시키지 않는 라인의 시프트를 도시하고, 이는 도 14a의 라인과 비교하여 우측으로 시프팅된 라인에 대응하는 도즈 레벨 히스토그램(70)을 야기한다.
도 14a 내지 도 14c의 강도 프로파일은 타겟 평면의 X 방향을 따라 도시되어 있다. 여기에 도시된 멀티 빔 노광 방법을 다른 방향을 따른 라인으로 확장하는 것은 직관적이며, 타겟 평면 상의 임의의 각도의 라인에 대하여 미세 위치 조절이 달성될 수 있다.
도 15a 내지 도 15c는 에지 시프트를 도시하며, 더 정확하게는 최외측 픽셀의 도즈를 어떻게 변화시켜 도즈 프로파일에서 대응하는 변화를 일으키는지를 나타낸다. 3 가지 도면 모두에서, 좌표 x의 함수인 도즈(D)의 프로파일에 대한 시뮬레이션의 결과가 16 그레이 레벨을 갖는 "이중 그리드" 노광 모드를 기초로 하여 도시되어 있으며, 여기서 도 15a는 5 ㎚의 1 시그마 빔 블러를 갖는 1의 도즈 팩터(즉, 2배의 "도즈-투-클리어"(2DDtC)에 대하여 할당된 도즈)에 노광된 라인에 관한 것이며; 도 15b는 5㎚의 블러를 갖는 2의 도즈 팩터에 관한 것이고, 도 15c는 10nm의 블러를 갖는 2의 도즈 백터에 관한 것이다. 도 15a 및 도 15c에 도시된 곡선 세트 각각에 대해, 가장 오른쪽의 노광 위치(픽셀)의 그레이 레벨은 제로 도즈(도즈 프로파일(70))에서 풀 도즈(도즈 프로파일(71))까지 1 그레이 레벨(최대 도즈의 1/15)씩 점진적으로 증가된다. 결과적인 노광 라인 폭은 도즈 프로파일과 도즈-투-클리어 레벨(72) 간의 각 교차점 사이의 거리에 의해 정해진다. 이 도면들은 에지 시프트가 그레이 값이 제로(70)와 풀(71) 셋팅 사이에서 변경될 때 "에지 픽셀"에서의 그레이 값의(단지 대략적으로) 거의 선형 함수라는 것을 보여준다. 또한, 이들 도면은 도즈 팩터(즉, 이중 "도즈-투-클리어"에 대한 최대 도즈) 및 1- 시그마 빔 블러가 절대 노광 라인 폭 뿐만 아니라 "에지 픽셀"에서의 다양한 그레이 값에 대하여 라인 폭이 어떻게 변경되는지에 대한 비선형 거동에 영향을 준다는 것을 보여준다.
데이터 경로
(상술된 바와 같이) 기록될 패턴을 빔렛 도즈 할당으로 변환하는 라이터 도구(도 1)의 프로세싱 시스템(18)의 일부는 기록될 패턴을 기록 프로세스에서 사용될 수 있는(상술한 바와 같은) 빔렛 도즈 할당으로 변환하는 기록기 도구의 프로세싱 시스템(18)의 일부(도 1)는 "데이터 경로" 시스템이라 불린다. 도 12는 본 발명의 맥락에서 데이터 경로(170)의 흐름도를 나타낸다. 데이터 경로는 실시간으로 수행되는 것이 바람직하다. 변형 예에서, 데이터 경로 계산의 일부 또는 전부는, 예를 들어, 적절한 컴퓨터에서 사전에 수행될 수 있다.
완전한 패턴 이미지는 막대한 양의 이미지 데이터를 포함하며, 이것이 바람직하게는 이들 데이터의 효율적인 계산을 위해, 실시간으로 노광될 픽셀 데이터를 생성하는 고속 데이터 경로가 적합한 이유이다. 노광될 패턴은 전형적으로 직사각형, 사다리꼴 또는 일반적인 다각형과 같은 기하학적 형상의 콜렉션과 같은, 벡터 포맷으로 기술되며, 이는 전형적으로 더 나은 데이터 압축을 제공하여 데이터 저장에 대한 요구사항을 줄여준다. 따라서, 데이터 경로는 3개의 주요 부분으로 구성된다.
- 벡터 기반의 물리적 보정 프로세스(단계(160)),
- 벡터를 픽셀 데이터로 변환하는 래스터화 프로세스(단계(161 내지 164)), 및
- 기록 프로세스를 위한 임시 저장을 위한 픽셀 데이터의 버퍼링(단계(165 및 166)).
데이터 경로는 단계(160)에서 노광될 패턴(PDATA)이 공급될 때 시작한다. 단계(160)에서, 일반적으로, 노광될 패턴(PDATA)은, 가능하다면 기하학적 중첩을 갖는, 다수의 작은 데이터 청크(chunk)로 분할된다. 벡터 도메인에 적용될 수 있는 보정(예를 들어, 근접 효과 보정)은 모든 청크에 독립적으로, 가능하게는 병렬로 수행될 수 있으며, 그 결과 데이터는 다음 단계의 계산 속도를 향상시키는 방식으로 분류되고 코딩된다. 그 출력은 모든 청크가 기하학적 형상의 콜렉션을 포함하는 청크의 콜렉션이다.
단계(161): 래스터화(RAST). 모든 청크의 기하학적 형상은 래스터화된 픽셀 그래픽으로 변환된다. 이 단계에서, 노광될 래스터-그리드 셀, 즉, 모든 연관된 청크의 전체의 대응하는 표면의 기하학적 중첩에 따른 부동 소수점 그레이 스케일 강도가 각각의 픽셀에 할당된다. 최신의 솔루션에서, 이 부동 소수점 강도는 각 픽셀 위치에서 타겟 상으로 전달되는 이상적인 물리적 노광 도즈를 나타낸다. 보다 상세하게는, 도형 내부에 있는 모든 픽셀에는 최대 강도가 할당되고, 반면에 기하학적 형상의 가장자리를 교차하는 픽셀의 강도는 기하학적 형상에 의해 커버되는 픽셀의 면적의 부분에 의해 가중치 적용된다. 이 방법은 기하학적 형상의 영역과 래스터화 후의 전체 도즈 사이의 선형 관계를 의미한다.
단계(162): 픽셀-투-빔렛 할당(ASSIGN). 이 단계에서, 특정 기록 시퀀스가 주어지면, 어떤 빔렛에 의해 어떤 픽셀이 기록될지 결정된다.
단계(163): 픽셀 기반 보정(CORR1). 이 단계에서 픽셀 도메인에 적용할 수 있는 모든 보정이 수행된다. 이러한 보정은(전술한 바와 같이 본 출원인의 미국 특허 제 9,495,499 호에 개시된 바와 같이) 애퍼처 필드에 걸친 빔(50)의 균일한 전류 밀도로부터의 편차의 보정 및/또는(US 2015/0248993 A1에서와 같이) DAP(30)에서의 개별적인 결함있는 빔 디플렉터에 대한 보정을 포함한다. 픽셀 기반 보정은 각 개별 픽셀의 부동 소수점 강도를 수정하여 실현된다. 이것은 단계(162)의 픽셀-투-빔렛 할당에 관해서 행해지는데, 이는 각 픽셀이 어떤 빔렛에 기록되는지에 따라, 그리고/또는 이웃하는 픽셀들이 어떤 빔렛에 의해 기록되는지에 따라, 각 픽셀에 대하여 보상 도즈-팩터(q)(또는, 동일하게는 도즈-시프트(s))를 정의하고 적용하는 것을 가능하게 한다.
단계(164): 양자화(QUANT). 양자화 프로세스는 미리 결정된 그레이 값 스케일이 주어진다면, 각 픽셀의 가능한 보정된 부동 소수점 강도를 양자화된(또는 동일하게 '이산적인') 그레이 레벨로 변환한다.
단계(165): 그레이 레벨 픽셀 데이터 영역에서의 추가적인 선택사항인 픽셀 기반 보정(CORR2)이 적용될 수 있다(본 발명의 일부는 아님).
단계(166): 픽셀 패키징(PPACK). 스테이지(164)로부터 얻어진 픽셀 이미지는 배치 그리드 시퀀스에 따라 분류되고 기록 도구(도 1)의 프로세싱 시스템(18)에 제공된 픽셀 버퍼(PBUF)로 보내진다. 충분한 양의 데이터, 전형적으로 적어도 스트라이프의 길이가 존재할 때까지 픽셀 데이터가 버퍼링되어 스트라이프의 노광을 트리거한다(도 7 참조). 데이터는 기록 프로세스 중에 버퍼에서 꺼내진다. 스트라이프가 기록된 후에, 상술된 프로세스는 다음 스트라이프와 같은 노광 영역의 다음 부분의 패턴 데이터에 대해 새로 시작한다.
그리드 포지셔닝 비선형성
상술한 바와 같은 본 출원인의 최신 솔루션에서, 최외측 픽셀의 도즈와 달성된 피쳐 크기 사이의 선형 관계가 도즈 할당을 위해 가정된다. 도즈 팩터가 1에 가까울 경우(즉, 피쳐에 사용된 최대 도즈가 2DDtC에 가까울 경우) 해당 선형화 에러가 매우 작아서 많은 경우 무시될 수 있다. 그러나 도즈 팩터가 1에서 크게 벗어나는 경우 중요해질 수 있다.
이는 도 16에 도시된 라인 피쳐에 대한 시뮬레이션 결과에 의해 예시된다. 도 16a의 파선/점선은 5nm 1 시그마 흐림, "이중 그리드" 기록 전략 및 다양한 도즈 팩터의 경우에 대하여, 라인 폭의 변화량(dCD)(픽셀 크기의 단위로 주어짐)을 라인 에지(최외측 픽셀)에 있는 픽셀에 대한 픽셀 도즈(d)(최대 도즈의 단위)의 함수로 보여준다. 더블 "도즈-투-클리어"(도즈 팩터 1; 파선)를 사용하면, 관계는 거의 선형이며, 결과 에러는 픽셀 폭의 2 % 미만이다. 최외측 도즈와 도즈 폭 증가 사이의 이상적인 선형 관계는 참조를 위해 실선으로 제공되어 있다. 그러나, 도즈 팩터 2 및 3(일점쇄선 및 점선)의 경우, 최대 오차가 각각 전체 픽셀 크기의 9 %와 13 %로 증가함에 따라 선형성으로부터의 편차가 유의미해진다. 선형화 에러,
Figure pat00007
가 도 16a의 데이터에 대하여 도 16b에 도시되어 있다. 특히 도즈 팩터가 큰 경우, 선형화 에러는 수 nm 범위의 임계 값에 도달할 수 있다.
특히, 이러한 비선형적 선형화 에러는 패턴 픽셀 그리드에 대한 피쳐의 위치에 따라 유효 피쳐 크기의 변화를 초래할 수 있다. 예를 들어, 패턴 픽셀 그리드(도 19a에 도시된 바와 같이, 패턴 픽셀 크기 10nm 및 라인 폭 40nm)와 정렬된 에지를 갖는 라인이 절반 패턴 픽셀만큼 오른쪽으로 시프트되면, 라인은 도 19b에 도시된 바와 같이 래스터라이저에 의해 최대 도즈의 절반이 할당될 것이다. 최외측 픽셀의 도즈와 효과 에지 배치 사이의 관계가 실제로 선형이거나 선형에 가깝다면(즉, 사용된 도즈가 2DDtC에 가까울 경우), 그림 19a의 왼쪽 가장자리가 오른쪽으로 시프트하는 것이 도 19a의 우측 에지의 우측으로의 시프트를(양 에지가 사용된 도즈 팩터와는 독립적으로 절반의 픽셀 크기만큼 시프트하면서) 정확히 또는 거의 보상한다. 따라서 임계 치수는 시프트의 영향을 받지 않는다. 반면에, 2DDtC에서 크게 벗어나는 도즈를 사용한다면, 더 이상 그렇지 않다. 예를 들어, 도 16b와 관련하여, 2의 도즈 팩터를 사용하는 경우, 패턴 픽셀 그리드에 대한 라인 에지의 시프트로 인한 1.8nm의 임계 치수의 총 변화에 가산하여 선형 거동을 가정할 때 양 가장자리가 예상보다 약 0.9nm 더 멀리 배치될 것이다.
이 효과는(흔히 프로세스 매개 변수로 간주되고 필요에 따라 조정되는) 도즈 팩터의 변화로 인한 임계 치수의 절대적인 변화보다 더 중요한 임계 치수 균일성(임계 치수의 변동)에 영향을 미칠 수 있다. 가장 큰 에러는 일반적으로 라인 에지가 2 개의 물리적인 그리드 포인트의 중간에 놓일 때(예를 들어, 도 19b의 경우와 같이) 선형 도즈 할당인, 최외측 픽셀의 절반 도즈에 가깝게 달성된다는 것을 유의해야 한다. 도 17a는 에지가 물리적 그리드와 일치하는 피쳐( "온 그리드")의 임계 치수(920)의 변화(dCD)(nm, 픽셀 크기 × 10의 단위에 대응) 및 에지가 2 개의 물리적 그리드 포인트 간의 중앙에 있는 피쳐( "오프 그리드") 임계 치수(930)의 변화량을 보여주며, 이는 모두 "이중 그리드" 멀티 빔 노광 모드와 5nm 1 시그마 블러에 해당하고, 피쳐에서 사용된 도즈 팩터(D)의 함수이다. 보다 명확하게 하기 위해, 이중 그리드 모드의 물리적 그리드(944)가 표시되어 있는(도 6a 및 도 8a도 참조), 대응하는 피쳐(921, 931)가 도 18에 도시 되어있다(두 피쳐 모두 동일한 크기 임에 유의). 피쳐(921)의 에지는 도 17a의 선(920)에 따라 도즈 변화량이 스케일링되는 물리적 그리드와 일치 함을 이해해야 한다. 반면, 피쳐(931)의 에지는 피치(950)의 절반만큼 그리드에 대해 오프셋된다(여기에서, 예를 들어, 20 nm 및 o = 2의 빔렛 크기에 대해 10 nm이며, 따라서 물리적 그리드에 대한 오프셋(931)은 10 nm/2 = 5 nm 이다). 단순화를 위해, 이 예에서는 양방향 X, Y에서 동일한 오프셋이 가정된다. 도 17b는 양 피쳐의 도즈를(동시에) 변화시킴으로써 도 17a의 두 라인 사이의 차이, 즉, 임계 치수(dCD)의 변화량(dCD) 간의 차이(d(dCD))를 도시한다. 그리드에 대한 위치 변화로 인한 피쳐 크기의 차이(d(dCD))는 도즈 팩터 1(즉, D1 = 2DDtC)에 상응하는 도즈에 대해서 단지 0이다. 이는 도 17a 및 도 17b에서, 절대 도즈 값 100 %에 해당한다. 결과적으로, 피쳐가 물리적 그리드에 대한 그들의 배치에 따라 상이하게 스케일링될 수 있기 때문에, 언더도징 또는 오버도징이 오버랩 픽셀 기록 모드와 결합하여, CD 균일성의 저하를 초래할 수 있다. 또한 알 수 있듯이, 이러한 CD 오류는 2DDtC에서 벗어난 양으로 단조롭게 스케일링된다.
비선형성 보정
본 발명은 전술한 바와 같은 도즈/CD- 비선형성 효과로 인한 임계 치수 에러를 보정하는 데이터 경로의 변경을 예상한다. 특히, 이러한 보정은 피쳐 크기의 현저한 변화를 회피하면서 패턴 픽셀 그리드에 대한 노광될 피쳐(921, 931)(집합 적으로 기호 Φ로 지칭 됨)의 위치의 시프트를 허용한다. 피쳐 크기의 변화는 축 방향의 피쳐, 즉, 모든 라인이 좌표 축의 방향과 정렬되는 다각형에 대해 가장 두드러진다. 왜냐하면 이러한 구조의 경우, 픽셀 그리드에 대한 피쳐 에지의 위치가 일정하기 때문이다. 따라서, 축방향 보정에 초점을 맞춘 보정 절차가 제시된다. 그러나, 이러한 보정 절차는 축방향 에지와의 근사에 의해 픽셀 그리드에 대해 작은 각도로 비스듬한 에지에 대해서도(또한, 오차가 유의미할 수 있는 경우에도) 이용될 수 있음을 알아야 한다.
본 실시예들에서 구현되는 보정 절차는, 예를 들어, 도 16a에 도시된 바와 같은 CD- 변화 함수의 역함수를 사용하여 기계를 선형 거동으로 되돌린다. 아래에서, 이 함수는
Figure pat00008
로 표시되고, 여기서, 0≤d≤1는 라인 에지를 교차하는 픽셀의(피쳐의 최대 도즈(D)에 대한) 상대 도즈를 나타내며,
Figure pat00009
는 픽셀 도즈와 CD 변화량 사이의 상기 관계에 영향을 주는 파라미터의 세트, 예컨대, 도즈 팩터, 빔렛 블러, 피쳐 에지의 방향, 도즈 배경, 근접 효과 팩터, 인터로킹 전략(예컨대, "이중 그리드" 또는 "4중 그리드")이고, 그러므로,
Figure pat00010
는 도즈 레벨(0)에 대한 임계 치수(CD)의 결과적인 에지 시프트 또는 변화를 픽셀 크기의 단위로 설명한다(도면에서, 픽셀 크기는 심볼(ps)로 표시됨).
본 발명의 일 실시예에서, 보정은 데이터 경로의 래스터화(RAST) 단계에서 구현된다. 앞서 설명한 바와 같은 본 출원인의 최신 솔루션에서, 상대 도즈(d), v픽셀(p)는 구조체(Φ)에 기록하는 것이 아래의 식에 의해 정해진 바와 같이, 구조체에 의해 커버된 픽셀 영역의 비율을 계산함으로써 결정될 때 할당된다.
Figure pat00011
여기서 'area(S)'는 형상(S)(S는 p 또는 p∩Φ)의 면적을 나타내고, 기호 ∩는 두 세트 또는 형상의 교집합을 나타낸다. 이 기하학적 관계의 실례가 도 24a에 도시되어 있으며, 정사각형은 픽셀(p)의 윤곽을 나타내고, r은 구조 Φ의 구조 에지(두꺼운 선)의 위치를 기술하는 좌표를 나타낸다. 도 24a에서, 구조체(Φ)는 에지의 좌측(픽셀 영역의 십자 빗금 부분을 포함하는 빗금 영역)에 위치한다는 것을 유의해야 한다. 24b는 좌표(r)의 함수로서 교차의 면적, 면적(p∩Φ)의 함수 관계를 나타낸다. 여기서, r1은 면적이 전체 값 영역(p)에 도달하는 좌표를 나타내며, 이것은 d = 1의 상대 도즈 값과 동등하다.
본 발명의 실시예에서, 그러나, 위의 관계는 아래의 식으로 주어지는, 상이한 도즈 할당(
Figure pat00012
)이 사용됨으로써 개선된다.
Figure pat00013
다시 말해, 추가된 도즈(함수,
Figure pat00014
에 의해 예측됨)로 인한 에지 위치의 시프트가 벡터 구조에서 에지의 상대적 위치와 일치하도록, 선형 도즈 할당(d) 대신, 명목 도즈 할당
Figure pat00015
이 선택된다. 예를 들어, 구조의 벡터 표현에서 에지를 외측으로 2nm 이동시키면, 노광되는 에지도 2nm 외측으로 이동될 것이고, 그러므로 선형 거동을 복구한다.
이 절차의 예는 도 20에 제공되어 있고, 5nm 블러를 갖는 20nm 빔렛은 10nm의 픽셀 크기를 갖는 패턴 픽셀 그리드(229) 위에 "이중 그리드" 기록 방법으로 배치된다. 3의 할당된 도즈 팩터를 갖는 벡터 구조(사선으로 도시된 부분, 실선(220)으로 표시된 에지에 의해 한정됨)는 상술한 바와 같이 비선형 보정으로 래스터화된다. (픽셀 p21과 같은) 에지 수직 부(221)에 의해 교차된 픽셀은(패턴과 픽셀의 교차점이 픽셀 크기의 75 %이기 때문에) 최대 도즈 3의 d = 0.75의 상대 선형 도즈를 할당 받고, 예외적으로 코너 픽셀(p22)는 d = 0.3의 상대 도즈가 할당된다. 도 16a의 도즈 팩터 3에 대한 곡선을 사용하면, 적절한 보정된 픽셀 도즈는(후자를 픽셀 그리드의 좌측으로 0.75 픽셀의 그것의 의도된 위치로 효과적으로 이동시키는) 에지 수직 부(221)를 따르는 픽셀에 대해
Figure pat00016
= 0.59이며, 코너 픽셀(p22)에 대하여
Figure pat00017
= 0.22이다(이는 단지 대략적인 보정일 뿐이다). 유사하게, (픽셀 p23과 같은) 에지 수평 부(223)를 교차하는 픽셀은 d = 0.4의 선형 도즈가 할당되고, 따라서
Figure pat00018
= 0.23의 보정된 도즈가 할당된다(이는 주어진 노광 파라미터에 대해 이 수평 부분을 그리드에 대해 0.4 픽셀 아래로 이동시킨다). 제 3 에지 부(224)가 픽셀 그리드와 정렬되기 때문에, 그 부근의 픽셀에 대한 보정은 수행되지 않는다. 오버도징으로 인해, 노광 후에 얻어진 보정된 라인(225)(파선-점선, 노광된 코너가 일반적으로 둥글게 될 것이므로 코너의 위치에서의 이 묘사는 이상화된 것이다)은 패턴 픽셀 그리드에 대한 위치와 무관하게 패턴 에지로부터 약 dr = 8nm의 균일한 오프셋을 갖도록 의도된다.
본 발명의 다른 실시예에서, 보정은 벡터 도메인, 즉 데이터 경로의 PDATA 스테이지에서 수행된다(도 12). 래스터라이저에서 도즈 할당을 변경하는 대신, 벡터 구조의 에지는 패턴 픽셀 그리드에 대한 그들의 위치(및 방향)에 따라 수정되어, 그들의 할당된 선형 도즈가, 예컨대, 상기 식을 사용하여 결정된 보정된 도즈(
Figure pat00019
)에 대응하도록 한다. 예시적인 예가 도 21에 주어져 있다. 패턴 픽셀 그리드(202) 및 노광 파라미터(ξ)에 대한 그들의 위치에 따라, 다각형(200)의 구성 라인 세그먼트는 시프트되어 보정된 다각형(201)을 얻는다. 본 발명의 적절한 실시예에서, 이러한 보정은 전술한 함수
Figure pat00020
를 사용하여 수행된다. 벡터 구조(Φ)의 에지가 주어지면, 먼저(벡터 구조의 바깥 방향으로) 픽셀 그리드에 대한 오프셋(dx)이 결정된다. 그 다음, 에지는(픽셀 그리드에 대하여) 보정된 패턴 에지 위치(
Figure pat00021
)로 재배치되고, 이 보정된 위치는(실제) 노광된 에지가(
Figure pat00022
에 의해 예측된) 원하는 에지 위치(dx)에 놓이도록 하는 방식으로 구조를 기록하는 동안 명목 에지 위치로서 사용된다. 즉, 보정된 에지 위치(
Figure pat00023
)는 아래와 같이 주어진다.
Figure pat00024
이 관계식(2)은 픽셀 크기(ps)에 대한 위치 값의 정규화와 별도로, 래스터화 단계에서의 보정을 위해 사용된 관계식(1)과 동일한 구조를 갖는다.
도 22에서, 도 20과 동일한 설정을 사용하지만, 이 경우에는 3의 할당된 도즈 팩터를 갖는 하부 벡터 구조(220)에 대한 예가 주어진다. 벡터 구조(220)의 각 에지(각 에지 부분에 대한 외향 포인팅 법선 벡터는 점선 화살표로 도시됨)는 전술한 바와 같은 절차에 따라 보정된다. 에지 수직 부(221)는 픽셀 그리드에 대해 dx = 7.5nm의 수평 오프셋을 가지며(모든 오프셋 값은 각각의 외향 방향에 대해 표시됨), 이는 0.75 픽셀에 상응하고, 도 16a의 도즈 팩터 3에 대한 곡선에 따라 상대 위치(
Figure pat00025
) = 5.9nm로 시프트되어,(보정된) 에지 부분(226)이된다. 마찬가지로, 에지 수평 부(223)는 dy = 4nm(즉, 0.4 픽셀)에서(dy) ≒ 2.3nm를 갖는 에지 부(227)에서 보정된 상대 위치로 이동된다. 에지 부(224)는 픽셀 그리드(dx = 0)와 겹치므로 변하지 않는다. 도 22a에 제시된 픽셀-기반 보정에서와 같이, 노광 후에 얻어진 보정된 라인(228)은 오버도징으로 인해 원래의 패턴 모서리와 달리, 패턴 픽셀 그리드에 대한 위치와 무관하게 벡터 구조(220)의 에지 부분들로부터 대략 dr = 8nm의 의도된 균일한 오프셋을 가질 것이다. 코너(c22)는 래스터화 동안의 보정(도 20)과 비교하여 방식으로 본 케이스(도 22)에서 취급되므로, 노광된 보정된 라인(228)의 대응하는 코너는 상이한 방식으로 만곡된다(도면에 도시되지 않음).
본 발명의 또 다른 양상은 래스터 그리드에 대해 경사진, 특히 상당한 기울기를 가지거나, 또는 충분히 길지만 작은 각도의 기울기를 가지는 패턴 에지에 관한 것이다. 본 발명자들은 이러한 유형의 패턴 피쳐들로, 비선형 배치 효과가 픽셀 그리드에 대한 에지의 위치가 에지의 경로를 따라 변하기 때문에 또 다른 유형의 에러를 유발할 수 있다는 것을 알아 냈다. 특히, 이것은 명목 직선 에지의 약간 만곡된 선으로의 왜곡을 야기할 수 있다. 도 25a 내지 도 25d는 배치 시프트 시 패턴 에지의 경사각의 영향을 도시한다. 보다 구체적으로는, 도 25a는(도 16a, b와 같이) 3의 균일한 도즈 팩터와 함께, 20nm 빔렛, "이중 그리드" 기록 전략과 5nm 빔 블러의 기본 설정을 사용하는 시나리오의 경우, 그리드에 대한 에지의 위치의 함수로서 패턴 픽셀 그리드에 대한 다양한 경사(dx)에 대하여, CD 변화 함수
Figure pat00026
를 보여준다. 각도 값은 래스터 주 방향에 대한 패턴 에지의 기울기 각도를 말하며, 이 경우 c 좌표( "수평" 방향)이다. 도 25b는 대응하는 선형화 에러,
Figure pat00027
를 도시한다. 보다 상세하게는, 도 25a는 노광된 에지가 어떻게 시프트되는지를 보여주는데, 시프트는 패턴 에지가 최대 하나의 패턴 픽셀(즉, 최대 10nm)만큼 이동될 때, 예시적인 경사 값(1mrad, 10mrad, 25mrad, 및 785mrad = 45 °)에 대해, 300nm 라인 에지를 따라 복수의 위치에서 측정되었다. 도 25b는 전술한 바와 같이 상응하는 평균화된 선형화 에러, 즉 노광된 에지가 패턴 에지와 정확하게 동일하게 시프트되는 이상적인 상황으로부터의 편차를 도시한다. 도 25c는 라인을 따른 변위의 1- 시그마 변화를 나타내며, 이 변위는 각 라인 방향에 수직으로 측정된다. 도 25d는 선형화 에러의 대응하는 1-시그마 변동을 도시한다.
도 25a 내지 25d로부터, 다음과 같은 관찰이 명확해질 것이다. 수평 그리드 라인(대응하는 날짜는 실선으로 표시됨)에 대해 1mrad로 기울어 진 에지의 배치 비선형성은, 그 라인을 따른 상응하는 1-시그마 변동(도 24d의 실선)이 무시될 수 있기 때문에, 전체 라인을 시프트 함으로써 쉽게 보정될 수 있다. 이러한 맥락에서, 도 25a 및 도 25b의 횡좌표 길이가 에지의 실제 길이에 비해 각도의 아크탄젠트(예를 들어, arctan(1mrad) ≒ 0.001)에 의해 주어진 팩터만큼 "압축"되기 때문에, 도 25a 및 도 25b에 도시된 비선형성은 많은 현실적인 경우보다 더 극적으로 보인다는 것을 이해해야 한다. 따라서, 이 선형성 효과는 상당한 길이의 에지에만 적용될 것이다. 수평선에 대해 10mrad 기울어진 라인 에지(점선 곡선의 대응 데이터)는 여전히 그 라인을 따라 평균 폭의 상당한 변화를 가지고 있다. 그러나 0.3 nm 1 시그마의 정도로 그 라인을 따른 상당한 변화(이하 "라인 에지 러프니스"라 함)를 나타낸다. 25 mrad로 기울어진 에지(점선으로된 곡선, 유사한 결과는 그리드에 비해 더 큰 각도을 갖는 에지의 경우에 예상됨)의 경우, 선형성 에러의 대부분은 에지를 상대적으로 낮게 시프트 시킬 때 선형 거동의 평균 편차와 함께 LER로 나타난다. 수평에 대해 높은 각도를 갖는 에지(예를 들어, 785 mrad = π/4 rad = 45 °의 각도를 갖는 일점 쇄선)의 경우, 평균 오차 및 변동 모두 비교적 낮으며, 이는 본 발명의 유리한 구현에서, 모든 라인 에지에 대해, x 또는 y 좌표 라인 중 하나에 대한 그리드 위치만 보정하면된다는 것을 의미함을 이해해야 한다(각도가 선택한 임계 값 미만인 경우).
본 발명의 유리한 실시예에서, LER에 대한 상기 효과는, 보정될 패턴 에지에 인위적인 컷을 도입하여, 에지를 복수의 세그먼트로 "분할"한 다음 에지 세그먼트를 시프팅/보정함으로써 감소될 수 있다. 일 실시예에서, 패턴 에지는(예를 들어, 약 3 내지 10 픽셀 폭)에 대응하는 30 내지 100 nm 정도의 길이를 갖는 동등한 세그먼트들로 분할된 후, 세그먼트 내의 패턴 에지의 위치는 CD 변화 함수
Figure pat00028
의 역함수를 사용하여 개별적으로 보정된다. 파라미터 벡터 ξ(Φ)는 라인 각도 및 세그먼트 길이를 포함할 수 있으나, 특히 각도가 작거나 세그먼트가 짧아서 이러한 근사화의 오차가 주어진 임계 값보다 낮은 경우, 그 대신 축방향 라인에 대한 등가 함수
Figure pat00029
의 역함수로 근사화하는 것도 가능하다. 다른 구현 예에서, 도 26a, b에 도시된 것과 같은 패턴 에지의 일반적 방향을 따라 보조 그리드 포인트 세트를 도입하는 것이 유리할 수 있다. 패턴 에지는 서브 픽셀 그리드와의 교차 위치에서 분할된다. 그런 다음, 생성된 세그먼트는 상술한 바와 같이 시프트된다. 도 26a는 패턴 에지 세그먼트를 따라 동일한 크기의 세그먼트로 분할되는 등분된 서브 픽셀 그리드의 예를 도시한다. 세그먼트 크기의 적절한 선택은 픽셀 그리드에 대한 패턴 에지의 각도에 따라 변할 수 있음이 명백할 것이다. 도 26b에 도시된 또 다른 예에서, 보조 분할 포인트는, 예컨대,(패턴 픽셀 그리드의 위치 부근에서) 위치 오차가 급속하게 변화하는 경우 서브분할 크기를 줄임으로써,
Figure pat00030
을 사용하여 분할함으로써(도 16b, 도 24b 참조), 또는 컴퓨터 시뮬레이션을 사용하여 서브 픽셀 분할 위치를 최적화함으로써, 시프트된 라인 세그먼트의 위치 오차 및 라인 에지 러프니스가(대략) 동일하도록 선택된다. 도 26a 및 도 26b에서, 명목 패턴 에지는 점선(251)으로 도시되고(패턴 에지 위의 노광될 패턴을 가짐), 보조 포인트(그리드 포인트/분할 포인트)의 위치는 십자 기호로 표시된다. 두 예 모두에서, 서브 세그먼트는 수평선에 대한 역 CD-변화 함수
Figure pat00031
에 따라 패턴 에지 방향에 직각 인 안쪽 방향으로 시프트되고, 에지 세그먼트의 평균 수직 위치에서 평가되어, 보정된 패턴 에지(252)가 얻어진다.
본 발명의 다른 실시예에서, 패턴 에지 또는 패턴 에지 세그먼트는 CD 변화 함수,
Figure pat00032
의 역함수,
Figure pat00033
이용하여 시프트되지 않고, 그 대신 1 차 근사(first-order approximation)에서 역 선형화 에러,
Figure pat00034
에 의한 패턴 에지의 시프트를 이용한다. 이러한 근사는 패턴에 적용된 선형성 보정이 노광된 에지에 선형 적으로 영향을 미친다는 가정하에 이루어진다.
본 발명의 또 다른 적합한 양태에서, 함수
Figure pat00035
(및 그것의 역함수)는 도 23(표 형태의 표현)에 예시된 바와 같은, 표 또는 다수의 표로 표현될 수 있다. 도 23은 비선형 함수의 수치 결정에 사용될 수 있는 3 개의 테이블의 예를 도시하며, 선형 도즈 할당(d)(또는 픽셀 크기(dx/ps)의 패턴 에지의 상대적 위치) 및 노광 파라미터(ξ)에 대한 그것의 의존성과 관련하여 함수를 제공한다. 이러한 표는 예를 들어 사전 계산된 시뮬레이션 또는 실험 측정으로부터 얻을 수 있다. 이 표를 사용하면, 래스터라이저에서의 도즈 할당(
Figure pat00036
)은 픽셀 위치(x) 및 할당된 선형 도즈에서 주어진 노광 파라미터에 대한 테이블 값의 최근-이웃-룩업(nearest-neighbor-lookup) 또는 보간법에 의해 획득될 수 있다. 도 23의 예에서, 파라미터는 ξ =(dose_factor, blur)이며, 테이블의 값은 다양한 노광 파라미터 ξ에 대한
Figure pat00037
(또는 등가적으로
Figure pat00038
)를 나타낸다. 이 표는 발명의 이해를 돕기 위해 단순화된 것이고, 실제로는 훨씬 더 정밀한 표 분해능과 더 많은 수의 노광 파라미터(ξ)가 활용될 것이다. 예를 들어, 선형 도즈 할당 d = 0.53 및 ξ =(2, 4)을 갖는 픽셀에 대한 비선형성 보정을 적용하면, 보정된 도즈
Figure pat00039
는 테이블 룩업(블러 4의 컬럼과 도즈 팩터 2에 대한 테이블에서 가장 가까운 값은 0.439와 0.575이다) 및 선형 보간의 조합에 의해 얻어지는데,
Figure pat00040
와 함께,
Figure pat00041
의 보정된 도즈가 제공된다. ξ =(2.8, 7) 및 d = 0.2인 경우, 쌍선형(bilinear) 보간과 유사하게 진행된다. 즉, 이전과 같이 먼저 보간된 값
Figure pat00042
(도즈 팩터 2에 대한 표, 블러 7에 대한 표) 및
Figure pat00043
(도즈 팩터 3에 대한 표, 블러 7에 대한 표)를 획득하고, 이는 다시 보간되어,
Figure pat00044
의 보정된 도즈를 야기한다.

Claims (10)

  1. 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법으로서, 상기 장치는 스캐닝 스트라이프 노광에 의해 상기 패턴을 생성하기 위해 타겟 상의 노광 영역(r1) 내의 복수의 픽셀(px)을 노광하고,
    상기 패턴은 노광 영역(r1)상의 래스터 그리드에 따라 형성된 복수의 픽셀로 구성된 픽셀 그래픽으로서 실현되고, 상기 픽셀 각각은 각각의 픽셀 위치에 위치한 기하학적 픽셀 형상에 의해 표현되고 각각의 픽셀에 대해 노광될 노광 도즈의 값에 대응하는 강도 값이 할당되어 있고, 상기 방법은:
    (i) 벡터 패턴을 다수의 패턴 요소(921, 931)로서 제공하는 단계로서, 각각의 패턴 요소는 경계 및 내부를 포함하는 각각의 기하학적 형상을 가지며, 각각의 할당된 도즈(D)와 연관되고, 상기 할당된 도즈는 각각의 형상 내부의 픽셀에 대해 노광될 노광 도즈의 값을 정의하는 것인, 상기 벡터 패턴을 다수의 패턴 요소(921, 931)로서 제공하는 단계;
    (ii) 상기 복수의 픽셀 각각에 대해, 상기 벡터 패턴에 기초하여 각각의 강도 값을 계산함으로써 벡터 패턴을 패턴으로 래스터화하는 단계를 포함하고,
    패턴 요소 경계의 적어도 하나의 에지 위치에 대한 위치 보정은 단계(i) 및 (ii) 중 하나의 단계 동안 수행되고, 상기 위치 보정은:
    - 상기 에지 위치를 나타내는 위치 값을 결정하는 단계,
    - 사전 정의된 비선형 함수를 사용하여 상기 위치 값에 기초하여 보정된 위치 값을 결정하는 단계로서,
    상기 사전 정의된 비선형 함수
    Figure pat00045
    는, 적어도 하나의 파라미터와 함께, 패턴 노광 동안 입력 값으로서 사용되는 명목 위치 값
    Figure pat00046
    과, 상기 명목 위치 값으로 노광될 때 생성되는 패턴 요소 경계의 위치(d, dx)간의 관계의 역함수를 나타내고, 상기 적어도 하나의 파라미터는 관련된 패턴 요소의 할당된 도즈를 포함하는 것인, 상기 보정된 위치 값을 결정하는 단계; 및
    - 상기 보정된 위치 값에 따라 상기 패턴 요소 경계를 효과적으로 시프트하기 위해 상기 패턴을 수정하는 단계를 포함하는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  2. 제 1 항에 있어서, 상기 스캐닝 스트라이프 노광은 서로 중첩하는 애퍼처 이미지들을 생성하도록 구성되며, 상기 애퍼처 이미지는 타겟 상에 생성된 이웃한 애퍼처 이미지의 픽셀 위치 사이의 거리(e)의 배수인 명목 폭(b)을 가지며, 상기 방법은 추가 단계로서, (iii) 단계 (ii)에서 얻어진 패턴으로부터, 애퍼처 이미지의 노광을 통해 상기 기록 프로세스에 의해 패턴을 노광하기에 적합한 노광 패턴을 생성하는 단계를 더 포함하는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  3. 제 1 항에 있어서,
    상기 위치 보정은 상기 래스터화 단계(ii) 동안에 수행되고, 적어도 픽셀 형상이 패턴 요소의 경계에 의해 교차되는 픽셀에 대하여, 각각의 픽셀에 대한 강도 값이 계산됨으로써 상기 위치 보정이 수행되고, 상기 강도 값은:
    - 제 1 영역을 경계가 상기 픽셀 형상을 가로 지르는 패턴 요소에 의해 커버되는 상기 픽셀 형상의 부분의 영역으로서 결정하고, 상기 위치 값(d)을 상기 제 1 영역과 전체 픽셀 형상 영역의 비율로서 계산함으로써, 위치 값을 결정하는 단계,
    - 사전 결정된 비선형 함수를 사용하여 상기 위치 값으로부터 강도 값을 결정하는 단계로서,
    상기 사전 결정된 비선형 함수,
    Figure pat00047
    는 적어도 하나의 파라미터와 함께, 상기 강도 값과 동등한 노광 도즈로 해당 픽셀을 노광시킴으로써 생성된, 픽셀의 강도 값(
    Figure pat00048
    )과, 그러한 강도 값에 의해 생성된 패턴 요소 경계의 픽셀 형상 영역의 기하학적 형상 영역 부분(d) 간의 관계의 역함수를 나타내고, 상기 적어도 하나의 파라미터는 관련된 패턴 요소의 할당된 도즈를 포함하는 것인, 상기 사전 결정된 비선형 함수를 사용하여 상기 위치 값으로부터 강도 값을 결정하는 단계, 및
    각각의 픽셀에 상기 강도 값을 할당하는 단계에 의해 계산되는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  4. 제 1 항에 있어서, 상기 위치 보정은 상기 벡터 패턴을 제공하는 단계(i) 동안 수행되고, 적어도 하나의 패턴 요소에 대해 에지 위치 보정이 행해짐으로써 상기 위치 보정이 수행되며, 상기 패턴 엘리먼트에 대한 에지 위치 보정은:
    - 래스터 그리드에 대한 경계의 경계 세그먼트의 위치(dx)를 결정하는 단계,
    - 사전 정의된 비선형 함수에 기초하여 명목 에지 위치로서 각 경계 세그먼트에 대한 보정된 위치(
    Figure pat00049
    )를 결정하는 단계로서,
    상기 사전 정의된 비선형 함수
    Figure pat00050
    는, 적어도 하나의 파라미터를 통해, 명목 에지 위치(
    Figure pat00051
    )와 그러한 명목 에지 위치를 이용하여 기록된 때 레스터 그리드에 대한 위치(dx) 사이의 관계의 역함수를 나타내고, 상기 적어도 하나의 파라미터는 관련된 패턴 요소의 할당된 도즈를 포함하는 것인, 상기 보정된 위치(
    Figure pat00052
    )를 결정하는 단계; 및
    - 재형상화된 패턴 요소를 형성하고, 상기 재형상화된 패턴 요소는 상기 각 세그먼트에 수직한 방향으로 상기 보정된 위치에 따른 위치로 오프셋된 경계 세그먼트를 가지며, 상기 패턴 요소를 상기 재형상화된 패턴 요소로 대체하는 단계를 포함하는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 상기 파라미터는 도즈-투-클리어 레벨에 대한 할당된 도즈의 비율, 타겟 상에 이미징된 픽셀의 빔렛 블러, 각 패턴 요소의 경계 또는 에지의 방향, 도즈 배경, 근접 효과 팩터 및 래스터 그리드에 대한 픽셀의 밀도 중 적어도 하나를 더 포함하는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 비선형 함수 및/또는 그 역함수는 적어도 하나의 지지 포인트 세트를 통해 규정되고, 각각의 지지 포인트는 명목 위치 값의 수치 값, 및 연관된 위치 값의 수치 값을 규정하고, 상기 비선형 함수의 파라미터(들)의 특정 값에서, 상기 수치 값들은 컴퓨터 시뮬레이션 및 실험 측정 중 적어도 하나로부터 사전에 도출되고, 지지 포인트 사이에 놓이는 비선형 함수의 값들은 보간되는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    래스터 그리드의 주 방향(X, Y)에 대해 0 ° 내지 45 ° 사이의 각도로 배향된 패턴 요소 경계에 대한 위치 보정을 포함하고,
    상기 위치 보정은:
    - 상기 패턴 요소 경계를 2 개 이상의 세그먼트로 분할하는 단계를 더 포함하고, 상기 세그먼트는 보조 포인트에서 분할되고,
    이들 세그먼트 각각에 대한 상기 위치 보정을 개별적으로 수행하기 이전에, 각각의 세그먼트에 대한 위치 보정은 각각의 세그먼트 내의 보정된 위치 값을 얻기 위한 크기의 대표 값 또는 평균 값과 함께 수행되는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  8. 제 7 항에 있어서, 세그먼트의 보조 포인트는 패턴 요소 경계를 따라 균일한 거리로 이격된 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  9. 제 7 항에 있어서, 세그먼트의 보조 포인트는 패턴 요소 경계를 따라 다양한 거리로 이격되어 있고, 이 거리는 상기 비선형 함수의 변동이 증가할수록 짧게 선택되는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타깃 상에서 노광될 패턴을 계산하는 방법.
  10. 대전 입자 멀티 빔 기록 장치(1)에 의해 타겟 상에서 패턴을 노광하는 방법으로서,
    상기 장치는 스캐닝 스트라이프 노광에 의해 상기 패턴을 생성하기 위해 타겟상의 노광 영역(r1) 내의 복수의 픽셀(px)을 노광하고, 상기 방법은:
    - 상기 장치에 연결된 프로세싱 시스템(18)에 패턴을 제공하는 단계로서, 상기 패턴은 노광 영역(r1)상의 래스터 그리드에 따라 형성된 복수의 픽셀로 구성된 픽셀 그래픽으로서 실현되어 있고, 상기 픽셀 각각은 각각의 픽셀 위치에 위치한 기하학적 픽셀 형상에 의해 표현되고 각각의 픽셀에 대해 노광될 노광 도즈 값에 대응하는 강도 값이 할당되는 것인, 상기 패턴을 제공하는 단계;
    - 상기 프로세싱 시스템(18)에서, 상기 패턴에 청구항 제 1 항 내지 제 9 항 중 어느 한 항의 방법을 적용하여 보정된 패턴을 계산하는 단계;
    - 상기 장치(1)에서 상기 보정된 패턴에 따라 노광 프로세스를 수행하는 단계를 포함하는 것을 특징으로 하는 대전 입자 멀티 빔 기록 장치(1)에 의해 타겟 상에서 패턴을 노광하는 방법.
KR1020190002719A 2018-01-09 2019-01-09 비선형 도즈 및 블러 의존 에지 배치 보정 KR102652218B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18150797 2018-01-09
EP18150797.1 2018-01-09

Publications (2)

Publication Number Publication Date
KR20190084899A true KR20190084899A (ko) 2019-07-17
KR102652218B1 KR102652218B1 (ko) 2024-03-28

Family

ID=60953712

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190002719A KR102652218B1 (ko) 2018-01-09 2019-01-09 비선형 도즈 및 블러 의존 에지 배치 보정

Country Status (3)

Country Link
EP (1) EP3518272A1 (ko)
JP (1) JP7299705B2 (ko)
KR (1) KR102652218B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114093956A (zh) * 2021-11-03 2022-02-25 通威太阳能(合肥)有限公司 一种网格栅线胶水印刷质量检测方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112172155A (zh) * 2020-08-04 2021-01-05 深圳市创必得科技有限公司 3d打印的边缘柔化方法、装置、存储介质及3d打印机
CN113529533A (zh) * 2021-07-12 2021-10-22 江苏三叶人造草坪有限公司 一种自带缓冲垫的免填充草坪

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090168043A1 (en) * 2007-12-31 2009-07-02 Samsung Electronics Co., Ltd. Exposing method using variable shaped beam, and pattern forming method using the same
US20120329289A1 (en) * 2011-06-25 2012-12-27 D2S, Inc. Method and System for Forming Patterns with Charged Particle Beam Lithography
KR20150002850A (ko) * 2012-05-28 2015-01-07 가부시키가이샤 히다치 하이테크놀로지즈 패턴 검사 장치 및 패턴 검사 방법

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6269472B1 (en) * 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US7302111B2 (en) 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
GB2399676B (en) 2003-03-21 2006-02-22 Ims Ionen Mikrofab Syst Apparatus for enhancing the lifetime of stencil masks
GB2414111B (en) 2004-04-30 2010-01-27 Ims Nanofabrication Gmbh Advanced pattern definition for particle-beam processing
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
JP5495540B2 (ja) * 2008-09-18 2014-05-21 株式会社ニューフレアテクノロジー 描画方法及び描画装置
EP2187427B1 (en) 2008-11-17 2011-10-05 IMS Nanofabrication AG Method for maskless particle-beam exposure
EP2190003B1 (en) * 2008-11-20 2014-10-01 IMS Nanofabrication AG Constant current multi-beam patterning
US8198601B2 (en) 2009-01-28 2012-06-12 Ims Nanofabrication Ag Method for producing a multi-beam deflector array device having electrodes
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
EP3070528B1 (en) 2015-03-17 2017-11-01 IMS Nanofabrication AG Multi-beam writing of pattern areas of relaxed critical dimension
US9653263B2 (en) * 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
US10381196B2 (en) * 2015-03-23 2019-08-13 Nuflare Technology, Inc. Charged particle beam writing apparatus and method for calculating irradiation coefficient
JP2016225357A (ja) * 2015-05-27 2016-12-28 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
EP3258479B1 (en) 2016-06-13 2019-05-15 IMS Nanofabrication GmbH Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090168043A1 (en) * 2007-12-31 2009-07-02 Samsung Electronics Co., Ltd. Exposing method using variable shaped beam, and pattern forming method using the same
US20120329289A1 (en) * 2011-06-25 2012-12-27 D2S, Inc. Method and System for Forming Patterns with Charged Particle Beam Lithography
KR20150002850A (ko) * 2012-05-28 2015-01-07 가부시키가이샤 히다치 하이테크놀로지즈 패턴 검사 장치 및 패턴 검사 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114093956A (zh) * 2021-11-03 2022-02-25 通威太阳能(合肥)有限公司 一种网格栅线胶水印刷质量检测方法

Also Published As

Publication number Publication date
KR102652218B1 (ko) 2024-03-28
JP2019165199A (ja) 2019-09-26
EP3518272A1 (en) 2019-07-31
JP7299705B2 (ja) 2023-06-28

Similar Documents

Publication Publication Date Title
US9520268B2 (en) Compensation of imaging deviations in a particle-beam writer using a convolution kernel
EP3096342B1 (en) Bi-directional double-pass multi-beam writing
US10651010B2 (en) Non-linear dose- and blur-dependent edge placement correction
US10522329B2 (en) Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US10325757B2 (en) Advanced dose-level quantization of multibeam-writers
KR102645978B1 (ko) 멀티­빔 기록 장치에서 노광되는 노광 패턴에서의 선량­관련 피처 재형성
KR102380475B1 (ko) 다중 빔 라이터의 단거리 변위의 보정
KR20160111866A (ko) 완화된 임계 치수의 패턴 에어리어의 멀티빔 기록
JP7178841B2 (ja) 限定的位置付けグリッドを用いるターゲットの照射方法
KR102652218B1 (ko) 비선형 도즈 및 블러 의존 에지 배치 보정
EP3355337B1 (en) Advanced dose-level quantization for multibeam-writers
KR20220159285A (ko) 프로그래밍 가능한 직접 기록 장치를 위한 패턴 데이터 처리
KR102327865B1 (ko) 경사진 노출 스트라이프를 사용한 멀티빔 기록

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant