KR20190034149A - COMPOSITIONS, RESINS AND COMPOSITIONS, AND RESIST PATTERN FORMING METHOD - Google Patents

COMPOSITIONS, RESINS AND COMPOSITIONS, AND RESIST PATTERN FORMING METHOD Download PDF

Info

Publication number
KR20190034149A
KR20190034149A KR1020187035675A KR20187035675A KR20190034149A KR 20190034149 A KR20190034149 A KR 20190034149A KR 1020187035675 A KR1020187035675 A KR 1020187035675A KR 20187035675 A KR20187035675 A KR 20187035675A KR 20190034149 A KR20190034149 A KR 20190034149A
Authority
KR
South Korea
Prior art keywords
group
formula
carbon atoms
compound
integer
Prior art date
Application number
KR1020187035675A
Other languages
Korean (ko)
Inventor
마사토시 에치고
Original Assignee
미쯔비시 가스 케미칼 컴파니, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 filed Critical 미쯔비시 가스 케미칼 컴파니, 인코포레이티드
Publication of KR20190034149A publication Critical patent/KR20190034149A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C271/00Derivatives of carbamic acids, i.e. compounds containing any of the groups, the nitrogen atom not being part of nitro or nitroso groups
    • C07C271/06Esters of carbamic acids
    • C07C271/08Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms
    • C07C271/10Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C271/16Esters of carbamic acids having oxygen atoms of carbamate groups bound to acyclic carbon atoms with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of hydrocarbon radicals substituted by singly-bound oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D311/00Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings
    • C07D311/02Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings ortho- or peri-condensed with carbocyclic rings or ring systems
    • C07D311/78Ring systems having three or more relevant rings
    • C07D311/80Dibenzopyrans; Hydrogenated dibenzopyrans
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C271/00Derivatives of carbamic acids, i.e. compounds containing any of the groups, the nitrogen atom not being part of nitro or nitroso groups
    • C07C271/06Esters of carbamic acids
    • C07C271/40Esters of carbamic acids having oxygen atoms of carbamate groups bound to carbon atoms of six-membered aromatic rings
    • C07C271/42Esters of carbamic acids having oxygen atoms of carbamate groups bound to carbon atoms of six-membered aromatic rings with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C271/48Esters of carbamic acids having oxygen atoms of carbamate groups bound to carbon atoms of six-membered aromatic rings with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of hydrocarbon radicals substituted by singly-bound oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C271/00Derivatives of carbamic acids, i.e. compounds containing any of the groups, the nitrogen atom not being part of nitro or nitroso groups
    • C07C271/06Esters of carbamic acids
    • C07C271/40Esters of carbamic acids having oxygen atoms of carbamate groups bound to carbon atoms of six-membered aromatic rings
    • C07C271/42Esters of carbamic acids having oxygen atoms of carbamate groups bound to carbon atoms of six-membered aromatic rings with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C271/54Esters of carbamic acids having oxygen atoms of carbamate groups bound to carbon atoms of six-membered aromatic rings with the nitrogen atoms of the carbamate groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of hydrocarbon radicals substituted by carboxyl groups
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D311/00Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings
    • C07D311/02Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings ortho- or peri-condensed with carbocyclic rings or ring systems
    • C07D311/78Ring systems having three or more relevant rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F120/00Homopolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F120/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F120/10Esters
    • C08F120/34Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate
    • C08F120/36Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate containing oxygen in addition to the carboxy oxygen, e.g. 2-N-morpholinoethyl (meth)acrylate or 2-isocyanatoethyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/34Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate
    • C08F20/36Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate containing oxygen in addition to the carboxy oxygen, e.g. 2-N-morpholinoethyl (meth)acrylate or 2-isocyanatoethyl (meth)acrylate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/031Organic compounds not covered by group G03F7/029
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Abstract

하기 식(0)으로 표시되는, 화합물.

Figure pct00475

(0)
(식(0) 중, RY는, 수소원자, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기이고, RZ는, 탄소수 1~60의 N가의 기 또는 단결합이고, RT는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 하기 식(0-1)로 표시되는 기로 치환된 기이고, 해당 알킬기, 해당 아릴기, 해당 알케닐기, 해당 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, RT의 적어도 1개는 하기 식(0-1)로 표시되는 기를 포함하고, X는, 산소원자, 황원자 또는 무가교인 것을 나타내고, m은, 각각 독립적으로 0~9의 정수이고, 여기서, m의 적어도 1개는 1~9의 정수이고, N은, 1~4의 정수이고, N이 2 이상의 정수인 경우, N개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고, r은, 각각 독립적으로 0~2의 정수이다.)
Figure pct00476

(0-1)
(식(0-1) 중, RX는, 수소원자 또는 메틸기이다.)(0). ≪ / RTI >
Figure pct00475

(0)
(Wherein R Y is a hydrogen atom, an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms, R Z is an N-valent group or a single bond having 1 to 60 carbon atoms, and R T is , Independently of each other, an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, An alkyl group having 1 to 30 carbon atoms, a halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group with a group represented by the following formula (0-1) The aryl group, the corresponding alkenyl group and the corresponding alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R T includes a group represented by the following formula (0-1) X represents an oxygen atom, a sulfur atom or a non- and m are each independently an integer of 0 to 9, provided that at least one of m is an integer of 1 to 9, N is an integer of 1 to 4, and when N is an integer of 2 or more, May be the same or different, and r is independently an integer of 0 to 2.)
Figure pct00476

(0-1)
(In the formula (0-1), R X is a hydrogen atom or a methyl group.)

Description

화합물, 수지 및 조성물, 그리고 레지스트패턴 형성방법 및 회로패턴 형성방법COMPOSITIONS, RESINS AND COMPOSITIONS, AND RESIST PATTERN FORMING METHOD

본 발명은, 특정 구조를 갖는 화합물, 수지 및 이들을 함유하는 조성물에 관한 것이다. 또한, 이 조성물을 이용하는 패턴 형성방법(레지스트패턴 형성방법 및 회로패턴 형성방법)에 관한 것이다.The present invention relates to a compound having a specific structure, a resin, and a composition containing the same. The present invention also relates to a pattern forming method (a resist pattern forming method and a circuit pattern forming method) using the composition.

반도체 디바이스의 제조에 있어서, 포토레지스트재료를 이용한 리소그래피에 의한 미세가공이 행해지고 있는데, 최근, LSI의 고집적화와 고속도화에 수반하여, 패턴룰에 의한 추가적인 미세화가 요구되고 있다. 또한, 레지스트패턴 형성시에 사용하는 리소그래피용의 광원은, KrF엑시머 레이저(248nm)에서 ArF엑시머 레이저(193nm)로 단파장화되고 있으며, 극단자외광(EUV, 13.5nm)의 도입도 예상되고 있다.In the production of semiconductor devices, fine processing by lithography using a photoresist material has been performed. Recently, along with the increase in integration and the increase in the speed of LSI, further miniaturization by pattern rules has been demanded. The lithography light source used for forming a resist pattern is short-wavelengthed by an ArF excimer laser (193 nm) in a KrF excimer laser (248 nm), and extreme ultraviolet light (EUV, 13.5 nm) is also expected to be introduced.

그러나, 종래의 고분자계 레지스트재료를 이용하는 리소그래피에서는, 그 분자량이 1만~10만 정도로 크고, 분자량 분포도 넓으므로, 패턴 표면에 러프니스가 생겨 패턴치수의 제어가 곤란해져, 미세화에 한계가 있다.However, in lithography using a conventional high molecular weight resist material, the molecular weight is as large as about 10,000 to 100,000 and the molecular weight distribution is wide. As a result, roughness is generated on the pattern surface and control of the pattern dimension becomes difficult.

이에, 지금까지, 보다 해상성이 높은 레지스트패턴을 부여하기 위해, 각종 저분자량 레지스트재료가 제안되어 있다. 저분자량 레지스트재료는 분자사이즈가 작다는 점에서, 해상성이 높고, 러프니스가 작은 레지스트패턴을 부여할 것으로 기대된다.To date, various low-molecular-weight resist materials have been proposed in order to impart a resist pattern with higher resolution. The low molecular weight resist material is expected to give a resist pattern with high resolution and low roughness because of its small molecular size.

현재, 이러한 저분자계 레지스트재료로서, 여러가지의 것이 알려져 있다. 예를 들어, 저분자량 다핵폴리페놀 화합물을 주성분으로 이용하는 알칼리현상형의 네거티브형 감방사선성 조성물(예를 들어, 특허문헌 1 및 특허문헌 2 참조)이 제안되어 있으며, 고내열성을 갖는 저분자량 레지스트재료의 후보로서, 저분자량 환상폴리페놀 화합물을 주성분으로 이용하는 알칼리현상형의 네거티브형 감방사선성 조성물(예를 들어, 특허문헌 3 및 비특허문헌 1 참조)도 제안되어 있다. 또한, 레지스트재료의 베이스 화합물로서, 폴리페놀 화합물이, 저분자량이면서 고내열성을 부여할 수 있고, 레지스트패턴의 해상성이나 러프니스의 개선에 유용한 것이 알려져 있다(예를 들어, 비특허문헌 2 참조).At present, various such low-molecular-weight resist materials are known. For example, an alkali developing type negative radiation sensitive composition (see, for example, Patent Document 1 and Patent Document 2) using a low molecular weight polynuclear polyphenol compound as a main component has been proposed, and a low molecular weight resist having high heat resistance As a candidate for a material, an alkali developing type negative radiation sensitive composition using a low molecular weight cyclic polyphenol compound as a main component (see, for example, Patent Document 3 and Non-Patent Document 1) has also been proposed. Further, it is known that a polyphenol compound as a base compound of a resist material can impart a high heat resistance with a low molecular weight, and is useful for improving the resolution and roughness of a resist pattern (see, for example, Non-Patent Document 2 ).

본 발명자들은, 에칭내성이 우수함과 함께, 용매에 가용이고 습식 프로세스를 적용할 수 있는 재료로서, 특정 구조의 화합물 및 유기용매를 함유하는 레지스트 조성물(예를 들어, 특허문헌 4를 참조)을 제안하고 있다.The present inventors have proposed a resist composition (for example, see Patent Document 4) containing a compound having a specific structure and an organic solvent as a material that is excellent in etching resistance and applicable to a solvent and can be subjected to a wet process .

또한, 레지스트패턴의 미세화가 진행되면, 해상도의 문제 혹은 현상 후에 레지스트패턴이 무너진다는 문제가 발생하므로, 레지스트의 박막화가 요망되게 된다. 그런데, 단순히 레지스트의 박막화를 행하면, 기판가공에 충분한 레지스트패턴의 막두께를 얻기가 어려워진다. 이에 따라, 레지스트패턴뿐만 아니라, 레지스트와 가공할 반도체 기판 사이에 레지스트 하층막을 제작하고, 이 레지스트 하층막에도 기판가공시의 마스크로서의 기능을 갖게 할 프로세스가 필요해졌다.Further, when the resist pattern becomes finer, there arises a problem of resolution or a problem that the resist pattern collapses after development. Therefore, it is desired to reduce the thickness of the resist. However, if the thinning of the resist is simply performed, it becomes difficult to obtain a film thickness of the resist pattern sufficient for processing the substrate. Thus, there has been a need for a process for manufacturing a resist underlayer film between a resist and a semiconductor substrate to be processed, as well as a resist pattern, so that the resist underlayer film has a function as a mask at the time of substrate processing.

현재, 이러한 프로세스용의 레지스트 하층막으로서, 여러가지의 것이 알려져 있다. 예를 들어, 종래의 에칭속도가 빠른 레지스트 하층막과는 달리, 레지스트에 가까운 드라이에칭속도의 선택비를 갖는 리소그래피용 레지스트 하층막을 실현하는 것으로서, 소정의 에너지가 인가됨으로써 말단기가 탈리되어 설폰산잔기를 발생시키는 치환기를 적어도 갖는 수지성분과 용매를 함유하는 다층 레지스트 프로세스용 하층막 형성재료가 제안되어 있다(예를 들어, 특허문헌 5 참조). 또한, 레지스트에 비해 작은 드라이에칭속도의 선택비를 갖는 리소그래피용 레지스트 하층막을 실현하는 것으로서, 특정 반복단위를 갖는 중합체를 포함하는 레지스트 하층막재료가 제안되어 있다(예를 들어, 특허문헌 6 참조). 나아가, 반도체 기판에 비해 작은 드라이에칭속도의 선택비를 갖는 리소그래피용 레지스트 하층막을 실현하는 것으로서, 아세나프틸렌류의 반복단위와, 치환 또는 비치환된 하이드록시기를 갖는 반복단위를 공중합하여 이루어지는 중합체를 포함하는 레지스트 하층막재료가 제안되어 있다(예를 들어, 특허문헌 7 참조).At present, various kinds of resist undercoat films for such processes are known. For example, unlike a conventional resist underlayer film having a high etching rate, a resist underlayer film having a selectivity ratio of a dry etching rate close to that of a resist is realized. When a predetermined energy is applied, the terminal group is eliminated, (See, for example, Patent Document 5). The lower layer film forming material for a multi-layer resist process contains a resin component having at least a substituent that generates a low refractive index layer and a solvent. Furthermore, a resist underlayer film material containing a polymer having a specific repeating unit has been proposed for realizing a resist underlayer film having a selectivity of a dry etching rate lower than that of a resist (for example, refer to Patent Document 6) . Furthermore, to realize a resist underlayer film having a selectivity of a dry etching rate lower than that of a semiconductor substrate, a polymer obtained by copolymerizing a repeating unit of acenaphthylene and a repeating unit having a substituted or unsubstituted hydroxy group (For example, refer to Patent Document 7).

한편, 이러한 종류의 레지스트 하층막에 있어서 높은 에칭내성을 갖는 재료로는, 메탄가스, 에탄가스, 아세틸렌가스 등을 원료에 이용한 CVD에 의해 형성된 아몰퍼스카본 하층막이 잘 알려져 있다. 그러나, 프로세스 상의 관점으로부터, 스핀코트법이나 스크린인쇄 등의 습식 프로세스에서 레지스트 하층막을 형성할 수 있는 레지스트 하층막재료가 요구되고 있다.On the other hand, an amorphous carbon underlayer film formed by CVD using a methane gas, an ethane gas, an acetylene gas, or the like as a raw material is well known as a material having a high etching resistance in such a resist underlayer film. From the viewpoint of processes, however, there is a demand for a resist underlayer film material capable of forming a resist underlayer film in a wet process such as spin coating or screen printing.

또한, 본 발명자들은, 에칭내성이 우수함과 함께, 내열성이 높고, 용매에 가용이고 습식 프로세스를 적용할 수 있는 재료로서, 특정 구조의 화합물 및 유기용매를 함유하는 리소그래피용 하층막 형성 조성물(예를 들어, 특허문헌 8 참조)을 제안하고 있다.Furthermore, the present inventors have found that a composition for forming a lower layer for lithography (for example, a composition for forming a lower layer film for lithography, which contains a compound having a specific structure and an organic solvent, as a material which is excellent in etching resistance, high in heat resistance, For example, Patent Document 8).

한편, 3층 프로세스에 있어서의 레지스트 하층막의 형성에 있어서 이용되는 중간층의 형성방법에 관해서는, 예를 들어, 실리콘질화막의 형성방법(예를 들어, 특허문헌 9 참조)이나, 실리콘질화막의 CVD 형성방법(예를 들어, 특허문헌 10 참조)이 알려져 있다. 또한, 3층 프로세스용의 중간층재료로는, 실세스퀴옥산베이스의 규소 화합물을 포함하는 재료가 알려져 있다(예를 들어, 특허문헌 11 및 12 참조).On the other hand, as to the method of forming the intermediate layer used in the formation of the resist underlayer film in the three-layer process, for example, a method of forming a silicon nitride film (for example, see Patent Document 9) (See, for example, Patent Document 10) are known. As an intermediate layer material for a three-layer process, a material containing a silsesquioxane-based silicon compound is known (see, for example, Patent Documents 11 and 12).

나아가 광학부품 형성 조성물로서, 여러가지의 것이 제안되어 있다. 예를 들어, 아크릴계 수지를 들 수 있다(예를 들어, 특허문헌 13~14 참조).Furthermore, various optical component forming compositions have been proposed. For example, an acrylic resin (see, for example, Patent Documents 13 to 14).

일본특허공개 2005-326838호 공보Japanese Patent Application Laid-Open No. 2005-326838 일본특허공개 2008-145539호 공보Japanese Patent Application Laid-Open No. 2008-145539 일본특허공개 2009-173623호 공보Japanese Patent Application Laid-Open No. 2009-173623 국제공개 제2013/024778호International Publication No. 2013/024778 일본특허공개 2004-177668호 공보Japanese Patent Application Laid-Open No. 2004-177668 일본특허공개 2004-271838호 공보Japanese Patent Application Laid-Open No. 2004-271838 일본특허공개 2005-250434호 공보Japanese Patent Application Laid-Open No. 2005-250434 국제공개 제2013/024779호International Publication No. 2013/024779 일본특허공개 2002-334869호 공보Japanese Patent Application Laid-Open No. 2002-334869 국제공개 제2004/066377호International Publication No. 2004/066377 일본특허공개 2007-226170호 공보Japanese Patent Application Laid-Open No. 2007-226170 일본특허공개 2007-226204호 공보Japanese Patent Application Laid-Open No. 2007-226204 일본특허공개 2010-138393호 공보Japanese Patent Application Laid-Open No. 2010-138393 일본특허공개 2015-174877호 공보Japanese Patent Application Laid-Open No. 2015-174877

T.Nakayama, M.Nomura, K.Haga, M.Ueda: Bull. Chem. Soc. Jpn., 71, 2979(1998)T. Nakayama, M. Nomura, K. Haga, M. Ueda: Bull. Chem. Soc. Jpn., 71, 2979 (1998) 오카자키신지, 외 22명 「포토레지스트재료 개발의 신전개」 주식회사 씨엠씨 출판, 2009년 9월, p.211-259Shinji Okazaki, et al. 22 "New Development of Photoresist Material Development" by MC Corporation, September 2009, p.211-259

상기 서술한 바와 같이, 종래 수많은 레지스트 용도용(向け) 리소그래피용 막형성 조성물 및 하층막 용도용 리소그래피용 막형성 조성물이 제안되어 있는데, 스핀코트법이나 스크린인쇄 등의 습식 프로세스를 적용할 수 있는 높은 용매용해성을 가질 뿐만 아니라, 내열성 및 에칭내성을 높은 차원으로 양립시킨 것은 없어, 새로운 재료의 개발이 요구되고 있다. 또한, 알칼리현상성, 광감도 및 해상도가 우수한 레지스트 영구막을 얻기에 호적한 새로운 재료의 개발도 요구되고 있다.As described above, a number of conventionally proposed film forming compositions for lithography for use in resist applications and film forming compositions for lithography for use in a lower layer film have been proposed, and a high-temperature Not only has solubility in solvents, but also high heat resistance and etching resistance are not compatible with each other at a high level, and development of new materials is required. In addition, development of a new material suitable for obtaining a resist permanent film excellent in alkali developability, photosensitivity and resolution is also required.

나아가, 종래 수많은 광학부재용 조성물이 제안되어 있으나, 내열성, 투명성 및 굴절률을 높은 차원으로 양립시킨 것은 없어, 새로운 재료의 개발이 요구되고 있다.Furthermore, although a large number of conventional compositions for optical members have been proposed, there is no compatibility with heat resistance, transparency and refractive index at a high level, and development of new materials is required.

본 발명은, 상기 종래 기술의 과제를 감안하여 이루어진 것으로, 그 목적은, 습식 프로세스를 적용할 수 있고, 내열성이 우수하며, 용해성 및 에칭내성이 우수한 포토레지스트 및 포토레지스트용 하층막을 형성하기 위해 유용한, 화합물, 수지 및 조성물을 제공하는 것에 있다. 또한, 이 조성물을 이용한 레지스트막, 레지스트 하층막, 레지스트 영구막, 패턴 형성방법을 제공하는 것에 있다. 더 나아가서는, 광학부재용 조성물을 제공하는 것에 있다.SUMMARY OF THE INVENTION The present invention has been made in view of the above problems of the prior art, and an object of the present invention is to provide a photoresist and a photoresist lower layer film which can apply a wet process and has excellent heat resistance and excellent solubility and etching resistance. , A compound, a resin and a composition. It is another object of the present invention to provide a resist film, a resist underlayer film, a resist permanent film, and a pattern forming method using the composition. And further to provide a composition for an optical member.

본 발명자들은, 상기 종래 기술의 과제를 해결하기 위해 예의검토를 거듭한 결과, 특정구조를 갖는 화합물 또는 수지를 이용함으로써, 상기 종래 기술의 과제를 해결할 수 있는 것을 발견하여, 본 발명을 완성하였다.DISCLOSURE OF THE INVENTION The inventors of the present invention have made intensive investigations to solve the problems of the prior art, and as a result, they found that the aforementioned problems can be solved by using a compound or a resin having a specific structure, and completed the present invention.

즉, 본 발명은, 다음과 같다.That is, the present invention is as follows.

[1][One]

하기 식(0)으로 표시되는, 화합물.(0). ≪ / RTI >

[화학식 1][Chemical Formula 1]

Figure pct00001
Figure pct00001

(0)(0)

(식(0) 중, RY는, 수소원자, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기이고,(In the formula (0), R Y is a hydrogen atom, an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms,

RZ는, 탄소수 1~60의 N가의 기 또는 단결합이고,R Z is an N-valent group or a single bond having 1 to 60 carbon atoms,

RT는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 하기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, RT의 적어도 1개는 하기 식(0-1)로 표시되는 기를 포함하고,R T each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R T is a group represented by the following formula (0-1) Including,

X는, 산소원자, 황원자 또는 무가교인 것을 나타내고,X represents an oxygen atom, a sulfur atom or a non-

m은, 각각 독립적으로 0~9의 정수이고, 여기서, m의 적어도 1개는 1~9의 정수이고,m is independently an integer of 0 to 9, provided that at least one of m is an integer of 1 to 9,

N은, 1~4의 정수이고, N이 2 이상의 정수인 경우, N개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,N is an integer of 1 to 4, and when N is an integer of 2 or more, the structural formulas within N [] may be the same or different,

r은, 각각 독립적으로 0~2의 정수이다.)r is independently an integer of 0 to 2.)

[화학식 2](2)

Figure pct00002
Figure pct00002

(0-1)(0-1)

(식(0-1) 중, RX는, 수소원자 또는 메틸기이다.)(In the formula (0-1), R X is a hydrogen atom or a methyl group.)

[2][2]

상기 식(0)으로 표시되는 화합물이 하기 식(1)로 표시되는 화합물인, [1]에 기재된 화합물.The compound according to [1], wherein the compound represented by the formula (0) is a compound represented by the following formula (1).

[화학식 3](3)

Figure pct00003
Figure pct00003

(1)(One)

(식(1) 중, R0은, 상기 RY와 동의이고,(In the formula (1), R 0 is synonymous with R Y ,

R1은, 탄소수 1~60의 n가의 기 또는 단결합이고,R 1 is an n-valent group or a single bond having 1 to 60 carbon atoms,

R2~R5는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2~R5의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,Each of R 2 to R 5 independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms which may have a substituent, a halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group is substituted with a group represented by the formula (0-1) And the alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2 to R 5 is a group represented by the formula (0- 1), < / RTI >

m2 및 m3은, 각각 독립적으로, 0~8의 정수이고,m 2 and m 3 are each independently an integer of 0 to 8,

m4 및 m5는, 각각 독립적으로, 0~9의 정수이고,m 4 and m 5 are each independently an integer of 0 to 9,

단, m2, m3, m4 및 m5는 동시에 0이 되는 일이 없고,Provided that m 2 , m 3 , m 4 and m 5 do not become 0 at the same time,

n은 상기 N과 동의이고, 여기서, n이 2 이상의 정수인 경우, n개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,n is a synonym with the above N, wherein when n is an integer of 2 or more, the structural formulas within n [] may be the same or different,

p2~p5는, 상기 r과 동의이다.)and p 2 to p 5 are synonymous with r.

[3][3]

상기 식(0)으로 표시되는 화합물이 하기 식(2)로 표시되는 화합물인, [1]에 기재된 화합물.The compound according to [1], wherein the compound represented by the formula (0) is a compound represented by the following formula (2).

[화학식 4][Chemical Formula 4]

Figure pct00004
Figure pct00004

(2)(2)

(식(2) 중, R0A는, 상기 RY와 동의이고,(In formula (2), R 0A is synonymous with R Y ,

R1A는, 탄소수 1~60의 nA가의 기 또는 단결합이고,R 1A is an n A -valent group or a single bond having 1 to 60 carbon atoms,

R2A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2A의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,R 2A each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydrogen atom of a hydroxyl group is substituted with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2A is a group represented by the formula (0-1) Including,

nA는, 상기 N과 동의이고, 여기서, nA가 2 이상의 정수인 경우, nA개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,n A is the same as the above N, wherein when n A is an integer of 2 or more, the structural formulas within n A [] may be the same or different,

XA는, 산소원자, 황원자 또는 무가교인 것을 나타내고,X A represents an oxygen atom, a sulfur atom or a non-

m2A는, 각각 독립적으로, 0~7의 정수이고, 단, 적어도 1개의 m2A는 1~7의 정수이고,m 2A is independently an integer of 0 to 7, provided that at least one of m 2A is an integer of 1 to 7,

qA는, 각각 독립적으로, 0 또는 1이다.)q A are each independently 0 or 1.)

[4][4]

상기 식(1)로 표시되는 화합물이 하기 식(1-1)로 표시되는 화합물인, [2]에 기재된 화합물.The compound according to [2], wherein the compound represented by the formula (1) is a compound represented by the following formula (1-1).

[화학식 5][Chemical Formula 5]

Figure pct00005
Figure pct00005

(1-1)(1-1)

(식(1-1) 중, R0, R1, R4, R5, n, p2~p5, m4 및 m5는, 상기 식(1)에 있어서의 것과 동의이고,(In the formula (1-1), R 0 , R 1 , R 4 , R 5 , n, p 2 to p 5 , m 4 and m 5 are the same as those in the formula (1)

R6~R7은, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기이고,R 6 to R 7 each independently represent an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms, A halogen atom, a nitro group, an amino group, a carboxylic acid group, and a thiol group,

R10~R11은, 각각 독립적으로, 수소원자 또는 하기 식(0-2)로 표시되는 기이고,R 10 to R 11 are each independently a hydrogen atom or a group represented by the following formula (0-2)

여기서, R10~R11의 적어도 1개는 하기 식(0-2)로 표시되는 기이고,At least one of R 10 to R 11 is a group represented by the following formula (0-2)

m6 및 m7은, 각각 독립적으로, 0~7의 정수이고,m 6 and m 7 are each independently an integer of 0 to 7,

단, m4, m5, m6 및 m7은 동시에 0이 되는 일은 없다.)Provided that m 4 , m 5 , m 6 and m 7 do not become 0 at the same time.)

[화학식 6][Chemical Formula 6]

Figure pct00006
Figure pct00006

(0-2)(0-2)

(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)

[5][5]

상기 식(1-1)로 표시되는 화합물이 하기 식(1-2)로 표시되는 화합물인, [4]에 기재된 화합물.The compound according to [4], wherein the compound represented by the formula (1-1) is a compound represented by the following formula (1-2).

[화학식 7](7)

Figure pct00007
Figure pct00007

(1-2)(1-2)

(식(1-2) 중, R0, R1, R6, R7, R10, R11, n, p2~p5, m6 및 m7은, 상기 식(1-1)에 있어서의 것과 동의이고,(Wherein R 0 , R 1 , R 6 , R 7 , R 10 , R 11 , n, p 2 to p 5 , m 6 and m 7 in the formula (1-2) In agreement with what's in it,

R8~R9는, 상기 R6~R7과 동의이고,R 8 to R 9 are as defined above for R 6 to R 7 ,

R12~R13은, 상기 R10~R11과 동의이고,R 12 to R 13 are as defined above for R 10 to R 11 ,

m8 및 m9는, 각각 독립적으로, 0~8의 정수이고,m 8 and m 9 are each independently an integer of 0 to 8,

단, m6, m7, m8 및 m9는 동시에 0이 되는 일은 없다.) However, m 6, m 7, m 8 and m 9 is not at the same time work is zero.)

[6][6]

상기 식(2)로 표시되는 화합물이 하기 식(2-1)로 표시되는 화합물인, [3]에 기재된 화합물.The compound according to [3], wherein the compound represented by the formula (2) is a compound represented by the following formula (2-1).

[화학식 8][Chemical Formula 8]

Figure pct00008
Figure pct00008

(2-1)(2-1)

(식(2-1) 중, R0A, R1A, nA, qA 및 XA는, 상기 식(2)에 있어서의 것과 동의이고,(In the formula (2-1), R 0A , R 1 A , n A , q A and X A are the same as those in the formula (2)

R3A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기이고,R 3A each independently represents a linear, branched or cyclic alkyl group of 1 to 30 carbon atoms which may have a substituent, an aryl group of 6 to 30 carbon atoms which may have a substituent, a carbon number which may have a substituent A halogen atom, a nitro group, an amino group, a carboxylic acid group or a thiol group,

R4A는, 각각 독립적으로, 수소원자 또는 하기 식(0-2)로 표시되는 기이고,R 4A each independently represents a hydrogen atom or a group represented by the following formula (0-2)

여기서, R4A의 적어도 1개는 하기 식(0-2)로 표시되는 기이고,Here, at least one of R 4A is a group represented by the following formula (0-2)

m6A는, 각각 독립적으로, 0~5의 정수이다.)m 6A are each independently an integer of 0 to 5.)

[화학식 9][Chemical Formula 9]

Figure pct00009
Figure pct00009

(0-2)(0-2)

(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)

[7][7]

[1]에 기재된 화합물을 모노머로 하여 얻어지는, 수지.A resin obtained by using the compound described in [1] as a monomer.

[8][8]

하기 식(3)으로 표시되는 구조를 갖는, [7]에 기재된 수지.The resin according to [7], having a structure represented by the following formula (3).

[화학식 10][Chemical formula 10]

Figure pct00010
Figure pct00010

(3)(3)

(식(3) 중, L은, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬렌기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴렌기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕실렌(アルコキシレン)기 또는 단결합이고, 상기 알킬렌기, 상기 아릴렌기, 상기 알콕실렌기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고,(In the formula (3), L represents an alkylene group having 1 to 30 carbon atoms which may have a substituent, an arylene group having 6 to 30 carbon atoms which may have a substituent, an alkoxy group having 1 to 30 carbon atoms And the alkylene group, the arylene group and the alkoxylene group may contain an ether bond, a ketone bond or an ester bond,

R0은, 상기 RY와 동의이고,R 0 is synonymous with R Y ,

R1은, 탄소수 1~60의 n가의 기 또는 단결합이고,R 1 is an n-valent group or a single bond having 1 to 60 carbon atoms,

R2~R5는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2~R5의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,Each of R 2 to R 5 independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms which may have a substituent, a halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group is substituted with a group represented by the formula (0-1) And the alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2 to R 5 is a group represented by the formula (0- 1), < / RTI >

m2 및 m3은, 각각 독립적으로, 0~8의 정수이고,m 2 and m 3 are each independently an integer of 0 to 8,

m4 및 m5는, 각각 독립적으로, 0~9의 정수이고,m 4 and m 5 are each independently an integer of 0 to 9,

단, m2, m3, m4 및 m5는 동시에 0이 되는 일이 없고,Provided that m 2 , m 3 , m 4 and m 5 do not become 0 at the same time,

n은 상기 N과 동의이고, 여기서, n이 2 이상의 정수인 경우, n개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,n is a synonym with the above N, wherein when n is an integer of 2 or more, the structural formulas within n [] may be the same or different,

p2~p5는, 상기 r과 동의이다.)and p 2 to p 5 are synonymous with r.

[9][9]

하기 식(4)로 표시되는 구조를 갖는, [7]에 기재된 수지.The resin according to [7], having a structure represented by the following formula (4).

[화학식 11](11)

Figure pct00011
Figure pct00011

(4)(4)

(식(4) 중, L은, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬렌기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴렌기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕실렌기 또는 단결합이고, 상기 알킬렌기, 상기 아릴렌기, 상기 알콕실렌기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고,(In the formula (4), L represents an alkylene group having 1 to 30 carbon atoms which may have a substituent, an arylene group having 6 to 30 carbon atoms which may have a substituent, an alkoxy group having 1 to 30 carbon atoms A silylene group or a single bond, and the alkylene group, the arylene group and the alkoxylene group may contain an ether bond, a ketone bond or an ester bond,

R0A는, 상기 RY와 동의이고,R 0A is synonymous with R Y ,

R1A는, 탄소수 1~30의 nA가의 기 또는 단결합이고,R 1A is an n A -valent group having 1 to 30 carbon atoms or a single bond,

R2A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2A의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,R 2A each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydrogen atom of a hydroxyl group is substituted with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2A is a group represented by the formula (0-1) Including,

nA는, 상기 N과 동의이고, 여기서, nA가 2 이상의 정수인 경우, nA개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,n A is the same as the above N, wherein when n A is an integer of 2 or more, the structural formulas within n A [] may be the same or different,

XA는, 산소원자, 황원자 또는 무가교인 것을 나타내고,X A represents an oxygen atom, a sulfur atom or a non-

m2A는, 각각 독립적으로, 0~7의 정수이고, 단, 적어도 1개의 m2A는 1~6의 정수이고,m 2A is independently an integer of 0 to 7, provided that at least one of m 2A is an integer of 1 to 6,

qA는, 각각 독립적으로, 0 또는 1이다.)q A are each independently 0 or 1.)

[10][10]

[1]~[6] 중 어느 하나에 기재된 화합물 및 [7]~[9] 중 어느 하나에 기재된 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유하는, 조성물.A composition comprising at least one member selected from the group consisting of the compounds described in any one of [1] to [6] and the resins described in any one of [7] to [9].

[11][11]

용매를 추가로 함유하는, [10]에 기재된 조성물.The composition according to [10], further comprising a solvent.

[12][12]

산발생제를 추가로 함유하는, [10] 또는 [11]에 기재된 조성물.The composition according to [10] or [11], further comprising an acid generator.

[13][13]

가교제를 추가로 함유하는, [10]~[12] 중 어느 하나에 기재된 조성물.The composition according to any one of [10] to [12], further comprising a crosslinking agent.

[14][14]

상기 가교제는, 페놀 화합물, 에폭시 화합물, 시아네이트 화합물, 아미노 화합물, 벤조옥사진 화합물, 멜라민 화합물, 구아나민 화합물, 글리콜우릴 화합물, 우레아 화합물, 이소시아네이트 화합물 및 아지드 화합물로 이루어지는 군으로부터 선택되는 적어도 1종인, [13]에 기재된 조성물.The crosslinking agent is at least one selected from the group consisting of a phenol compound, an epoxy compound, a cyanate compound, an amino compound, a benzoxazine compound, a melamine compound, a guanamine compound, a glycoluril compound, a urea compound, an isocyanate compound and an azide compound The composition according to [13].

[15][15]

상기 가교제는, 적어도 1개의 알릴기를 갖는, [13] 또는 [14]에 기재된 조성물.The composition according to [13] or [14], wherein the crosslinking agent has at least one allyl group.

[16][16]

상기 가교제의 함유비율이, [1]~[6] 중 어느 하나에 기재된 화합물 및 [7]~[9] 중 어느 하나에 기재된 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유하는 조성물의 합계질량을 100질량부로 한 경우에, 0.1~100질량부인, [13]~[15] 중 어느 하나에 기재된 조성물.The total mass of the composition containing at least one member selected from the group consisting of the compound described in any one of [1] to [6] and the resin described in any one of [7] to [9] Is from 0.1 to 100 parts by weight based on 100 parts by weight of the total amount of the composition.

[17][17]

가교촉진제를 추가로 함유하는, [13]~[16] 중 어느 하나에 기재된 조성물.The composition according to any one of [13] to [16], further comprising a crosslinking accelerator.

[18][18]

상기 가교촉진제는, 아민류, 이미다졸류, 유기 포스핀류, 및 루이스산으로 이루어지는 군으로부터 선택되는 적어도 1종인, [17]에 기재된 조성물.The composition according to [17], wherein the crosslinking accelerator is at least one selected from the group consisting of amines, imidazoles, organic phosphines, and Lewis acids.

[19][19]

상기 가교촉진제의 함유비율이, [1]~[6] 중 어느 하나에 기재된 화합물 및 [7]~[9] 중 어느 하나에 기재된 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유하는 조성물의 합계질량을 100질량부로 한 경우에, 0.1~5질량부인, [17] 또는 [18]에 기재된 조성물.The total amount of the composition containing at least one member selected from the group consisting of the compound described in any one of [1] to [6] and the resin described in any one of [7] to [9] The composition according to [17] or [18], wherein the amount is 0.1 to 5 parts by mass when the mass is 100 parts by mass.

[20][20]

라디칼 중합개시제를 추가로 함유하는, [10]~[19] 중 어느 하나에 기재된 조성물.The composition according to any one of [10] to [19], further comprising a radical polymerization initiator.

[21][21]

상기 라디칼 중합개시제는, 케톤계 광중합개시제, 유기 과산화물계 중합개시제 및 아조계 중합개시제로 이루어지는 군으로부터 선택되는 적어도 1종인, [10]~[20] 중 어느 하나에 기재된 조성물.The composition according to any one of [10] to [20], wherein the radical polymerization initiator is at least one selected from the group consisting of a ketone-based photopolymerization initiator, an organic peroxide-based polymerization initiator and an azo-based polymerization initiator.

[22][22]

상기 라디칼 중합개시제의 함유비율이, [1]~[6] 중 어느 하나에 기재된 화합물 및 [7]~[9] 중 어느 하나에 기재된 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유하는 조성물의 합계질량을 100질량부로 한 경우에, 0.05~25질량부인, [10]~[21] 중 어느 하나에 기재된 조성물.Wherein the content of the radical polymerization initiator is at least one kind selected from the group consisting of a compound according to any one of [1] to [6] and a resin according to any one of [7] to [9] The composition according to any one of [10] to [21], wherein the amount is 0.05 to 25 parts by mass when the total mass is 100 parts by mass.

[23][23]

리소그래피용 막 형성에 이용되는, [10]~[22] 중 어느 하나에 기재된 조성물.The composition according to any one of [10] to [22], which is used for film formation for lithography.

[24][24]

레지스트 영구막 형성에 이용되는, [10]~[22] 중 어느 하나에 기재된 조성물.The composition according to any one of [10] to [22], which is used for resist permanent film formation.

[25][25]

광학부품 형성에 이용되는, [10>~[22] 중 어느 하나에 기재된 조성물.The composition according to any one of [10] to [22], which is used for forming an optical component.

[26][26]

기판 상에, [23]에 기재된 조성물을 이용하여 포토레지스트층을 형성한 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상을 행하는 공정을 포함하는, 레지스트패턴 형성방법.A step of forming a photoresist layer on the substrate using the composition described in [23], and then irradiating a predetermined region of the photoresist layer with radiation to perform development.

[27][27]

기판 상에, [23]에 기재된 조성물을 이용하여 하층막을 형성하고, 상기 하층막 상에, 적어도 1층의 포토레지스트층을 형성한 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상을 행하는 공정을 포함하는, 레지스트패턴 형성방법.Forming a lower layer film on the substrate using the composition described in [23], forming at least one photoresist layer on the lower layer film, irradiating a predetermined region of the photoresist layer with radiation, Thereby forming a resist pattern.

[28][28]

기판 상에, [23]에 기재된 조성물을 이용하여 하층막을 형성하고, 상기 하층막 상에, 레지스트 중간층막재료를 이용하여 중간층막을 형성하고, 상기 중간층막 상에, 적어도 1층의 포토레지스트층을 형성한 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상하여 레지스트패턴을 형성하고, 그 후, 상기 레지스트패턴을 마스크로 하여 상기 중간층막을 에칭하고, 얻어진 중간층막패턴을 에칭마스크로 하여 상기 하층막을 에칭하고, 얻어진 하층막패턴을 에칭마스크로 하여 기판을 에칭함으로써 기판에 패턴을 형성하는 공정을 포함하는, 회로패턴 형성방법.An undercoat film is formed on a substrate using the composition described in [23], an interlayer film is formed on the undercoat film using a resist interlayer film material, and at least one photoresist layer is formed on the interlayer film And then the resist pattern is used as a mask to etch the intermediate layer film, and the obtained intermediate layer film pattern is etched using an etching mask And etching the substrate using the obtained lower layer film pattern as an etching mask to form a pattern on the substrate.

본 발명에 따른 화합물 및 수지는, 안전용매에 대한 용해성이 높고, 내열성 및 에칭내성이 양호하며, 본 발명에 따른 조성물은, 양호한 레지스트패턴형상을 부여한다.The compound and the resin according to the present invention have high solubility in safety solvents, good heat resistance and etching resistance, and the composition according to the present invention gives a good resist pattern shape.

이하, 본 발명을 실시하기 위한 형태(이하, 「본 실시형태」라고도 한다.)에 대하여 설명한다. 한편, 이하의 실시의 형태는, 본 발명을 설명하기 위한 예시이며, 본 발명은 그 실시의 형태만으로 한정되지 않는다.Hereinafter, a mode for carrying out the present invention (hereinafter also referred to as " present embodiment ") will be described. The following embodiments are illustrative of the present invention, and the present invention is not limited to the embodiments.

본 실시형태의 화합물은 후술하는 식(0)으로 표시되는 화합물, 또는, 해당 화합물을 모노머로 하여 얻어지는 수지이다. 본 발명에 따른 화합물 및 수지는, 습식 프로세스를 적용할 수 있고, 내열성 및 에칭내성이 우수한 포토레지스트 하층막을 형성하기 위해 유용하다. 그리고, 이 리소그래피용 막형성 조성물은, 내열성이 높고, 용매용해성도 높은, 특정구조를 갖는 화합물 또는 수지를 이용하고 있으므로, 고온베이크시의 막의 열화가 억제되고, 산소플라즈마에칭 등에 대한 에칭내성도 우수한 레지스트 및 하층막을 형성할 수 있다. 더하여, 하층막을 형성한 경우, 레지스트층과의 밀착성도 우수하므로, 우수한 레지스트패턴을 형성할 수 있다. 또한, 본 실시형태에 있어서의 화합물 및 수지는, 감광성재료에 이용했을 때의 감도나 해상도가 우수한 것이며, 내열성의 높음을 유지하면서, 나아가, 범용 유기용제나 다른 화합물, 수지성분, 및 첨가제와의 상용성이 우수한 레지스트 영구막을 형성하기 위해 유용하다.The compound of this embodiment is a resin obtained by using a compound represented by the following formula (0) or a corresponding compound as a monomer. The compounds and resins according to the present invention are useful for forming a photoresist underlayer film which can apply a wet process and is excellent in heat resistance and etching resistance. Since the film forming composition for lithography uses a compound or resin having a specific structure and high heat resistance and high solvent solubility, deterioration of the film at the time of high-temperature baking is suppressed and etching resistance against oxygen plasma etching and the like is also excellent A resist and a lower layer film can be formed. In addition, when a lower layer film is formed, adhesion with the resist layer is also excellent, so that an excellent resist pattern can be formed. The compound and the resin in the present embodiment are excellent in sensitivity and resolution when used in a photosensitive material and can be used in combination with a general-purpose organic solvent, another compound, a resin component, And is useful for forming a resist permanent film excellent in compatibility.

더 나아가서는, 굴절률이 높고, 또한 저온에서부터 고온까지의 광범위한 열처리에 의해 착색이 억제되는 점에서, 각종 광학형성 조성물로서도 유용하다.Further, it is also useful as various optical forming compositions because the refractive index is high and coloring is suppressed by a wide range of heat treatment from low temperature to high temperature.

[식(0)으로 표시되는 화합물][Compound represented by formula (0)

본 실시형태의 화합물은, 하기 식(0)으로 표시된다.The compound of this embodiment is represented by the following formula (0).

[화학식 12][Chemical Formula 12]

Figure pct00012
Figure pct00012

(0)(0)

(식(0) 중, RY는, 수소원자, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기이고,(In the formula (0), R Y is a hydrogen atom, an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms,

RZ는, 탄소수 1~60의 N가의 기 또는 단결합이고,R Z is an N-valent group or a single bond having 1 to 60 carbon atoms,

RT는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 하기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, RT의 적어도 1개는 하기 식(0-1)로 표시되는 기를 포함하고,R T each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R T is a group represented by the following formula (0-1) Including,

X는, 산소원자, 황원자 또는 무가교인 것을 나타내고,X represents an oxygen atom, a sulfur atom or a non-

m은, 각각 독립적으로 0~9의 정수이고, 여기서, m의 적어도 1개는 1~9의 정수이고,m is independently an integer of 0 to 9, provided that at least one of m is an integer of 1 to 9,

N은, 1~4의 정수이고, N이 2 이상의 정수인 경우, N개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,N is an integer of 1 to 4, and when N is an integer of 2 or more, the structural formulas within N [] may be the same or different,

r은, 각각 독립적으로 0~2의 정수이다.)r is independently an integer of 0 to 2.)

[화학식 13] [Chemical Formula 13]

Figure pct00013
Figure pct00013

(0-1)(0-1)

(식(0-1) 중, RX는, 수소원자 또는 메틸기이다.)(In the formula (0-1), R X is a hydrogen atom or a methyl group.)

식(0-1)로 표시되는 기를 포함하는 기란, 식(0-1)로 표시되는 기를 갖는 기이고, 예를 들어, 식(0-1)로 표시되는 기, 식(0-1)로 표시되는 기로 치환된 메톡시기, 식(0-1)로 표시되는 기로 치환된 에톡시기, 식(0-1)로 표시되는 기로 치환된 프로폭시기, 식(0-1)로 표시되는 기로 치환된 에톡시에톡시기, 식(0-1)로 표시되는 기로 치환된 프로폭시프로폭시기, 및 식(0-1)로 표시되는 기로 치환된 페닐옥시기를 들 수 있다.The group containing a group represented by formula (0-1) is a group having a group represented by formula (0-1), and examples thereof include a group represented by formula (0-1) and a group represented by formula (0-1) Ethoxy group substituted with a group represented by the formula (0-1), propoxy group substituted with a group represented by the formula (0-1), or a group represented by the formula (0-1) An ethoxyethoxy group, a propoxypropoxy group substituted with a group represented by the formula (0-1), and a phenyloxy group substituted with a group represented by the formula (0-1).

RY는, 수소원자, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기이다. 알킬기는, 직쇄상, 분지상 혹은 환상의 알킬기를 이용할 수 있다. RY가, 수소원자, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기 또는 탄소수 6~30의 아릴기임으로써, 우수한 내열성 및 용매용해성을 부여할 수 있다.R Y is a hydrogen atom, an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms. The alkyl group may be a linear, branched or cyclic alkyl group. R Y is a hydrogen atom, a straight chain, branched or cyclic alkyl group having 1 to 30 carbon atoms, or an aryl group having 6 to 30 carbon atoms, whereby excellent heat resistance and solvent solubility can be imparted.

Rz는 탄소수 1~60의 N가의 기 또는 단결합이고, 이 Rz를 개재하여 각각의 방향환이 결합하고 있다. N은, 1~4의 정수이고, N이 2 이상의 정수인 경우, N개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있다. 한편, 상기 N가의 기란, N=1일 때에는, 탄소수 1~60의 알킬기, N=2일 때에는, 탄소수 1~30의 알킬렌기, N=3일 때에는, 탄소수 2~60의 알칸프로파일기, N=4일 때에는, 탄소수 3~60의 알칸테트라일기인 것을 말한다. 상기 N가의 기로는, 예를 들어, 직쇄상 탄화수소기, 분지상 탄화수소기 또는 지환식 탄화수소기를 갖는 것 등을 들 수 있다. 여기서, 상기 지환식 탄화수소기에 대해서는, 유교지환식 탄화수소기도 포함된다. 또한, 상기 N가의 탄화수소기는, 지환식 탄화수소기, 이중결합, 헤테로원자 또는 탄소수 6~60의 방향족기를 갖고 있을 수도 있다.R z is an N-valent group or a single bond having 1 to 60 carbon atoms, and each aromatic ring is bonded via R z . N is an integer of 1 to 4, and when N is an integer of 2 or more, the structural formulas within N [] may be the same or different. The N-valent group is an alkyl group having 1 to 60 carbon atoms when N = 1, an alkylene group having 1 to 30 carbon atoms when N = 2, an alkane-containing group having 2 to 60 carbon atoms when N = 3, = 4 means an alkane tetrayl group having 3 to 60 carbon atoms. The N-valent groups include, for example, those having a straight-chain hydrocarbon group, a branched hydrocarbon group, or an alicyclic hydrocarbon group. Here, the alicyclic hydrocarbon group includes a bridged alicyclic hydrocarbon group. The N-valent hydrocarbon group may have an alicyclic hydrocarbon group, a double bond, a hetero atom, or an aromatic group having 6 to 60 carbon atoms.

RT는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, RT의 적어도 1개는 수산기의 수소원자가 알릴옥시알킬기, 아크릴옥시알킬기 및 아크릴옥시알킬기로부터 선택되는 1개의 기로 치환된 기를 포함한다. 한편, 상기 알킬기, 알케닐기 및 알콕시기는, 직쇄상, 분지상 혹은 환상의 기일 수도 있다.R T each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydrogen atom of a hydroxyl group is substituted with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group, and the alkoxy group may include an ether bond, a ketone bond, or an ester bond, wherein at least one of R T is a group in which the hydrogen atom of the hydroxyl group is an allyloxyalkyl group, And a group substituted with one group selected from an acryloxyalkyl group. On the other hand, the alkyl group, alkenyl group and alkoxy group may be a straight chain, branched or cyclic group.

여기서, 수산기의 수소원자가 비닐페닐메틸기로 치환된 기란, 비닐페닐메틸기를 갖는 기이고, 예를 들어, 비닐페닐메틸기, 비닐페닐메틸메틸기, 비닐페닐메틸페닐기 등을 들 수 있다.Here, the group in which the hydrogen atom of the hydroxyl group is substituted with a vinylphenylmethyl group is a group having a vinylphenylmethyl group, and examples thereof include a vinylphenylmethyl group, a vinylphenylmethylmethyl group, and a vinylphenylmethylphenyl group.

X는, 산소원자, 황원자 또는 무가교인 것을 나타내고, X가 산소원자 또는 황원자인 경우, 높은 내열성을 발현하는 경향이 있으므로 바람직하고, 산소원자인 것이 보다 바람직하다. X는, 용해성의 관점으로부터는, 무가교인 것이 바람직하다. 또한, m은, 각각 독립적으로 0~9의 정수이고, m의 적어도 1개는 1~9의 정수이다.X is an oxygen atom, a sulfur atom, or a non-condensed, and when X is an oxygen atom or a sulfur atom, it is preferable because it tends to exhibit high heat resistance and is more preferably an oxygen atom. From the viewpoint of solubility, X is preferably a non-crosslinked one. M is independently an integer of 0 to 9, and at least one of m is an integer of 1 to 9;

식(0) 중, 나프탈렌구조로 나타나는 부위는, r=0인 경우에는 단환구조이고, r=1인 경우에는 2환구조이고, r=2인 경우에는 3환구조가 된다. r은, 각각 독립적으로 0~2의 정수이다. 상기 서술한 m은, r로 결정되는 환구조에 따라 그 수치범위가 결정된다.In the formula (0), the moiety represented by the naphthalene structure is a monocyclic structure when r = 0, a bicyclic structure when r = 1, and a tricyclic structure when r = 2. r is independently an integer of 0 to 2; The numerical range of m described above is determined according to the ring structure determined by r.

상기 식(0)으로 표시되는 화합물은, 비교적 저분자량이면서도, 그 구조의 강직함에 의해 높은 내열성을 가지므로, 고온베이크조건에서도 사용가능하다. 또한, 분자 중에 3급탄소 또는 4급탄소를 갖고 있으며, 결정성이 억제되어, 리소그래피용 막제조에 사용할 수 있는 리소그래피용 막형성 조성물로서 호적하게 사용된다.The compound represented by the formula (0) has a relatively low molecular weight, and has a high heat resistance due to the rigidity of its structure, so that it can be used even under high-temperature baking conditions. Further, it has tertiary carbon or quaternary carbon in the molecule and is suppressed in crystallinity, and is suitably used as a film-forming composition for lithography that can be used in the production of a film for lithography.

또한, 안전용매에 대한 용해성이 높고, 내열성 및 에칭내성이 양호하므로, 식(0)으로 표시되는 화합물을 포함하는 리소그래피용 레지스트형성 조성물은, 양호한 레지스트패턴형상을 부여할 수 있다.In addition, since the solubility in a safe solvent is high and the heat resistance and the etching resistance are good, the resist-forming composition for lithography containing the compound represented by the formula (0) can give a good resist pattern shape.

나아가, 비교적 저분자량이고 저점도인 점에서, 단차를 갖는 기판(특히, 미세한 스페이스나 홀패턴 등)이어도, 그 단차의 구석까지 균일하게 충전시키면서, 막의 평탄성을 높이는 것이 용이하며, 그 결과, 식(0)으로 표시되는 화합물을 포함하는 리소그래피용 하층막 형성 조성물은, 매립 및 평탄화특성이 양호하다. 또한, 식(0)으로 표시되는 화합물은 비교적 높은 탄소농도를 갖는 화합물인 점에서, 높은 에칭내성도 부여할 수 있다.Further, even in the case of a substrate having a step (particularly, a minute space or a hole pattern) at a point of a relatively low molecular weight and a low viscosity, it is easy to increase the flatness of the film while uniformly filling the corners of the step, (0), the underlayer film-forming composition for lithography has good burial and planarization characteristics. In addition, since the compound represented by the formula (0) is a compound having a relatively high carbon concentration, it can also impart high etching resistance.

게다가 또한, 식(0)으로 표시되는 화합물을 포함하는 조성물은, 방향족 밀도가 높기 때문에 굴절률이 높고, 또한 저온에서부터 고온까지의 광범위한 열처리에 의해 착색이 억제되는 점으로부터, 각종 광학부품 형성 조성물로서도 유용하다. 그 중에서도 4급탄소를 갖는 화합물이, 산화분해를 억제하여 화합물의 착색을 억제하고, 내열성이 높고, 용매용해성을 향상시키는 관점으로부터 바람직하다. 광학부품은, 필름상, 시트상으로 사용되는 것 이외에, 플라스틱렌즈(프리즘렌즈, 렌티큘러렌즈, 마이크로렌즈, 프레넬렌즈, 시야각제어렌즈, 콘트라스트향상렌즈 등), 위상차필름, 전자파실드용 필름, 프리즘, 광파이버, 플렉시블프린트배선용 솔더레지스트, 도금레지스트, 다층프린트배선판용 층간절연막, 감광성 광도파로로서 유용하다.Furthermore, the composition containing the compound represented by the formula (0) has a high refractive index because of its high aromatic density and is also useful as a composition for forming various optical parts from the viewpoint that coloration is suppressed by a wide range of heat treatment from a low temperature to a high temperature Do. Among them, a compound having quaternary carbon is preferable from the viewpoints of inhibiting oxidation decomposition to suppress coloring of a compound, having high heat resistance, and improving solvent solubility. In addition to being used in the form of a film or a sheet, the optical component can be used in various forms such as a plastic lens (a prism lens, a lenticular lens, a microlens, a Fresnel lens, a viewing angle control lens, a contrast enhancement lens and the like), a retardation film, , An optical fiber, a solder resist for flexible printed wiring, a plating resist, an interlayer insulating film for a multilayer printed wiring board, and a photosensitive optical waveguide.

[식(1)로 표시되는 화합물][Compound represented by the formula (1)

본 실시형태에 있어서의 식(0)으로 표시되는 화합물은, 하기 식(1)로 표시되는 화합물인 것이 바람직하다. 본 실시형태의 화합물은, 하기 식(1)로 표시되는 화합물임으로써, 내열성이 보다 높고, 용매용해성도 보다 높은 경향이 있다.The compound represented by the formula (0) in the present embodiment is preferably a compound represented by the following formula (1). The compound of the present embodiment is a compound represented by the following formula (1), which tends to have higher heat resistance and higher solvent solubility.

[화학식 14][Chemical Formula 14]

Figure pct00014
Figure pct00014

(1)(One)

상기 (1)식 중, R0은, 상기 RY와 동의이고, 수소원자, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기이다. R0이, 수소원자, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기임으로써, 내열성이 비교적 높고, 용매용해성이 향상되는 경향이 있다. 또한, R0은, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기인 것이, 산화분해를 억제하여 화합물의 착색을 억제하고, 내열성 및 용매용해성을 향상시키는 관점으로부터 바람직하다.In the above formula (1), R 0 is a hydrogen atom, an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms, which is the same as R Y. When R 0 is a hydrogen atom, an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms, the heat resistance is relatively high, and the solvent solubility tends to be improved. R 0 is preferably an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms from the viewpoint of inhibiting oxidation decomposition to suppress coloring of the compound and improving heat resistance and solvent solubility.

R1은, 탄소수 1~60의 n가의 기 또는 단결합이고, 이 R1을 개재하여 각각의 방향환이 결합하고 있다.R 1 is an n-valent group or a single bond having 1 to 60 carbon atoms, and each aromatic ring is bonded via this R 1 .

R2~R5는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2~R5의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함한다.Each of R 2 to R 5 independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms which may have a substituent, a halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group is substituted with a group represented by the formula (0-1) And the alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2 to R 5 is a group represented by the formula (0- 1). ≪ / RTI >

m2 및 m3은, 각각 독립적으로, 0~8의 정수이고, m4 및 m5는, 각각 독립적으로, 0~9의 정수이다. 단, m2, m3, m4 및 m5는 동시에 0이 되는 일은 없다.m 2 and m 3 are each independently an integer of 0 to 8, and m 4 and m 5 are each independently an integer of 0 to 9. Provided that m 2 , m 3 , m 4 and m 5 do not become 0 at the same time.

n은 상기 N과 동의이고, 1~4의 정수이다. 여기서, n이 2 이상의 정수인 경우, n개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있다.n is a synonym of N and is an integer of 1 to 4; Here, when n is an integer of 2 or more, the structural formulas within n [] may be the same or different.

p2~p5는 각각 독립적으로 0~2의 정수이다.p 2 to p 5 each independently represent an integer of 0 to 2;

한편, 상기 알킬기, 알케닐기 및 알콕시기는, 직쇄상, 분지상 혹은 환상의 기일 수도 있다.On the other hand, the alkyl group, alkenyl group and alkoxy group may be a straight chain, branched or cyclic group.

한편, 상기 n가의 기란, n=1일 때에는, 탄소수 1~60의 알킬기, n=2일 때에는, 탄소수 1~60의 알킬렌기, n=3일 때에는, 탄소수 2~60의 알칸프로파일기, n=4일 때에는, 탄소수 3~60의 알칸테트라일기인 것을 말한다. 상기 n가의 기로는, 예를 들어, 직쇄상 탄화수소기, 분지상 탄화수소기, 및 지환식 탄화수소기를 갖는 것을 들 수 있다. 여기서, 상기 지환식 탄화수소기에 대해서는, 유교지환식 탄화수소기도 포함된다. 또한, 상기 n가의 기는, 탄소수 6~60의 방향족기일 수도 있다.The n-valent group is an alkyl group having 1 to 60 carbon atoms when n = 1, an alkylene group having 1 to 60 carbon atoms when n = 2, an alkane-containing group having 2 to 60 carbon atoms when n = 3, = 4 means an alkane tetrayl group having 3 to 60 carbon atoms. Examples of the n-valent group include those having a straight-chain hydrocarbon group, a branched hydrocarbon group, and an alicyclic hydrocarbon group. Here, the alicyclic hydrocarbon group includes a bridged alicyclic hydrocarbon group. The n-valent group may be an aromatic group having 6 to 60 carbon atoms.

또한, 상기 n가의 탄화수소기는, 지환식 탄화수소기, 이중결합, 헤테로원자 또는 탄소수 6~60의 방향족기를 갖고 있을 수도 있다. 여기서, 상기 지환식 탄화수소기에 대해서는, 유교지환식 탄화수소기도 포함된다.The n-valent hydrocarbon group may have an alicyclic hydrocarbon group, a double bond, a hetero atom or an aromatic group having 6 to 60 carbon atoms. Here, the alicyclic hydrocarbon group includes a bridged alicyclic hydrocarbon group.

상기 식(1)로 표시되는 화합물은, 비교적 저분자량이면서도, 그 구조의 강직함에 의해 높은 내열성을 가지므로, 고온베이크조건에서도 사용가능하다. 또한, 분자 중에 3급탄소 또는 4급탄소를 갖고 있으며, 결정성이 억제되어, 리소그래피용 막제조에 사용할 수 있는 리소그래피용 막형성 조성물로서 호적하게 사용된다.The compound represented by the above formula (1) has a relatively low molecular weight and has a high heat resistance due to the rigidity of its structure, so that it can be used even under high-temperature baking conditions. Further, it has tertiary carbon or quaternary carbon in the molecule and is suppressed in crystallinity, and is suitably used as a film-forming composition for lithography that can be used in the production of a film for lithography.

또한, 안전용매에 대한 용해성이 높고, 내열성 및 에칭내성이 양호하므로, 상기 식(1)로 표시되는 화합물을 포함하는 리소그래피용 레지스트형성 조성물은, 양호한 레지스트패턴형상을 부여할 수 있다.In addition, since the solubility in a safe solvent is high and the heat resistance and the etching resistance are good, a resist pattern forming composition for lithography containing the compound represented by the formula (1) can give a good resist pattern shape.

나아가, 비교적 저분자량이고 저점도인 점에서, 단차를 갖는 기판(특히, 미세한 스페이스나 홀패턴 등)이어도, 그 단차의 구석까지 균일하게 충전시키면서, 막의 평탄성을 높이는 것이 용이하며, 그 결과, 이것을 이용한 리소그래피용 하층막 형성 조성물은, 매립 및 평탄화특성이 양호하다. 또한, 비교적 높은 탄소농도를 갖는 화합물인 점에서, 높은 에칭내성도 부여할 수 있다.Furthermore, even in the case of a substrate having a step (particularly, a minute space or a hole pattern or the like) at a relatively low molecular weight and low viscosity, it is easy to increase the flatness of the film while uniformly filling the corners of the step, The lower layer film forming composition for lithography used has favorable buried and planarized characteristics. In addition, since it is a compound having a relatively high carbon concentration, high etching resistance can also be imparted.

게다가 또한, 방향족 밀도가 높기 때문에 굴절률이 높고, 또한 저온에서부터 고온까지의 광범위한 열처리에 의해 착색이 억제되는 점으로부터, 각종 광학부품 형성 조성물로서도 유용하다. 그 중에서도 4급탄소를 갖는 화합물이, 산화분해를 억제하여 화합물의 착색을 억제하고, 내열성이 높고, 용매용해성을 향상시키는 관점으로부터 바람직하다. 광학부품은, 필름상, 시트상으로 사용되는 것 이외에, 플라스틱렌즈(프리즘렌즈, 렌티큘러렌즈, 마이크로렌즈, 프레넬렌즈, 시야각제어렌즈, 콘트라스트향상렌즈 등), 위상차필름, 전자파실드용 필름, 프리즘, 광파이버, 플렉시블프린트배선용 솔더레지스트, 도금레지스트, 다층프린트배선판용 층간절연막, 감광성 광도파로로서 유용하다.In addition, since the aromatic densities are high, the refractive index is high and the coloring is suppressed by a wide range of heat treatment from a low temperature to a high temperature. Among them, a compound having quaternary carbon is preferable from the viewpoints of inhibiting oxidation decomposition to suppress coloring of a compound, having high heat resistance, and improving solvent solubility. In addition to being used in the form of a film or a sheet, the optical component can be used in various forms such as a plastic lens (a prism lens, a lenticular lens, a microlens, a Fresnel lens, a viewing angle control lens, a contrast enhancement lens and the like), a retardation film, , An optical fiber, a solder resist for flexible printed wiring, a plating resist, an interlayer insulating film for a multilayer printed wiring board, and a photosensitive optical waveguide.

상기 식(1)로 표시되는 화합물은, 가교의 용이함과 유기용매에 대한 용해성의 관점으로부터, 하기 식(1-1)로 표시되는 화합물인 것이 바람직하다.The compound represented by the formula (1) is preferably a compound represented by the following formula (1-1) from the viewpoints of ease of crosslinking and solubility in an organic solvent.

[화학식 15][Chemical Formula 15]

Figure pct00015
Figure pct00015

(1-1)(1-1)

식(1-1) 중,In the formula (1-1)

R0, R1, R4, R5, n, p2~p5, m4 및 m5는, 상기 식(1)에 있어서의 것과 동의이고,R 2 , R 1 , R 4 , R 5 , n, p 2 to p 5 , m 4 and m 5 are the same as those in the formula (1)

R6~R7은, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기이고,R 6 to R 7 each independently represent an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms, A halogen atom, a nitro group, an amino group, a carboxylic acid group, and a thiol group,

R10~R11은, 각각 독립적으로, 수소원자 또는 하기 식(0-2)로 표시되는 기이고,R 10 to R 11 are each independently a hydrogen atom or a group represented by the following formula (0-2)

여기서, R10~R11의 적어도 1개는 하기 식(0-2)로 표시되는 기이고,At least one of R 10 to R 11 is a group represented by the following formula (0-2)

m6 및 m7은, 각각 독립적으로 0~7의 정수이고,m 6 and m 7 each independently represents an integer of 0 to 7,

단, m4, m5, m6 및 m7은 동시에 0이 되는 일은 없다.Provided that m 4 , m 5 , m 6 and m 7 do not become 0 at the same time.

[화학식 16][Chemical Formula 16]

Figure pct00016
Figure pct00016

(0-2)(0-2)

(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)

또한, 상기 식(1-1)로 표시되는 화합물은, 추가적인 가교의 용이함과 유기용매에 대한 용해성의 관점으로부터, 하기 식(1-2)로 표시되는 화합물인 것이 바람직하다.The compound represented by the formula (1-1) is preferably a compound represented by the following formula (1-2) from the viewpoints of ease of further crosslinking and solubility in an organic solvent.

[화학식 17][Chemical Formula 17]

Figure pct00017
Figure pct00017

(1-2)(1-2)

식(1-2) 중,In the formula (1-2)

R0, R1, R6, R7, R10, R11, n, p2~p5, m6 및 m7은, 상기 식(1-1)에 있어서의 것과 동의이고, R 0, R 1, R 6 , R 7, R 10, R 11, n, p 2 ~ p 5, m 6 and m is 7, and as agreed in the formula (1-1),

R8~R9는, 상기 R6~R7과 동의이고,R 8 to R 9 are as defined above for R 6 to R 7 ,

R12~R13은, 상기 R10~R11과 동의이고,R 12 to R 13 are as defined above for R 10 to R 11 ,

m8 및 m9는, 각각 독립적으로, 0~8의 정수이다. 단, m6, m7, m8 및 m9는 동시에 0이 되는 일은 없다.m 8 and m 9 are each independently an integer of 0 to 8; Provided that m 6 , m 7 , m 8 and m 9 do not become 0 at the same time.

나아가, 상기 식(1-1)로 표시되는 화합물은, 원료의 공급성의 관점으로부터, 하기 식(1a)로 표시되는 화합물인 것이 바람직하다.Furthermore, the compound represented by the formula (1-1) is preferably a compound represented by the following formula (1a) from the viewpoint of the feedability of the starting material.

[화학식 18][Chemical Formula 18]

Figure pct00018
Figure pct00018

(1a)(1a)

상기 식(1a) 중, R0~R5, m2~m5 및 n은, 상기 식(1)에서 설명한 것과 동의이다.In the formula (1a), R 0 to R 5 , m 2 to m 5 and n are synonymous with those described in the formula (1).

상기 식(1a)로 표시되는 화합물은, 유기용매에 대한 용해성의 관점으로부터, 하기 식(1b)로 표시되는 화합물인 것이 보다 바람직하다.The compound represented by the formula (1a) is more preferably a compound represented by the following formula (1b) from the viewpoint of solubility in an organic solvent.

[화학식 19][Chemical Formula 19]

Figure pct00019
Figure pct00019

(1b)(1b)

상기 식(1b) 중, R0, R1, R4, R5, R10, R11, m4, m5, n은 상기 식(1)에서 설명한 것과 동의이고, R6, R7, R10, R11, m6, m7은 상기 식(1-1)에서 설명한 것과 동의이다.And In the formula (1b), R 0, R 1, R 4, R 5, R 10, R 11, m 4, m 5, n is agreed that described in the formula (1), R 6, R 7, R 10 , R 11 , m 6 and m 7 are as defined in the above formula (1-1).

상기 식(1a)로 표시되는 화합물은, 반응성의 관점으로부터, 하기 식(1b’)로 표시되는 화합물인 것이 더욱 바람직하다.From the viewpoint of reactivity, the compound represented by the formula (1a) is more preferably a compound represented by the following formula (1b ').

[화학식 20][Chemical Formula 20]

Figure pct00020
Figure pct00020

(1b’)(1b ')

상기 식(1b) 중, R0, R1, R4, R5, m4, m5, n은 상기 식(1)에서 설명한 것과 동의이고, R6, R7, R10, R11, m6, m7은 상기 식(1-1)에서 설명한 것과 동의이다.In the formula (1b), R 0, R 1, R 4, R 5, m 4, m 5, n is as accept the above-described formula (1), R 6, R 7, R 10, R 11, m 6 , and m 7 are as defined in the above formula (1-1).

상기 식(1b)로 표시되는 화합물은, 유기용매에 대한 용해성의 관점으로부터, 하기 식(1c)로 표시되는 화합물인 것이 더욱 바람직하다.The compound represented by the formula (1b) is more preferably a compound represented by the following formula (1c) from the viewpoint of solubility in an organic solvent.

[화학식 21][Chemical Formula 21]

Figure pct00021
Figure pct00021

(1c)(1c)

상기 식(1c) 중, R0, R1, R6~R13, m6~m9, n은 상기 식(1-2)에서 설명한 것과 동의이다.In the formula (1c), R 0 , R 1 , R 6 to R 13 , m 6 to m 9 , n are as defined in the formula (1-2).

상기 식(1b’)로 표시되는 화합물은, 반응성의 관점으로부터, 하기 식(1c’)로 표시되는 화합물인 것이 더욱 바람직하다.From the viewpoint of reactivity, the compound represented by the above formula (1b ') is more preferably a compound represented by the following formula (1c').

[화학식 22][Chemical Formula 22]

Figure pct00022
Figure pct00022

(1c’)(1c ')

상기 식(1c’) 중, R0, R1, R6~R13, m6~m9, n은 상기 식(1-2)에서 설명한 것과 동의이다.In the formula (1c '), R 0 , R 1 , R 6 to R 13 , m 6 to m 9 , and n are as defined in the formula (1-2).

상기 식(0)으로 표시되는 화합물의 구체예를 이하에 예시하나, 식(0)으로 표시되는 화합물은, 여기서 열거한 구체예로 한정되는 것은 아니다.Specific examples of the compound represented by the formula (0) are shown below, but the compound represented by the formula (0) is not limited to the specific examples listed here.

[화학식 23](23)

Figure pct00023
Figure pct00023

[화학식 24]≪ EMI ID =

Figure pct00024
Figure pct00024

[화학식 25](25)

Figure pct00025
Figure pct00025

[화학식 26](26)

Figure pct00026
Figure pct00026

[화학식 27](27)

Figure pct00027
Figure pct00027

[화학식 28](28)

Figure pct00028
Figure pct00028

[화학식 29][Chemical Formula 29]

Figure pct00029
Figure pct00029

[화학식 30](30)

Figure pct00030
Figure pct00030

[화학식 31](31)

Figure pct00031
Figure pct00031

[화학식 32](32)

Figure pct00032
Figure pct00032

[화학식 33](33)

Figure pct00033
Figure pct00033

[화학식 34](34)

Figure pct00034
Figure pct00034

[화학식 35](35)

Figure pct00035
Figure pct00035

[화학식 36](36)

Figure pct00036
Figure pct00036

[화학식 37](37)

Figure pct00037
Figure pct00037

[화학식 38](38)

Figure pct00038
Figure pct00038

[화학식 39][Chemical Formula 39]

Figure pct00039
Figure pct00039

[화학식 40](40)

Figure pct00040
Figure pct00040

[화학식 41](41)

Figure pct00041
Figure pct00041

상기 식 중, X는, 상기 식(0)에서 설명한 것과 동의이고, RT’는 상기 식(0)에서 설명한 RT와 동의이고, m은 각각 독립적으로, 1~6의 정수이다.X is the same as that described in formula (0), R T ' is the same as R T described in formula (0), and m is independently an integer of 1 to 6.

상기 식(0)으로 표시되는 화합물의 구체예를, 추가로 이하에 예시하나, 식(0)으로 표시되는 화합물은, 여기서 열거한 구체예로 한정되는 것은 아니다.Specific examples of the compound represented by the formula (0) are illustrated below, but the compound represented by the formula (0) is not limited to the specific examples listed here.

[화학식 42](42)

Figure pct00042
Figure pct00042

[화학식 43](43)

Figure pct00043
Figure pct00043

[화학식 44](44)

Figure pct00044
Figure pct00044

[화학식 45][Chemical Formula 45]

Figure pct00045
Figure pct00045

[화학식 46](46)

Figure pct00046
Figure pct00046

[화학식 47](47)

Figure pct00047
Figure pct00047

[화학식 48](48)

Figure pct00048
Figure pct00048

[화학식 49](49)

Figure pct00049
Figure pct00049

[화학식 50](50)

Figure pct00050
Figure pct00050

[화학식 51](51)

Figure pct00051
Figure pct00051

[화학식 52](52)

Figure pct00052
Figure pct00052

[화학식 53](53)

Figure pct00053
Figure pct00053

[화학식 54](54)

Figure pct00054
Figure pct00054

[화학식 55](55)

Figure pct00055
Figure pct00055

[화학식 56](56)

Figure pct00056
Figure pct00056

[화학식 57](57)

Figure pct00057
Figure pct00057

[화학식 58](58)

Figure pct00058
Figure pct00058

[화학식 59][Chemical Formula 59]

Figure pct00059
Figure pct00059

[화학식 60](60)

Figure pct00060
Figure pct00060

[화학식 61](61)

Figure pct00061
Figure pct00061

[화학식 62](62)

Figure pct00062
Figure pct00062

[화학식 63](63)

Figure pct00063
Figure pct00063

[화학식 64]≪ EMI ID =

Figure pct00064
Figure pct00064

상기 식 중, X는, 상기 식(0)에서 설명한 것과 동의이고, RY , RZ 는 상기 식(0)에서 설명한 RY, RZ와 동의이다. 또한, OR4A의 적어도 1개는 하기 식(0-1)로 표시되는 기를 포함한다.Wherein X is the same as that described in the formula (0), R Y ' R Z ' is the same as R Y and R Z described in the formula (0). Also, at least one of OR 4A includes a group represented by the following formula (0-1).

[화학식 65](65)

Figure pct00065
Figure pct00065

(0-1)(0-1)

이하에, 상기 식(1)로 표시되는 화합물의 구체예를 예시하나, 식(1)로 표시되는 화합물은, 여기서 열거한 화합물로는 한정되지 않는다.Specific examples of the compound represented by the formula (1) are illustrated below, but the compound represented by the formula (1) is not limited to the compounds listed here.

[화학식 66](66)

Figure pct00066
Figure pct00066

[화학식 67](67)

Figure pct00067
Figure pct00067

[화학식 68](68)

Figure pct00068
Figure pct00068

[화학식 69](69)

Figure pct00069
Figure pct00069

[화학식 70](70)

Figure pct00070
Figure pct00070

[화학식 71](71)

Figure pct00071
Figure pct00071

[화학식 72](72)

Figure pct00072
Figure pct00072

[화학식 73](73)

Figure pct00073
Figure pct00073

[화학식 74]≪ EMI ID =

Figure pct00074
Figure pct00074

[화학식 75](75)

Figure pct00075
Figure pct00075

[화학식 76][Formula 76]

Figure pct00076
Figure pct00076

[화학식 77][Formula 77]

Figure pct00077
Figure pct00077

[화학식 78](78)

Figure pct00078
Figure pct00078

[화학식 79](79)

Figure pct00079
Figure pct00079

상기 화합물 중, R2, R3, R4, R5는 상기 식(1)에서 설명한 것과 동의이다. m2 및 m3은 0~6의 정수이고 m4 및 m5는 0~7의 정수이다.Among these compounds, R 2 , R 3 , R 4 and R 5 are the same as those described in the formula (1). m 2 and m 3 are integers of 0 to 6, and m 4 and m 5 are integers of 0 to 7.

단, R2, R3, R4, R5로부터 선택되는 적어도 1개는 하기 식(0-1)로 표시되는 기를 포함하고, m2, m3, m4, m5가 동시에 0이 되는 일은 없다.Provided that at least one selected from R 2 , R 3 , R 4 and R 5 contains a group represented by the following formula (0-1), and m 2 , m 3 , m 4 and m 5 are simultaneously 0 There is no work.

[화학식 80](80)

Figure pct00080
Figure pct00080

(0-1)(0-1)

(식(0-1) 중, RX는, 수소원자 또는 메틸기이다.)(In the formula (0-1), R X is a hydrogen atom or a methyl group.)

[화학식 81][Formula 81]

Figure pct00081
Figure pct00081

[화학식 82](82)

Figure pct00082
Figure pct00082

[화학식 83](83)

Figure pct00083
Figure pct00083

[화학식 84](84)

Figure pct00084
Figure pct00084

[화학식 85](85)

Figure pct00085
Figure pct00085

[화학식 86]≪ EMI ID =

Figure pct00086
Figure pct00086

[화학식 87][Chemical Formula 87]

Figure pct00087
Figure pct00087

[화학식 88][Formula 88]

Figure pct00088
Figure pct00088

[화학식 89](89)

Figure pct00089
Figure pct00089

[화학식 90](90)

Figure pct00090
Figure pct00090

[화학식 91][Formula 91]

Figure pct00091
Figure pct00091

[화학식 92]≪ EMI ID =

Figure pct00092
Figure pct00092

[화학식 93]≪ EMI ID =

Figure pct00093
Figure pct00093

상기 식 중, R10, R11, R12, R13은 상기 식(1-2)에서 설명한 것과 동의이고, R10~R13의 적어도 1개는 하기 식(0-2)로 표시되는 기이다.Wherein R 10 , R 11 , R 12 and R 13 are the same as those described in the above formula (1-2), and at least one of R 10 to R 13 is a group represented by the formula (0-2) to be.

[화학식 94](94)

Figure pct00094
Figure pct00094

(0-2)(0-2)

(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)

상기 식(1)로 표시되는 화합물은, 추가적인 유기용매에 대한 용해성의 관점으로부터, 하기 식(BiF-1)~(BiF-10)으로 표시되는 화합물인 것이 특히 바람직하다.The compound represented by the above formula (1) is particularly preferably a compound represented by the following formulas (BiF-1) to (BiF-10) from the viewpoint of solubility in a further organic solvent.

[화학식 95]≪ EMI ID =

Figure pct00095
Figure pct00095

(BiF-1)(BiF-1)

[화학식 96]≪ EMI ID =

Figure pct00096
Figure pct00096

(BiF-2)(BiF-2)

[화학식 97][Formula 97]

Figure pct00097
Figure pct00097

(BiF-3)(BiF-3)

[화학식 98](98)

Figure pct00098
Figure pct00098

(BiF-4)(BiF-4)

[화학식 99][Formula 99]

Figure pct00099
Figure pct00099

(BiF-5)(BiF-5)

[화학식 100](100)

Figure pct00100
Figure pct00100

(BiF-6)(BiF-6)

[화학식 101](101)

Figure pct00101
Figure pct00101

(BiF-7)(BiF-7)

[화학식 102]≪ EMI ID =

Figure pct00102
Figure pct00102

(BiF-8)(BiF-8)

[화학식 103]≪ EMI ID =

Figure pct00103
Figure pct00103

(BiF-9)(BiF-9)

[화학식 104]≪ EMI ID =

Figure pct00104
Figure pct00104

(BiF-10)(BiF-10)

상기 식 중, R10, R11, R12, R13은 상기 식(1-2)에서 설명한 것과 동의이고, R10~R13의 적어도 1개는 하기 식(0-2)로 표시되는 기이다.Wherein R 10 , R 11 , R 12 and R 13 are the same as those described in the above formula (1-2), and at least one of R 10 to R 13 is a group represented by the formula (0-2) to be.

[화학식 105]≪ EMI ID =

Figure pct00105
Figure pct00105

(0-2)(0-2)

(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)

이하, 상기 식(0)으로 표시되는 화합물의 구체예를 추가로 예시하나, 식(0)으로 표시되는 화합물은, 여기서 열거한 구체예로 한정되는 것은 아니다.Specific examples of the compound represented by the formula (0) are further exemplified below, but the compound represented by the formula (0) is not limited to the specific examples listed here.

[화학식 106]≪ EMI ID =

Figure pct00106
Figure pct00106

상기 식 중, R0, R1, n은 상기 식(1-1)에서 설명한 것과 동의이고, R10’ 및 R11’는 상기 식(1-1)에서 설명한 R10 및 R11과 동의이고, R4’ 및 R5’는 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 하기 식(0-1)로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, R10’ 및 R11’의 적어도 1개는 하기 식(0-2)로 치환된 기를 포함한다. m4’ 및 m5’는, 0~8의 정수이고, m10’ 및 m11’는 1~9의 정수이고, m4’+m10’ 및 m4’+m11’는 각각 독립적으로 1~9의 정수이다.Wherein R 0 , R 1 and n are the same as those described in the above formula (1-1), R 10 ' and R 11' are the same as R 10 and R 11 described in the above formula (1-1) , R 4 ' and R 5' each independently represent an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an aryl group having 2 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms which may have a substituent, a group in which a hydrogen atom of a halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group is substituted with the following formula (0-1) And at least one of R 10 ' and R 11' may be an ether bond, a ketone bond or an ester bond, and the alkyl group, the aryl group, the alkenyl group and the alkoxy group may have the following formulas (0-2 ). ≪ / RTI > m 4 ' and m 5' are integers of 0 to 8, m 10 ' and m 11' are integers of 1 to 9, m 4 ' + m 10' and m 4 ' + m 11' It is an integer from 1 to 9.

[화학식 107]≪ EMI ID =

Figure pct00107
Figure pct00107

(0-2)(0-2)

(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)

R0으로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 페닐기, 나프틸기, 안트라센기, 피레닐기, 비페닐기, 헵타센기를 들 수 있다.Examples of R 0 include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, a triacontyl group, Anthracene group, pyrenyl group, biphenyl group, and heptacene group.

R4’ 및 R5’로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 시클로운데실기, 시클로도데실기, 시클로트리아콘틸기, 노보닐기, 아다만틸기, 페닐기, 나프틸기, 안트라센기, 피레닐기, 비페닐기, 헵타센기, 비닐기, 알릴기, 트리아콘테닐기, 메톡시기, 에톡시기, 트리아콘틱시기, 불소원자, 염소원자, 브롬원자, 요오드원자, 티올기를 들 수 있다.Examples of R 4 ' and R 5' include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, , A cyclopropyl group, a cyclopropyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, a cyclododecyl group, a cyclododecyl group, A bromine atom, an allyl group, a triacontenyl group, a methoxy group, an ethoxy group, a triacontic group, a fluorine atom, a chlorine atom, a bromine atom, a bromine atom, An iodine atom, and a thiol group.

상기 R0, R4’, R5’의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R 0 , R 4 ' and R 5' includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 108](108)

Figure pct00108
Figure pct00108

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R16은, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬렌기, 탄소수 6~30의 2가의 아릴기, 또는 탄소수 2~30의 2가의 알케닐기이다.Wherein R 10 to R 13 are the same as those described in the above formula (1-2), R 16 is a linear, branched or cyclic alkylene group having 1 to 30 carbon atoms, a divalent An aryl group, or a divalent alkenyl group having 2 to 30 carbon atoms.

R16으로는, 예를 들어, 메틸렌기, 에틸렌기, 프로펜기, 부텐기, 펜텐기, 헥센기, 헵텐기, 옥텐기, 노넨기, 데센기, 운데센기, 도데센기, 트리아콘텐기, 시클로프로펜기, 시클로부텐기, 시클로펜텐기, 시클로헥센기, 시클로헵텐기, 시클로옥텐기, 시클로노넨기, 시클로데센기, 시클로운데센기, 시클로도데센기, 시클로트리아콘텐기, 2가의 노보닐기, 2가의 아다만틸기, 2가의 페닐기, 2가의 나프틸기, 2가의 안트라센기, 2가의 피렌기, 2가의 비페닐기, 2가의 헵타센기, 2가의 비닐기, 2가의 알릴기, 2가의 트리아콘테닐기를 들 수 있다.Examples of R 16 include methylene, ethylene, propene, butene, pentene, hexene, heptene, octene, nonene, decene, A cycloheptene group, a cyclopentene group, a cyclopentene group, a cyclopentene group, a cyclohexene group, a cycloheptene group, a cyclooctene group, a cyclopentene group, a cyclodecene group, a cyclododecene group, a cyclododecene group, A divalent bivalent group, a divalent bivalent group, a divalent heptacyclic group, a divalent vinyl group, a divalent allyl group, a divalent trialkadenyl group, .

상기 R16의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 16 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 109](109)

Figure pct00109
Figure pct00109

[화학식 110](110)

Figure pct00110
Figure pct00110

[화학식 111](111)

Figure pct00111
Figure pct00111

[화학식 112](112)

Figure pct00112
Figure pct00112

[화학식 113](113)

Figure pct00113
Figure pct00113

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R14는 각각 독립적으로, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 탄소수 6~30의 아릴기, 또는 탄소수 2~30의 알케닐기, 탄소수 1~30의 알콕시기, 할로겐원자, 티올기이고, m14는 0~5의 정수이고, m14’는 0~4의 정수이고, m14는 0~5의 정수이다.Wherein R 10 to R 13 are the same as those described in the above formula (1-2), R 14 each independently represents a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkyl group having 6 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms, an alkoxy group having 1 to 30 carbon atoms, a halogen atom, a thiol group, m 14 is an integer of 0 to 5, m 14 ' is an integer of 0 to 4, m 14 Is an integer of 0 to 5.

R14로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 시클로운데실기, 시클로도데실기, 시클로트리아콘틸기, 노보닐기, 아다만틸기, 페닐기, 나프틸기, 안트라센기, 피레닐기, 비페닐기, 헵타센기, 비닐기, 알릴기, 트리아콘테닐기, 메톡시기, 에톡시기, 트리아콘틱시기, 불소원자, 염소원자, 브롬원자, 요오드원자, 티올기를 들 수 있다.Examples of R 14 include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, a triacontyl group, A cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, a cyclodecyl group, a cyclododecyl group, a cyclotriacontyl group, a norbornyl group, an adamantyl group, a phenyl group, A chlorine atom, a bromine atom, an iodine atom, a thiol group, a bromine atom, a bromine atom, an iodine atom, a thiol group, a cyano group, a nitro group, an anthracene group, a pyrenyl group, a biphenyl group, .

상기 R14의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 14 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 114](114)

Figure pct00114
Figure pct00114

상기 식 중, R0, R4’, R5’, m4’, m5’, m10’, m11’는 상기와 동의이고, R1’는, 탄소수 1~60의 기이다.In the above formulas, R 0 , R 4 ' , R 5' , m 4 ' , m 5' , m 10 ' and m 11' are as defined above and R 1 ' is a group having 1 to 60 carbon atoms.

[화학식 115](115)

Figure pct00115
Figure pct00115

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R14는 각각 독립적으로, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 탄소수 6~30의 아릴기, 또는 탄소수 2~30의 알케닐기, 탄소수 1~30의 알콕시기, 할로겐원자, 티올기이고, m14는 0~5의 정수이고, m14’는 0~4의 정수이고, m14’’는 0~3의 정수이다.Wherein R 10 to R 13 are the same as those described in the above formula (1-2), R 14 each independently represents a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkyl group having 6 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms, an alkoxy group having 1 to 30 carbon atoms, a halogen atom, a thiol group, m 14 is an integer of 0 to 5, m 14 ' is an integer of 0 to 4, m 14 '' Is an integer from 0 to 3.

R14로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 시클로운데실기, 시클로도데실기, 시클로트리아콘틸기, 노보닐기, 아다만틸기, 페닐기, 나프틸기, 안트라센기, 피레닐기, 비페닐기, 헵타센기, 비닐기, 알릴기, 트리아콘테닐기, 메톡시기, 에톡시기, 트리아콘틱시기, 불소원자, 염소원자, 브롬원자, 요오드원자, 티올기를 들 수 있다.Examples of R 14 include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, a triacontyl group, A cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, a cyclodecyl group, a cyclododecyl group, a cyclotriacontyl group, a norbornyl group, an adamantyl group, a phenyl group, A chlorine atom, a bromine atom, an iodine atom, a thiol group, a bromine atom, a bromine atom, an iodine atom, a thiol group, a cyano group, a nitro group, an anthracene group, a pyrenyl group, a biphenyl group, .

상기 R14의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 14 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 116]≪ EMI ID =

Figure pct00116
Figure pct00116

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R15는, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 탄소수 6~30의 아릴기, 또는 탄소수 2~30의 알케닐기, 탄소수 1~30의 알콕시기, 할로겐원자, 티올기이다.Wherein R 10 to R 13 are the same as those described in the above formula (1-2), R 15 is a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, an aryl group having 6 to 30 carbon atoms, An alkenyl group having 2 to 30 carbon atoms, an alkoxy group having 1 to 30 carbon atoms, a halogen atom, and a thiol group.

R15로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 시클로운데실기, 시클로도데실기, 시클로트리아콘틸기, 노보닐기, 아다만틸기, 페닐기, 나프틸기, 안트라센기, 피레닐기, 비페닐기, 헵타센기, 비닐기, 알릴기, 트리아콘테닐기, 메톡시기, 에톡시기, 트리아콘틱시기, 불소원자, 염소원자, 브롬원자, 요오드원자, 티올기를 들 수 있다.Examples of R 15 include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, a triacontyl group, A cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, a cyclodecyl group, a cyclododecyl group, a cyclotriacontyl group, a norbornyl group, an adamantyl group, a phenyl group, A chlorine atom, a bromine atom, an iodine atom, a thiol group, a bromine atom, a bromine atom, an iodine atom, a thiol group, a cyano group, a nitro group, an anthracene group, a pyrenyl group, a biphenyl group, .

상기 R15의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 15 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 117](117)

Figure pct00117
Figure pct00117

[화학식 118](118)

Figure pct00118
Figure pct00118

[화학식 119](119)

Figure pct00119
Figure pct00119

[화학식 120](120)

Figure pct00120
Figure pct00120

[화학식 121](121)

Figure pct00121
Figure pct00121

[화학식 122](122)

Figure pct00122
Figure pct00122

[화학식 123](123)

Figure pct00123
Figure pct00123

[화학식 124](124)

Figure pct00124
Figure pct00124

[화학식 125](125)

Figure pct00125
Figure pct00125

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이다.In the formula, R 10 to R 13 are the same as those described in the formula (1-2).

상기 식(0)으로 표시되는 화합물은, 원료의 입수성의 관점으로부터, 더욱 바람직하게는 이하에 열거되는 화합물이다.The compound represented by the formula (0) is more preferably the compounds listed below from the viewpoint of the availability of the starting material.

[화학식 126](126)

Figure pct00126
Figure pct00126

[화학식 127](127)

Figure pct00127
Figure pct00127

[화학식 128](128)

Figure pct00128
Figure pct00128

[화학식 129]≪ EMI ID =

Figure pct00129
Figure pct00129

[화학식 130](130)

Figure pct00130
Figure pct00130

[화학식 131][Formula 131]

Figure pct00131
Figure pct00131

[화학식 132](132)

Figure pct00132
Figure pct00132

[화학식 133](133)

Figure pct00133
Figure pct00133

[화학식 134](134)

Figure pct00134
Figure pct00134

[화학식 135](135)

Figure pct00135
Figure pct00135

[화학식 136]≪ EMI ID =

Figure pct00136
Figure pct00136

[화학식 137](137)

Figure pct00137
Figure pct00137

[화학식 138]≪ EMI ID =

Figure pct00138
Figure pct00138

[화학식 139][Chemical Formula 139]

Figure pct00139
Figure pct00139

[화학식 140]≪ EMI ID =

Figure pct00140
Figure pct00140

[화학식 141](141)

Figure pct00141
Figure pct00141

[화학식 142](142)

Figure pct00142
Figure pct00142

[화학식 143](143)

Figure pct00143
Figure pct00143

[화학식 144](144)

Figure pct00144
Figure pct00144

[화학식 145](145)

Figure pct00145
Figure pct00145

[화학식 146](146)

Figure pct00146
Figure pct00146

[화학식 147](147)

Figure pct00147
Figure pct00147

[화학식 148](148)

Figure pct00148
Figure pct00148

[화학식 149][Chemical Formula 149]

Figure pct00149
Figure pct00149

[화학식 150](150)

Figure pct00150
Figure pct00150

[화학식 151](151)

Figure pct00151
Figure pct00151

[화학식 152](152)

Figure pct00152
Figure pct00152

[화학식 153][Formula 153]

Figure pct00153
Figure pct00153

[화학식 154](154)

Figure pct00154
Figure pct00154

[화학식 155](155)

Figure pct00155
Figure pct00155

[화학식 156](156)

Figure pct00156
Figure pct00156

[화학식 157](157)

Figure pct00157
Figure pct00157

[화학식 158](158)

Figure pct00158
Figure pct00158

[화학식 159](159)

Figure pct00159
Figure pct00159

[화학식 160][Formula 160]

Figure pct00160
Figure pct00160

[화학식 161][Formula 161]

Figure pct00161
Figure pct00161

[화학식 162](162)

Figure pct00162
Figure pct00162

[화학식 163][163]

Figure pct00163
Figure pct00163

[화학식 164]≪ EMI ID =

Figure pct00164
Figure pct00164

[화학식 165](165)

Figure pct00165
Figure pct00165

[화학식 166]≪ EMI ID =

Figure pct00166
Figure pct00166

[화학식 167]≪ EMI ID =

Figure pct00167
Figure pct00167

[화학식 168]≪ EMI ID =

Figure pct00168
Figure pct00168

[화학식 169][169]

Figure pct00169
Figure pct00169

[화학식 170](170)

Figure pct00170
Figure pct00170

[화학식 171][171]

Figure pct00171
Figure pct00171

[화학식 172](172)

Figure pct00172
Figure pct00172

[화학식 173][173]

Figure pct00173
Figure pct00173

[화학식 174]≪ EMI ID =

Figure pct00174
Figure pct00174

[화학식 175](175)

Figure pct00175
Figure pct00175

[화학식 176][176]

Figure pct00176
Figure pct00176

[화학식 177][177]

Figure pct00177
Figure pct00177

[화학식 178][178]

Figure pct00178
Figure pct00178

[화학식 179][179]

Figure pct00179
Figure pct00179

[화학식 180](180)

Figure pct00180
Figure pct00180

[화학식 181][181]

Figure pct00181
Figure pct00181

[화학식 182][Formula 182]

Figure pct00182
Figure pct00182

[화학식 183][183]

Figure pct00183
Figure pct00183

[화학식 184][184]

Figure pct00184
Figure pct00184

[화학식 185][185]

[화학식 186][186]

Figure pct00186
Figure pct00186

[화학식 187][187]

Figure pct00187
Figure pct00187

[화학식 188][188]

Figure pct00188
Figure pct00188

[화학식 189][189]

Figure pct00189
Figure pct00189

[화학식 190](190)

Figure pct00190
Figure pct00190

[화학식 191][191]

Figure pct00191
Figure pct00191

[화학식 192](192)

Figure pct00192
Figure pct00192

[화학식 193][193]

Figure pct00193
Figure pct00193

[화학식 194][194]

Figure pct00194
Figure pct00194

[화학식 195][195]

Figure pct00195
Figure pct00195

[화학식 196][196]

Figure pct00196
Figure pct00196

[화학식 197][197]

Figure pct00197
Figure pct00197

[화학식 198][198]

Figure pct00198
Figure pct00198

[화학식 199][199]

Figure pct00199
Figure pct00199

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이다.In the formula, R 10 to R 13 are the same as those described in the formula (1-2).

나아가 상기 식(0)으로 표시되는 화합물은, 에칭내성의 관점으로부터, 이하의 구조를 갖는 화합물인 것이 바람직하다.Further, the compound represented by the formula (0) is preferably a compound having the following structure from the viewpoint of etching resistance.

[화학식 200](200)

Figure pct00200
Figure pct00200

[화학식 201][201]

Figure pct00201
Figure pct00201

[화학식 202](202)

Figure pct00202
Figure pct00202

상기 식 중, R0A는 상기 식(0) 중의 RY와 동의이고, R1A’는 상기 식(0) 중의 RZ와 동의이고, R10~R13은, 상기 식(1-2)에서 설명한 것과 동의이다. Wherein R 0A is the same as R Y in the formula (0), R 1A ' is the same as R Z in the formula (0), and R 10 to R 13 are the same as those in the formula It is described and agreed.

[화학식 203](203)

Figure pct00203
Figure pct00203

[화학식 204]≪ EMI ID =

Figure pct00204
Figure pct00204

상기 식 중, R10~R13은, 상기 식(1-2)에서 설명한 것과 동의이다. R14는 각각 독립적으로, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 탄소수 6~30의 아릴기, 또는 탄소수 2~30의 알케닐기, 탄소수 1~30의 알콕시기, 할로겐원자, 티올기이고, m14는 0~4의 정수이다.In the formula, R 10 to R 13 are the same as those described in the formula (1-2). R 14 each independently represents a linear, branched or cyclic alkyl group of 1 to 30 carbon atoms, an aryl group of 6 to 30 carbon atoms, an alkenyl group of 2 to 30 carbon atoms, an alkoxy group of 1 to 30 carbon atoms, a halogen atom, Thiol group, and m 14 is an integer of 0 to 4.

R14로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 시클로운데실기, 시클로도데실기, 시클로트리아콘틸기, 노보닐기, 아다만틸기, 페닐기, 나프틸기, 안트라센기, 헵타센기, 비닐기, 알릴기, 트리아콘테닐기, 메톡시기, 에톡시기, 트리아콘틱시기, 불소원자, 염소원자, 브롬원자, 요오드원자, 티올기를 들 수 있다.Examples of R 14 include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, a triacontyl group, A cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, a cyclodecyl group, a cyclododecyl group, a cyclotriacontyl group, a norbornyl group, an adamantyl group, a phenyl group, An alkoxy group, a methoxy group, an ethoxy group, a triacontic group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and a thiol group.

상기 R14의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 14 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 205](205)

Figure pct00205
Figure pct00205

[화학식 206](206)

Figure pct00206
Figure pct00206

[화학식 207](207)

Figure pct00207
Figure pct00207

[화학식 208](208)

Figure pct00208
Figure pct00208

[화학식 209]≪ EMI ID =

Figure pct00209
Figure pct00209

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R15는, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 탄소수 6~30의 아릴기, 또는 탄소수 2~30의 알케닐기, 탄소수 1~30의 알콕시기, 할로겐원자, 티올기이다.Wherein R 10 to R 13 are the same as those described in the above formula (1-2), R 15 is a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, an aryl group having 6 to 30 carbon atoms, An alkenyl group having 2 to 30 carbon atoms, an alkoxy group having 1 to 30 carbon atoms, a halogen atom, and a thiol group.

R15로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 시클로운데실기, 시클로도데실기, 시클로트리아콘틸기, 노보닐기, 아다만틸기, 페닐기, 나프틸기, 안트라센기, 헵타센기, 비닐기, 알릴기, 트리아콘테닐기, 메톡시기, 에톡시기, 트리아콘틱시기, 불소원자, 염소원자, 브롬원자, 요오드원자, 티올기를 들 수 있다.Examples of R 15 include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, a triacontyl group, A cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, a cyclodecyl group, a cyclododecyl group, a cyclotriacontyl group, a norbornyl group, an adamantyl group, a phenyl group, An alkoxy group, a methoxy group, an ethoxy group, a triacontic group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and a thiol group.

상기 R15의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 15 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 210](210)

Figure pct00210
Figure pct00210

[화학식 211](211)

Figure pct00211
Figure pct00211

[화학식 212](212)

Figure pct00212
Figure pct00212

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R16은, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬렌기, 탄소수 6~30의 2가의 아릴기, 또는 탄소수 2~30의 2가의 알케닐기이다.Wherein R 10 to R 13 are the same as those described in the above formula (1-2), R 16 is a linear, branched or cyclic alkylene group having 1 to 30 carbon atoms, a divalent An aryl group, or a divalent alkenyl group having 2 to 30 carbon atoms.

R16으로는, 예를 들어, 메틸렌기, 에틸렌기, 프로펜기, 부텐기, 펜텐기, 헥센기, 헵텐기, 옥텐기, 노넨기, 데센기, 운데센기, 도데센기, 트리아콘텐기, 시클로프로펜기, 시클로부텐기, 시클로펜텐기, 시클로헥센기, 시클로헵텐기, 시클로옥텐기, 시클로노넨기, 시클로데센기, 시클로운데센기, 시클로도데센기, 시클로트리아콘텐기, 2가의 노보닐기, 2가의 아다만틸기, 2가의 페닐기, 2가의 나프틸기, 2가의 안트라센기, 2가의 헵타센기, 2가의 비닐기, 2가의 알릴기, 2가의 트리아콘테닐기를 들 수 있다.Examples of R 16 include methylene, ethylene, propene, butene, pentene, hexene, heptene, octene, nonene, decene, A cycloheptene group, a cyclopentene group, a cyclopentene group, a cyclopentene group, a cyclohexene group, a cycloheptene group, a cyclooctene group, a cyclopentene group, a cyclodecene group, a cyclododecene group, a cyclododecene group, A divalent naphthyl group, a divalent anthracene group, a divalent heptasene group, a divalent vinyl group, a divalent allyl group, and a divalent triacontenyl group can be given.

상기 R16의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 16 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 213](213)

Figure pct00213
Figure pct00213

[화학식 214](214)

Figure pct00214
Figure pct00214

[화학식 215](215)

Figure pct00215
Figure pct00215

[화학식 216](216)

Figure pct00216
Figure pct00216

[화학식 217][217]

Figure pct00217
Figure pct00217

[화학식 218][218]

Figure pct00218
Figure pct00218

[화학식 219][219]

Figure pct00219
Figure pct00219

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R14는 각각 독립적으로, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 탄소수 6~30의 아릴기, 또는 탄소수 2~30의 알케닐기, 탄소수 1~30의 알콕시기, 할로겐원자, 티올기이고, m14’는 0~4의 정수이다.Wherein R 10 to R 13 are the same as those described in the above formula (1-2), R 14 each independently represents a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkyl group having 6 to 30 carbon atoms An aryl group or an alkenyl group having 2 to 30 carbon atoms, an alkoxy group having 1 to 30 carbon atoms, a halogen atom or a thiol group, and m 14 ' is an integer of 0 to 4.

R14로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 시클로운데실기, 시클로도데실기, 시클로트리아콘틸기, 노보닐기, 아다만틸기, 페닐기, 나프틸기, 안트라센기, 헵타센기, 비닐기, 알릴기, 트리아콘테닐기, 메톡시기, 에톡시기, 트리아콘틱시기, 불소원자, 염소원자, 브롬원자, 요오드원자, 티올기를 들 수 있다.Examples of R 14 include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, a triacontyl group, A cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, a cyclodecyl group, a cyclododecyl group, a cyclotriacontyl group, a norbornyl group, an adamantyl group, a phenyl group, An alkoxy group, a methoxy group, an ethoxy group, a triacontic group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and a thiol group.

상기 R14의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 14 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 220](220)

Figure pct00220
Figure pct00220

[화학식 221][Formula 221]

Figure pct00221
Figure pct00221

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R14는 각각 독립적으로, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 탄소수 6~30의 아릴기, 또는 탄소수 2~30의 알케닐기, 탄소수 1~30의 알콕시기, 할로겐원자, 티올기이고, m14는 0~5의 정수이다.Wherein R 10 to R 13 are the same as those described in the above formula (1-2), R 14 each independently represents a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkyl group having 6 to 30 carbon atoms An aryl group or an alkenyl group having 2 to 30 carbon atoms, an alkoxy group having 1 to 30 carbon atoms, a halogen atom, and a thiol group, and m 14 is an integer of 0 to 5.

R14로는, 예를 들어, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기, 노닐기, 데실기, 운데실기, 도데실기, 트리아콘틸기, 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸기, 시클로노닐기, 시클로데실기, 시클로운데실기, 시클로도데실기, 시클로트리아콘틸기, 노보닐기, 아다만틸기, 페닐기, 나프틸기, 안트라센기, 헵타센기, 비닐기, 알릴기, 트리아콘테닐기, 메톡시기, 에톡시기, 트리아콘틱시기, 불소원자, 염소원자, 브롬원자, 요오드원자, 티올기를 들 수 있다.Examples of R 14 include a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a nonyl group, a decyl group, an undecyl group, a dodecyl group, a triacontyl group, A cyclohexyl group, a cycloheptyl group, a cyclooctyl group, a cyclononyl group, a cyclodecyl group, a cyclodecyl group, a cyclododecyl group, a cyclotriacontyl group, a norbornyl group, an adamantyl group, a phenyl group, An alkoxy group, a methoxy group, an ethoxy group, a triacontic group, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and a thiol group.

상기 R14의 각 예시는, 이성체를 포함한다. 예를 들어, 부틸기는, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기를 포함하고 있다.Each of the examples of R < 14 > includes an isomer. For example, the butyl group includes an n-butyl group, an isobutyl group, a sec-butyl group, and a tert-butyl group.

[화학식 222](222)

Figure pct00222
Figure pct00222

[화학식 223][223]

Figure pct00223
Figure pct00223

[화학식 224][224]

Figure pct00224
Figure pct00224

[화학식 225][225]

Figure pct00225
Figure pct00225

[화학식 226][226]

Figure pct00226
Figure pct00226

[화학식 227][227]

Figure pct00227
Figure pct00227

[화학식 228][228]

Figure pct00228
Figure pct00228

[화학식 229][229]

Figure pct00229
Figure pct00229

[화학식 230][230]

Figure pct00230
Figure pct00230

[화학식 231][231]

Figure pct00231
Figure pct00231

[화학식 232](232)

Figure pct00232
Figure pct00232

[화학식 233][233]

Figure pct00233
Figure pct00233

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이다.In the formula, R 10 to R 13 are the same as those described in the formula (1-2).

상기에 열거한 화합물 중에서도, 내열성의 관점으로부터, 디벤조크산텐골격을 갖는 화합물이 보다 바람직하다.Among the above-listed compounds, compounds having a dibenzo xanthene skeleton are more preferable from the viewpoint of heat resistance.

식(0)으로 표시되는 화합물은, 원료의 입수성의 관점으로부터, 더욱 바람직하게는 이하에 열거되는 화합물이다.The compound represented by the formula (0) is more preferably the compounds listed below from the viewpoint of the availability of the starting material.

[화학식 234][234]

Figure pct00234
Figure pct00234

[화학식 235][235]

Figure pct00235
Figure pct00235

[화학식 236][236]

Figure pct00236
Figure pct00236

[화학식 237][237]

Figure pct00237
Figure pct00237

[화학식 238][238]

Figure pct00238
Figure pct00238

[화학식 239][239]

Figure pct00239
Figure pct00239

[화학식 240](240)

Figure pct00240
Figure pct00240

[화학식 241][241]

Figure pct00241
Figure pct00241

[화학식 242][242]

Figure pct00242
Figure pct00242

[화학식 243][243]

Figure pct00243
Figure pct00243

[화학식 244][244]

Figure pct00244
Figure pct00244

[화학식 245][245]

Figure pct00245
Figure pct00245

[화학식 246][246]

Figure pct00246
Figure pct00246

[화학식 247][247]

Figure pct00247
Figure pct00247

[화학식 248][248]

Figure pct00248
Figure pct00248

[화학식 249][249]

Figure pct00249
Figure pct00249

[화학식 250](250)

Figure pct00250
Figure pct00250

[화학식 251][251]

Figure pct00251
Figure pct00251

[화학식 252]≪ EMI ID =

Figure pct00252
Figure pct00252

[화학식 253][253]

Figure pct00253
Figure pct00253

[화학식 254]≪ EMI ID =

Figure pct00254
Figure pct00254

[화학식 255][255]

Figure pct00255
Figure pct00255

[화학식 256][Formula 256]

Figure pct00256
Figure pct00256

[화학식 257][257]

Figure pct00257
Figure pct00257

[화학식 258][258]

Figure pct00258
Figure pct00258

[화학식 259][259]

Figure pct00259
Figure pct00259

[화학식 260][260]

Figure pct00260
Figure pct00260

[화학식 261][261]

Figure pct00261
Figure pct00261

[화학식 262][262]

Figure pct00262
Figure pct00262

[화학식 263][263]

Figure pct00263
Figure pct00263

[화학식 264][264]

[화학식 265][265]

Figure pct00265
Figure pct00265

[화학식 266][266]

Figure pct00266
Figure pct00266

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이다.In the formula, R 10 to R 13 are the same as those described in the formula (1-2).

상기에서 열거한 화합물 중에서도, 내열성의 관점으로부터, 디벤조크산텐골격을 갖는 화합물이 보다 바람직하다.Among the above-listed compounds, compounds having a dibenzo xanthene skeleton are more preferable from the viewpoint of heat resistance.

상기 식(0)으로 표시되는 화합물은, 원료입수성의 관점으로부터, 이하의 구조를 갖는 화합물인 것이 바람직하다.The compound represented by the formula (0) is preferably a compound having the following structure from the viewpoint of raw material availability.

[화학식 267][267]

Figure pct00267
Figure pct00267

[화학식 268][268]

Figure pct00268
Figure pct00268

상기 식 중, R0A는 상기 식(0) 중의 RY와 동의이고, R1A’는 상기 식(0) 중의 RZ와 동의이고, R10~R13은, 상기 식(1-2)에서 설명한 것과 동의이다. Wherein R 0A is the same as R Y in the formula (0), R 1A ' is the same as R Z in the formula (0), and R 10 to R 13 are the same as those in the formula It is described and agreed.

상기에서 열거한 화합물은, 내열성의 관점으로부터, 크산텐골격을 갖는 화합물인 것이 보다 바람직하다.From the viewpoint of heat resistance, the compounds listed above are more preferably compounds having a xanthene skeleton.

상기 식(0)으로 표시되는 화합물로는, 추가로, 이하의 식으로 표시되는 화합물을 들 수 있다.Examples of the compound represented by the formula (0) include compounds represented by the following formulas.

[화학식 269][269]

Figure pct00269
Figure pct00269

[화학식 270](270)

Figure pct00270
Figure pct00270

[화학식 271][271]

Figure pct00271
Figure pct00271

[화학식 272][272]

Figure pct00272
Figure pct00272

[화학식 273][273]

Figure pct00273
Figure pct00273

[화학식 274][274]

Figure pct00274
Figure pct00274

[화학식 275][275]

Figure pct00275
Figure pct00275

[화학식 276][276]

Figure pct00276
Figure pct00276

[화학식 277][277]

Figure pct00277
Figure pct00277

[화학식 278][278]

Figure pct00278
Figure pct00278

[화학식 279][279]

Figure pct00279
Figure pct00279

[화학식 280](280)

Figure pct00280
Figure pct00280

[화학식 281][281]

Figure pct00281
Figure pct00281

[화학식 282][282]

Figure pct00282
Figure pct00282

[화학식 283][283]

Figure pct00283
Figure pct00283

[화학식 284]≪ EMI ID =

Figure pct00284
Figure pct00284

[화학식 285][285]

Figure pct00285
Figure pct00285

[화학식 286][286]

Figure pct00286
Figure pct00286

[화학식 287][287]

Figure pct00287
Figure pct00287

[화학식 288][288]

Figure pct00288
Figure pct00288

[화학식 289][289]

Figure pct00289
Figure pct00289

[화학식 290][290]

Figure pct00290
Figure pct00290

[화학식 291][291]

Figure pct00291
Figure pct00291

[화학식 292][292]

Figure pct00292
Figure pct00292

[화학식 293][293]

Figure pct00293
Figure pct00293

[화학식 294][294]

Figure pct00294
Figure pct00294

[화학식 295][295]

Figure pct00295
Figure pct00295

[화학식 296][296]

Figure pct00296
Figure pct00296

[화학식 297][297]

Figure pct00297
Figure pct00297

[화학식 298][298]

Figure pct00298
Figure pct00298

[화학식 299]≪ EMI ID =

Figure pct00299
Figure pct00299

[화학식 300](300)

Figure pct00300
Figure pct00300

[화학식 301](301)

Figure pct00301
Figure pct00301

[화학식 302](302)

Figure pct00302
Figure pct00302

[화학식 303]≪ EMI ID =

Figure pct00303
Figure pct00303

[화학식 304](304)

Figure pct00304
Figure pct00304

[화학식 305]≪ EMI ID =

Figure pct00305
Figure pct00305

[화학식 306](306)

Figure pct00306
Figure pct00306

[화학식 307]≪ EMI ID =

Figure pct00307
Figure pct00307

[화학식 308]≪ EMI ID =

Figure pct00308
Figure pct00308

[화학식 309]≪ EMI ID =

Figure pct00309
Figure pct00309

[화학식 310]≪ EMI ID =

Figure pct00310
Figure pct00310

[화학식 311]≪ EMI ID =

Figure pct00311
Figure pct00311

[화학식 312](312)

Figure pct00312
Figure pct00312

[화학식 313][313]

Figure pct00313
Figure pct00313

[화학식 314]≪ EMI ID =

Figure pct00314
Figure pct00314

[화학식 315][315]

Figure pct00315
Figure pct00315

[화학식 316][316]

Figure pct00316
Figure pct00316

[화학식 317][317]

Figure pct00317
Figure pct00317

[화학식 318][318]

Figure pct00318
Figure pct00318

[화학식 319][319]

Figure pct00319
Figure pct00319

[화학식 320](320)

Figure pct00320
Figure pct00320

[화학식 321]≪ EMI ID =

Figure pct00321
Figure pct00321

[화학식 322](322)

Figure pct00322
Figure pct00322

[화학식 323](323)

Figure pct00323
Figure pct00323

[화학식 324](324)

Figure pct00324
Figure pct00324

[화학식 325](325)

Figure pct00325
Figure pct00325

[화학식 326](326)

Figure pct00326
Figure pct00326

[화학식 327](327)

Figure pct00327
Figure pct00327

[화학식 328](328)

Figure pct00328
Figure pct00328

[화학식 329]≪ EMI ID =

Figure pct00329
Figure pct00329

[화학식 330](330)

Figure pct00330
Figure pct00330

[화학식 331]≪ EMI ID =

Figure pct00331
Figure pct00331

[화학식 332](332)

Figure pct00332
Figure pct00332

상기 식 중, R10~R13은 상기 식(1-2)에서 설명한 것과 동의이고, R14, R15, R16, m14, m14’는 상기 식에서 설명한 것과 동의이다.In the formula, R 10 to R 13 are the same as those described in the formula (1-2), and R 14 , R 15 , R 16 , m 14 and m 14 ' are as defined in the above formula.

[식(1)로 표시되는 화합물의 제조방법][Method for producing the compound represented by the formula (1)

본 실시형태에서 사용되는 식(1)로 표시되는 화합물은, 공지의 수법을 응용하여 적당히 합성할 수 있으며, 그 합성수법은 특별히 한정되지 않는다.The compound represented by the formula (1) used in the present embodiment can be appropriately synthesized by applying a known technique, and the synthesis method thereof is not particularly limited.

예를 들어, 상압하, 비페놀류, 비나프톨류 또는 비안트라센올과, 대응하는 알데히드류 또는 케톤류를 산촉매하에서 중축합반응시킴으로써 폴리페놀 화합물을 얻고, 이어서, 폴리페놀 화합물의 적어도 1개의 페놀성 수산기에, 하기 식(0-1A)로 표시되는 기를 도입함으로써 얻어진다.For example, a polyphenol compound is obtained by subjecting a non-phenol, a non-naphthol or a nonanthracene and a corresponding aldehyde or ketone under polycondensation under an acid catalyst to at least one phenolic hydroxyl group of a polyphenol compound By introducing a group represented by the following formula (0-1A).

또한, 하기 식(0-1B)로 표시되는 기를 도입하여, 그 하이드록시기에 하기 식(0-1A)로 표시되는 기를 도입함으로써도 얻어진다. 또한, 필요에 따라, 가압하에서 행할 수도 있다.Further, a group represented by the following formula (0-1B) is introduced, and a group represented by the following formula (0-1A) is introduced into the hydroxyl group. Further, if necessary, it may be carried out under pressure.

[화학식 333][333]

Figure pct00333
Figure pct00333

(0-1A)(0-1A)

(식(0-1A) 중, RX는, 수소원자 또는 메틸기이다.)(In the formula (0-1A), R X is a hydrogen atom or a methyl group.)

[화학식 334][334]

Figure pct00334
Figure pct00334

(0-1B)(0-1B)

(식(0-1B) 중, RW는, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬렌기이고, s는, 0~30의 정수이다.)(In the formula (0-1B), R W is a linear, branched or cyclic alkylene group having 1 to 30 carbon atoms, and s is an integer of 0 to 30)

상기 비페놀류로는, 예를 들어, 비페놀, 메틸비페놀, 메톡시비나프톨 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들은, 1종을 단독으로, 또는 2종 이상을 조합하여 사용할 수 있다. 이들 중에서도, 비페놀을 이용하는 것이 원료의 안정공급성의 점에서 보다 바람직하다.Examples of the non-phenol include biphenol, methyl biphenol, methoxybiphentol, and the like, but they are not particularly limited thereto. These may be used singly or in combination of two or more. Of these, the use of biphenol is more preferable in terms of stable supply of raw materials.

상기 비나프톨류로는, 예를 들어, 비나프톨, 메틸비나프톨, 메톡시비나프톨 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들은, 1종을 단독으로 또는 2종 이상을 조합하여 사용할 수 있다. 이들 중에서도, 비나프톨을 이용하는 것이, 탄소원자농도를 높이고, 내열성을 향상시키는 점에서 보다 바람직하다.Examples of the non-naphthols include, for example, binaphthol, methyl binaphthol, methoxybiphentol and the like, but they are not particularly limited thereto. These may be used singly or in combination of two or more. Among them, it is more preferable to use binaphthol in view of increasing the carbon atom concentration and improving the heat resistance.

상기 알데히드류로는, 예를 들어, 포름알데히드, 트리옥산, 파라포름알데히드, 벤즈알데히드, 아세트알데히드, 프로필알데히드, 페닐아세트알데히드, 페닐프로필알데히드, 하이드록시벤즈알데히드, 클로로벤즈알데히드, 니트로벤즈알데히드, 메틸벤즈알데히드, 에틸벤즈알데히드, 부틸벤즈알데히드, 비페닐알데히드, 나프토알데히드, 안트라센카르보알데히드, 페난트렌카르보알데히드, 피렌카르보알데히드, 푸르푸랄 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들은, 1종을 단독으로 또는 2종 이상을 조합하여 사용할 수 있다. 이들 중에서도, 벤즈알데히드, 페닐아세트알데히드, 페닐프로필알데히드, 하이드록시벤즈알데히드, 클로로벤즈알데히드, 니트로벤즈알데히드, 메틸벤즈알데히드, 에틸벤즈알데히드, 부틸벤즈알데히드, 시클로헥실벤즈알데히드, 비페닐알데히드, 나프토알데히드, 안트라센카르보알데히드, 페난트렌카르보알데히드, 피렌카르보알데히드, 푸르푸랄을 이용하는 것이 높은 내열성을 부여하는 점에서 바람직하고, 벤즈알데히드, 하이드록시벤즈알데히드, 클로로벤즈알데히드, 니트로벤즈알데히드, 메틸벤즈알데히드, 에틸벤즈알데히드, 부틸벤즈알데히드, 시클로헥실벤즈알데히드, 비페닐알데히드, 나프토알데히드, 안트라센카르보알데히드, 페난트렌카르보알데히드, 피렌카르보알데히드, 푸르푸랄을 이용하는 것이 에칭내성이 높아, 보다 바람직하다.Examples of the aldehydes include formaldehyde, trioxane, paraformaldehyde, benzaldehyde, acetaldehyde, propylaldehyde, phenylacetaldehyde, phenylpropylaldehyde, hydroxybenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, ethyl Benzaldehyde, butylbenzaldehyde, biphenylaldehyde, naphthalaldehyde, anthracene carbaldehyde, phenanthrenecarbaldehyde, pyrenecarbaldehyde, furfural, and the like, but are not limited thereto. These may be used singly or in combination of two or more. Among them, benzaldehyde, phenylacetaldehyde, phenylpropylaldehyde, hydroxybenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, ethylbenzaldehyde, butylbenzaldehyde, cyclohexylbenzaldehyde, biphenylaldehyde, naphthalaldehyde, anthracene carbaldehyde, phenan It is preferable to use trenecarboaldehyde, pyrenecarboaldehyde and furfural in view of imparting high heat resistance, and it is preferable to use benzaldehyde, hydroxybenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, ethylbenzaldehyde, butylbenzaldehyde, cyclohexylbenzaldehyde, It is more preferable to use biphenylaldehyde, naphthalaldehyde, anthracene carbaldehyde, phenanthrenecarbaldehyde, pyrencarboaldehyde, and furfural because of their high etching resistance.

상기 케톤류로는, 예를 들어, 아세톤, 메틸에틸케톤, 시클로부탄온, 시클로펜탄온, 시클로헥사논, 노보난온(ノルボルナノン, norbornanone), 트리시클로헥사논, 트리시클로데칸온, 아다만탄온, 플루오레논, 벤조플루오레논, 아세나프텐퀴논, 아세나프테논, 안트라퀴논, 아세토페논, 디아세틸벤젠, 트리아세틸벤젠, 아세토나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐, 벤조페논, 디페닐카르보닐벤젠, 트리페닐카르보닐벤젠, 벤조나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들은, 1종을 단독으로 또는 2종 이상을 조합하여 사용할 수 있다. 이들 중에서도, 시클로펜탄온, 시클로헥사논, 노보난온, 트리시클로헥사논, 트리시클로데칸온, 아다만탄온, 플루오레논, 벤조플루오레논, 아세나프텐퀴논, 아세나프테논, 안트라퀴논, 아세토페논, 디아세틸벤젠, 트리아세틸벤젠, 아세토나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐, 벤조페논, 디페닐카르보닐벤젠, 트리페닐카르보닐벤젠, 벤조나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐을 이용하는 것이, 높은 내열성을 부여하는 점에서 바람직하고, 아세토페논, 디아세틸벤젠, 트리아세틸벤젠, 아세토나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐, 벤조페논, 디페닐카르보닐벤젠, 트리페닐카르보닐벤젠, 벤조나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐을 이용하는 것이, 에칭내성이 높아, 보다 바람직하다.The ketones include, for example, acetone, methyl ethyl ketone, cyclobutanone, cyclopentanone, cyclohexanone, norbornanone, tricyclohexanone, tricyclodecanone, adamantanone, fluoro There is provided a process for the production of a compound represented by the general formula (1), wherein R < 1 > is selected from the group consisting of lenone, benzofluorenone, acenaphthenequinone, acenaphthenone, anthraquinone, acetophenone, diacetylbenzene, triacetylbenzene, acetonaphtone, diphenylcarbonylnaphthalene, phenylcarbonylbiphenyl, But are not limited to, benzophenone, diphenylcarbonylbenzene, triphenylcarbonylbenzene, benzonaphtone, diphenylcarbonylnaphthalene, phenylcarbonylbiphenyl, diphenylcarbonylbiphenyl, and the like. These may be used singly or in combination of two or more. Of these, preferred are cyclopentanone, cyclohexanone, norbornanone, tricyclohexanone, tricyclodecanone, adamantanone, fluorenone, benzofluorenone, acenaphthenequinone, acenaphthenone, anthraquinone, acetophenone, Benzene, triacetylbenzene, acetonaphtone, diphenylcarbonylnaphthalene, phenylcarbonylbiphenyl, diphenylcarbonylbiphenyl, benzophenone, diphenylcarbonylbenzene, triphenylcarbonylbenzene, benzonaphtone, diphenyl It is preferable to use carbonylnaphthalene, phenylcarbonylbiphenyl or diphenylcarbonylbiphenyl from the viewpoint of imparting high heat resistance, and it is preferable to use acetophenone, diacetylbenzene, triacetylbenzene, acetonaphtone, diphenylcarbonylnaphthalene , Phenylcarbonylbiphenyl, diphenylcarbonylbiphenyl, benzophenone, diphenylcarbonylbenzene, triphenylcarbonylbenzene, benzonaphthone, diphenylcarbonylnaphthalene, phenyl Carbonylbiphenyl and diphenylcarbonylbiphenyl are more preferred because of their high etching resistance.

알데히드류 또는 케톤류로서, 방향환을 갖는 알데히드 또는 방향족을 갖는 케톤을 이용하는 것이, 높은 내열성 및 높은 에칭내성을 겸비하는 점에서 바람직하다.As aldehydes or ketones, it is preferable to use an aldehyde having an aromatic ring or a ketone having an aromatic group in view of having high heat resistance and high etching resistance.

상기 반응에 이용하는 산촉매에 대해서는, 공지의 것으로부터 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않는다. 이러한 산촉매로는, 무기산이나 유기산이 널리 알려져 있으며, 예를 들어, 염산, 황산, 인산, 브롬화수소산, 불산 등의 무기산; 옥살산, 말론산, 석신산, 아디프산, 세바스산, 구연산, 푸마르산, 말레산, 포름산, p-톨루엔설폰산, 메탄설폰산, 트리플루오로아세트산, 디클로로아세트산, 트리클로로아세트산, 트리플루오로메탄설폰산, 벤젠설폰산, 나프탈렌설폰산, 나프탈렌디설폰산 등의 유기산; 염화아연, 염화알루미늄, 염화철, 삼불화붕소 등의 루이스산; 규텅스텐산, 인텅스텐산, 규몰리브덴산 또는 인몰리브덴산 등의 고체산 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들 중에서도, 제조 상의 관점으로부터, 유기산 및 고체산이 바람직하고, 입수의 용이함이나 취급용이함 등의 제조 상의 관점으로부터, 염산 또는 황산을 이용하는 것이 바람직하다. 한편, 산촉매에 대해서는, 1종을 단독으로 또는 2종 이상을 조합하여 이용할 수 있다. 또한, 산촉매의 사용량은, 사용하는 원료 및 사용하는 촉매의 종류, 더 나아가서는 반응조건 등에 따라 적당히 설정할 수 있고, 특별히 한정되지 않으나, 반응원료 100질량부에 대해, 0.01~100질량부인 것이 바람직하다.The acid catalyst to be used in the reaction can be appropriately selected from known ones and is not particularly limited. As such acid catalysts, inorganic acids and organic acids are widely known and include, for example, inorganic acids such as hydrochloric acid, sulfuric acid, phosphoric acid, hydrobromic acid, and hydrofluoric acid; But are not limited to, oxalic, malonic, succinic, adipic, sebacic, citric, fumaric, maleic, formic, p-toluenesulfonic, methanesulfonic, trifluoroacetic, Organic acids such as sulfonic acid, benzenesulfonic acid, naphthalenesulfonic acid, and naphthalenedisulfonic acid; Lewis acids such as zinc chloride, aluminum chloride, iron chloride and boron trifluoride; And silicic acid such as tungstic acid, tungstic acid, silicomolybdic acid or phosphomolybdic acid, and the like are not particularly limited. Of these, from the viewpoint of production, organic acids and solid acids are preferable, and hydrochloric acid or sulfuric acid is preferably used from the viewpoint of production easiness, ease of handling, and the like. On the other hand, as for the acid catalyst, one type may be used alone, or two or more types may be used in combination. The amount of the acid catalyst to be used can be suitably set according to the kind of the raw material to be used and the type of the catalyst to be used and furthermore the reaction conditions and is not particularly limited but is preferably 0.01 to 100 parts by mass with respect to 100 parts by mass of the reaction raw material .

상기 반응시에는, 반응용매를 이용할 수도 있다. 반응용매로는, 이용하는 알데히드류 또는 케톤류와, 비페놀류, 비나프톨류 또는 비안트라센디올과의 반응이 진행되는 것이면, 특별히 한정되지 않고, 공지의 것 중에서 적당히 선택하여 이용할 수 있다. 반응용매로는, 예를 들어, 물, 메탄올, 에탄올, 프로판올, 부탄올, 테트라하이드로푸란, 디옥산, 에틸렌글리콜디메틸에테르, 에틸렌글리콜디에틸에테르 또는 이들의 혼합용매 등을 들 수 있다. 한편, 용매는, 1종을 단독으로 혹은 2종 이상을 조합하여 이용할 수 있다.In the reaction, a reaction solvent may be used. The reaction solvent is not particularly limited as long as the reaction between the aldehyde or ketone to be used and the non-phenol, binaphthol or nonanthracene diol proceeds, and can be appropriately selected from known ones. Examples of the reaction solvent include water, methanol, ethanol, propanol, butanol, tetrahydrofuran, dioxane, ethylene glycol dimethyl ether, ethylene glycol diethyl ether or a mixed solvent thereof. On the other hand, one solvent may be used alone, or two or more solvents may be used in combination.

또한, 이들 반응용매의 사용량은, 사용하는 원료 및 사용하는 촉매의 종류, 더 나아가서는 반응조건 등에 따라 적당히 설정할 수 있고, 특별히 한정되지 않으나, 반응원료 100질량부에 대해 0~2000질량부의 범위인 것이 바람직하다. 나아가, 상기 반응에 있어서의 반응온도는, 반응원료의 반응성에 따라 적당히 선택할 수 있으며, 특별히 한정되지 않으나, 통상 10~200℃의 범위이다.The amount of these reaction solvents to be used can be suitably set according to the kind of the raw materials to be used and the type of catalyst used and furthermore the reaction conditions and is not particularly limited but may be in the range of 0 to 2,000 parts by mass per 100 parts by mass of the reaction raw material . Further, the reaction temperature in the above-mentioned reaction can be appropriately selected according to the reactivity of the reaction raw material, and is not particularly limited, but is usually in the range of 10 to 200 ° C.

폴리페놀 화합물을 얻기 위해서는, 반응온도는 높은 편이 바람직하고, 구체적으로는 60~200℃의 범위가 바람직하다. 한편, 반응방법은, 공지의 수법을 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않으나, 비페놀류, 비나프톨류 또는 비안트라센디올, 알데히드류 또는 케톤류, 촉매를 일괄로 투입하는 방법이나, 비페놀류, 비나프톨류 또는 비안트라센디올이나 알데히드류 또는 케톤류를 촉매존재하에서 적하해 가는 방법을 들 수 있다. 중축합반응 종료 후, 얻어진 화합물의 단리는, 상법에 따라서 행할 수 있으며, 특별히 한정되지 않는다. 예를 들어, 계 내에 존재하는 미반응원료나 촉매 등을 제거하기 위해, 반응솥의 온도를 130~230℃까지 상승시키고, 1~50mmHg 정도에서 휘발분을 제거하는 등의 일반적 수법을 채용함으로써, 목적물인 화합물을 단리할 수 있다.In order to obtain a polyphenol compound, the reaction temperature is preferably high, and specifically preferably in the range of 60 to 200 ° C. On the other hand, the reaction method can be carried out by appropriately selecting a known method, and is not particularly limited. However, a method in which a nonphenol, a naphthol or a nonanthracene diol, an aldehyde or a ketone, And a method of dropping non-naphthols or nonanthracene diols, aldehydes or ketones in the presence of a catalyst. After completion of the polycondensation reaction, the obtained compound can be isolated according to a conventional method, and is not particularly limited. For example, in order to remove unreacted starting materials and catalysts present in the system, by employing a general method such as raising the temperature of the reaction pot to 130 to 230 DEG C and removing volatile matter at about 1 to 50 mmHg, The phosphorus compound can be isolated.

바람직한 반응조건으로는, 알데히드류 또는 케톤류 1몰에 대해, 비페놀류, 비나프톨류 또는 비안트라센디올을 1.0몰~과잉량, 및 산촉매를 0.001~1몰 사용하고, 상압에서, 50~150℃에서 20분~100시간 정도 반응시키는 것을 들 수 있다.Preferable reaction conditions are as follows: 1.0 mol to excess amount of non-phenols, binaphthol or nonanthracene diol per mole of aldehydes or ketones, 0.001 to 1 mol of an acid catalyst and 0.001 to 1 mol of an acid catalyst at 50 to 150 DEG C For about 20 minutes to about 100 hours.

반응 종료 후, 공지의 방법에 의해 목적물을 단리할 수 있다. 예를 들어, 반응액을 농축하고, 순수를 첨가하여 반응생성물을 석출시키고, 실온까지 냉각한 후, 여과를 행하여 분리시키고, 얻어진 고형물을 여과하고, 건조시킨 후, 컬럼크로마토그래프에 의해, 부생성물과 분리정제하고, 용매유거, 여과, 건조를 행하여 목적물인 상기 식(1)로 표시되는 화합물을 얻을 수 있다.After completion of the reaction, the desired product can be isolated by a known method. For example, the reaction solution is concentrated, pure water is added to precipitate a reaction product, the reaction product is cooled to room temperature, filtered and separated, and the resulting solid is filtered and dried, , Followed by solvent evaporation, filtration and drying to obtain the target compound represented by the above formula (1).

폴리페놀 화합물의 적어도 1개의 페놀성 수산기에 상기 식(0-1A)로 표시되는 기를 도입하는 방법은 공지이다. 예를 들어, 이하와 같이 하여, 상기 화합물의 적어도 1개의 페놀성 수산기에 식(0-1A)로 표시되는 기를 도입할 수 있다. 식(0-1A)로 표시되는 기를 도입하기 위한 화합물은, 공지의 방법으로 합성하거나 또는 용이하게 입수할 수 있으며, 예를 들어, 2-이소나토에틸메타크릴레이트, 2-이소나토에틸아크릴레이트를 들 수 있는데 이것들로 특별히 한정되지는 않는다.A method of introducing a group represented by the formula (0-1A) into at least one phenolic hydroxyl group of a polyphenol compound is known. For example, a group represented by the formula (0-1A) can be introduced into at least one phenolic hydroxyl group of the compound as follows. The compound for introducing the group represented by formula (0-1A) can be synthesized or easily obtained by a known method, and examples thereof include 2-isonatoethyl methacrylate, 2-isonatoethyl acrylate But are not limited to these.

예를 들어, 아세톤, 테트라하이드로푸란(THF), 프로필렌글리콜모노메틸에테르아세테이트 등의 비프로톤성 용매에 상기 화합물을 용해 또는 현탁시킨다. 이어서, 수산화나트륨, 수산화칼륨, 나트륨메톡사이드, 나트륨에톡사이드 등의 염기촉매의 존재하, 상압에서, 20~150℃, 6~72시간 반응시킨다. 반응액을 산으로 중화하고, 증류수에 첨가하여 백색고체를 석출시킨 후, 분리된 고체를 증류수로 세정하거나, 또는 용매를 증발건고(乾固)시켜, 필요에 따라 증류수로 세정하고, 건조함으로써, 수산기의 수소원자가 상기 식(0-1A)로 표시되는 기로 치환된 화합물을 얻을 수 있다.For example, the compound is dissolved or suspended in an aprotic solvent such as acetone, tetrahydrofuran (THF), propylene glycol monomethyl ether acetate or the like. Subsequently, the reaction is carried out at 20 to 150 ° C for 6 to 72 hours under normal pressure in the presence of a base catalyst such as sodium hydroxide, potassium hydroxide, sodium methoxide or sodium ethoxide. The reaction solution is neutralized with an acid and added to distilled water to precipitate a white solid. The separated solid is washed with distilled water, or the solvent is evaporated to dryness, washed with distilled water if necessary, A compound in which the hydrogen atom of the hydroxyl group is substituted with the group represented by the formula (0-1A) can be obtained.

한편, 상기 식(0-1A)로 표시되는 기로 치환된 기를 도입할 타이밍에 대해서는, 비나프톨류와 알데히드류 또는 케톤류와의 축합반응 후뿐만 아니라, 축합반응의 전단계여도 된다. 또한, 후술하는 수지의 제조를 행한 후에 행하여도 된다.On the other hand, the timing of introduction of a group substituted with a group represented by the formula (0-1A) may be not only after the condensation reaction of the binaphthol compound with the aldehydes or the ketones, but also before the condensation reaction. It may be carried out after the production of a resin to be described later.

또한, 폴리페놀 화합물의 적어도 1개의 페놀성 수산기에, 상기 식(0-1B)로 표시되는 기를 도입하여, 그 하이드록시기에 식(0-1A)로 표시되는 기를 도입하는 방법도 공지이다.It is also known that a group represented by the formula (0-1B) is introduced into at least one phenolic hydroxyl group of the polyphenol compound to introduce a group represented by the formula (0-1A) into the hydroxyl group.

예를 들어, 이하와 같이 하여, 상기 화합물의 적어도 1개의 페놀성 수산기에 식(0-1B)로 표시되는 기를 도입하여, 그 하이드록시기에 식(0-1A)로 표시되는 기를 도입할 수 있다.For example, a group represented by the formula (0-1B) may be introduced into at least one phenolic hydroxyl group of the compound to introduce a group represented by the formula (0-1A) into the hydroxyl group have.

식(0-1B)로 표시되는 기를 도입하기 위한 화합물은, 공지의 방법으로 합성 또는 용이하게 입수할 수 있으며, 예를 들어, 클로로에탄올, 브로모에탄올, 아세트산-2-클로로에틸, 아세트산-2-브로모에틸, 아세트산-2-요오드에틸, 에틸렌옥사이드, 프로필렌옥사이드, 부틸렌옥사이드, 에틸렌카보네이트, 프로필렌카보네이트, 부틸렌카보네이트를 들 수 있는데 이것들로 특별히 한정되지는 않는다.The compound for introducing a group represented by the formula (0-1B) can be synthesized or easily obtained by a known method, for example, chloroethanol, bromoethanol, 2-chloroethyl acetate, -Bromoethyl acetate, 2-iodoethyl acetate, ethylene oxide, propylene oxide, butylene oxide, ethylene carbonate, propylene carbonate, and butylene carbonate.

예를 들어, 아세톤, 테트라하이드로푸란(THF), 프로필렌글리콜모노메틸에테르아세테이트 등의 비프로톤성 용매에 상기 화합물을 용해 또는 현탁시킨다. 이어서, 수산화나트륨, 수산화칼륨, 나트륨메톡사이드, 나트륨에톡사이드 등의 염기촉매의 존재하, 상압에서, 20~150℃, 6~72시간 반응시킨다. 반응액을 산으로 중화하고, 증류수에 첨가하여 백색고체를 석출시킨 후, 분리된 고체를 증류수로 세정하거나, 또는 용매를 증발건고시켜, 필요에 따라 증류수로 세정하고, 건조함으로써, 수산기의 수소원자가 식(0-1B)로 표시되는 기로 치환된 화합물을 얻을 수 있다.For example, the compound is dissolved or suspended in an aprotic solvent such as acetone, tetrahydrofuran (THF), propylene glycol monomethyl ether acetate or the like. Subsequently, the reaction is carried out at 20 to 150 ° C for 6 to 72 hours under normal pressure in the presence of a base catalyst such as sodium hydroxide, potassium hydroxide, sodium methoxide or sodium ethoxide. The reaction solution is neutralized with an acid and added to distilled water to precipitate a white solid. The separated solid is washed with distilled water, or the solvent is evaporated to dryness, washed with distilled water if necessary, and dried, A compound substituted with a group represented by formula (0-1B) can be obtained.

아세트산-2-클로로에틸, 아세트산-2-브로모에틸, 아세트산-2-요오드에틸을 사용하는 경우, 아세톡시에틸기가 도입된 후, 탈아실반응을 발생시킴으로써, 하이드록시에틸기가 도입된다.In the case of using 2-chloroethyl acetate, 2-bromoethyl acetate or 2-iodoethyl acetate, a hydroxyethyl group is introduced by introducing an acetoxy group and then generating a deacylation reaction.

에틸렌카보네이트, 프로필렌카보네이트, 부틸렌카보네이트를 사용하는 경우, 알킬렌카보네이트를 부가시키고, 탈탄산반응이 발생함으로써, 하이드록시알킬기가 도입된다.When ethylene carbonate, propylene carbonate, or butylene carbonate is used, an alkylene carbonate is added and a decarboxylation reaction occurs to introduce a hydroxyalkyl group.

그 후, 아세톤, 테트라하이드로푸란(THF), 프로필렌글리콜모노메틸에테르아세테이트 등의 비프로톤성 용매에 상기 화합물을 용해 또는 현탁시킨다. 이어서, 수산화나트륨, 수산화칼륨, 나트륨메톡사이드, 나트륨에톡사이드 등의 염기촉매의 존재하, 상압에서, 20~150℃, 6~72시간 반응시킨다. 반응액을 산으로 중화하고, 증류수에 첨가하여 백색고체를 석출시킨 후, 분리된 고체를 증류수로 세정하거나, 또는 용매를 증발건고시켜, 필요에 따라 증류수로 세정하고, 건조함으로써, 하이드록시기의 수소원자가 식(0-1A)로 표시되는 기로 치환된 기로 치환된 화합물을 얻을 수 있다.Thereafter, the compound is dissolved or suspended in an aprotic solvent such as acetone, tetrahydrofuran (THF), propylene glycol monomethyl ether acetate or the like. Subsequently, the reaction is carried out at 20 to 150 ° C for 6 to 72 hours under normal pressure in the presence of a base catalyst such as sodium hydroxide, potassium hydroxide, sodium methoxide or sodium ethoxide. The reaction solution is neutralized with an acid and added to distilled water to precipitate a white solid. The separated solid is washed with distilled water, or the solvent is evaporated to dryness, washed with distilled water if necessary, and dried to obtain a A compound in which a hydrogen atom is substituted with a group substituted with a group represented by the formula (0-1A) can be obtained.

본 실시형태에 있어서, 식(0-1A)로 표시되는 기로 치환된 기란, 라디칼 또는 산/알칼리의 존재하에서 반응하고, 도포용매나 현상액에 사용되는 산, 알칼리 또는 유기용매에 대한 용해성이 변화된다. 상기 식(0-1A)로 표시되는 기로 치환된 기는, 더욱 고감도·고해상도의 패턴 형성을 가능하게 하기 위해, 라디칼 또는 산/알칼리의 존재하에서 연쇄적으로 반응을 일으키는 성질을 갖는 것이 바람직하다.In the present embodiment, the group substituted with a group represented by the formula (0-1A) reacts in the presence of a radical or an acid / alkali to change the solubility in an acid, an alkali, or an organic solvent used in a coating solvent or a developer . The group substituted with a group represented by the formula (0-1A) preferably has a property of generating a chain reaction in the presence of a radical or an acid / alkali in order to enable pattern formation with a higher sensitivity and a high resolution.

[식(1)로 표시되는 화합물을 모노머로 하여 얻어지는 수지] [Resin obtained by using the compound represented by the formula (1) as a monomer]

상기 식(1)로 표시되는 화합물은, 리소그래피용 막형성 조성물이나 광학부품 형성에 이용되는 조성물(이하, 간단히 「조성물」이라고도 한다.)로서, 그대로 사용할 수 있다. 또한, 상기 식(1)로 표시되는 화합물을 모노머로 하여 얻어지는 수지를, 조성물로서 사용할 수도 있다. 수지는, 예를 들어, 상기 식(1)로 표시되는 화합물과 가교반응성이 있는 화합물을 반응시켜 얻어진다.The compound represented by the above formula (1) can be used as it is as a film-forming composition for lithography or a composition used for forming optical parts (hereinafter, simply referred to as " composition "). Further, a resin obtained by using the compound represented by the above formula (1) as a monomer may be used as the composition. The resin is obtained, for example, by reacting a compound represented by the formula (1) with a compound having a crosslinking reactivity.

상기 식(1)로 표시되는 화합물을 모노머로 하여 얻어지는 수지로는, 예를 들어, 이하의 식(3)으로 표시되는 구조를 갖는 것을 들 수 있다. 즉, 본 실시형태의 조성물은, 하기 식(3)으로 표시되는 구조를 갖는 수지를 함유하는 것일 수도 있다.Examples of the resin obtained by using the compound represented by the above formula (1) as a monomer include those having a structure represented by the following formula (3). That is, the composition of the present embodiment may contain a resin having a structure represented by the following formula (3).

[화학식 335][335]

Figure pct00335
Figure pct00335

(3)(3)

식(3) 중, L은, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬렌기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴렌기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕실렌기 또는 단결합이고, 상기 알킬렌기, 상기 아릴렌기, 상기 알콕실렌기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있다. 또한, 상기 알킬렌기, 알콕실렌기는, 직쇄상, 분지상 혹은 환상의 기일 수도 있다.In formula (3), L represents an alkylene group having 1 to 30 carbon atoms which may have a substituent, an arylene group having 6 to 30 carbon atoms which may have a substituent, an alkoxysilane having 1 to 30 carbon atoms which may have a substituent And the alkylene group, the arylene group, and the alkoxylene group may contain an ether bond, a ketone bond or an ester bond. The alkylene group and alkoxylene group may be a straight chain, branched or cyclic group.

R0은, 상기 RY와 동의이고,R 0 is synonymous with R Y ,

R1은, 탄소수 1~60의 n가의 기 또는 단결합이고,R 1 is an n-valent group or a single bond having 1 to 60 carbon atoms,

R2~R5는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 산해리성기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2~R5의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,Each of R 2 to R 5 independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms which may have a substituent, a halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydrogen atom of a hydroxyl group is substituted with an acid-dissociable group, The alkenyl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2 to R 5 includes a group represented by the formula (0-1) ,

m2 및 m3은, 각각 독립적으로, 0~8의 정수이고,m 2 and m 3 are each independently an integer of 0 to 8,

m4 및 m5는, 각각 독립적으로, 0~9의 정수이고,m 4 and m 5 are each independently an integer of 0 to 9,

단, m2, m3, m4 및 m5는 동시에 0이 되는 일이 없고,Provided that m 2 , m 3 , m 4 and m 5 do not become 0 at the same time,

n은 상기 N과 동의이고, 여기서, n이 2 이상의 정수인 경우, n개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,n is a synonym with the above N, wherein when n is an integer of 2 or more, the structural formulas within n [] may be the same or different,

p2~p5는, 상기 r과 동의이다.p 2 to p 5 are synonymous with r.

[식(1)로 표시되는 화합물을 모노머로 하여 얻어지는 수지의 제조방법][Process for producing a resin obtained by using a compound represented by the formula (1) as a monomer]

본 실시형태의 수지는, 상기 식(1)로 표시되는 화합물을 가교반응성이 있는 화합물과 반응시킴으로써 얻어진다. 가교반응성이 있는 화합물로는, 상기 식(1)로 표시되는 화합물을 올리고머화 또는 폴리머화할 수 있는 것인 한, 공지의 것을 특별히 제한없이 사용할 수 있다. 그 구체예로는, 예를 들어, 알데히드, 케톤, 카르본산, 카르본산할라이드, 할로겐함유 화합물, 아미노 화합물, 이미노 화합물, 이소시아네이트, 불포화탄화수소기함유 화합물 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다.The resin of the present embodiment is obtained by reacting the compound represented by the formula (1) with a compound having a crosslinking reactivity. As the compound having a crosslinking reactivity, known compounds can be used as long as they can oligomerize or polymerize the compound represented by the formula (1). Specific examples thereof include, for example, aldehydes, ketones, carboxylic acids, carboxylic acid halides, halogen-containing compounds, amino compounds, imino compounds, isocyanates and unsaturated hydrocarbon group-containing compounds, Do not.

상기 식(3)으로 표시되는 구조를 갖는 수지의 구체예로는, 예를 들어, 상기 식(1)로 표시되는 화합물을 가교반응성이 있는 화합물인 알데히드 및/또는 케톤과의 축합반응 등에 의해 노볼락화한 수지를 들 수 있다.Specific examples of the resin having the structure represented by the formula (3) include a resin obtained by condensation reaction of the compound represented by the formula (1) with an aldehyde and / or a ketone, which is a crosslinkable compound, And a resin which is made into a boric acid.

여기서, 상기 식(1)로 표시되는 화합물을 노볼락화할 때에 이용하는 알데히드로는, 예를 들어, 포름알데히드, 트리옥산, 파라포름알데히드, 벤즈알데히드, 아세트알데히드, 프로필알데히드, 페닐아세트알데히드, 페닐프로필알데히드, 하이드록시벤즈알데히드, 클로로벤즈알데히드, 니트로벤즈알데히드, 메틸벤즈알데히드, 에틸벤즈알데히드, 부틸벤즈알데히드, 비페닐알데히드, 나프토알데히드, 안트라센카르보알데히드, 페난트렌카르보알데히드, 피렌카르보알데히드, 푸르푸랄 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 케톤으로는, 상기 케톤류를 들 수 있다. 이들 중에서도, 포름알데히드가 보다 바람직하다. 한편, 이들 알데히드 및/또는 케톤류는, 1종을 단독으로 또는 2종 이상을 조합하여 이용할 수 있다. 또한, 상기 알데히드 및/또는 케톤류의 사용량은, 특별히 한정되지 않으나, 상기 식(1)로 표시되는 화합물 1몰에 대해, 0.2~5몰인 것이 바람직하고, 보다 바람직하게는 0.5~2몰이다.Examples of the aldehyde used in the novolak of the compound represented by the formula (1) include formaldehyde, trioxane, paraformaldehyde, benzaldehyde, acetaldehyde, propylaldehyde, phenylacetaldehyde, phenylpropylaldehyde Benzenesulfonic acid, benzenesulfonic acid, benzenesulfonic acid, benzenesulfonic acid, benzenesulfonic acid, hydroxymethylbenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, ethylbenzaldehyde, butylbenzaldehyde, biphenylaldehyde, naphthaldehyde, anthracenecarbaldehyde, phenanthrenecarbaldehyde, But are not limited to these. Examples of the ketone include the above-mentioned ketones. Of these, formaldehyde is more preferable. On the other hand, these aldehydes and / or ketones may be used singly or in combination of two or more kinds. The amount of the aldehyde and / or ketone to be used is not particularly limited, but is preferably 0.2 to 5 moles, more preferably 0.5 to 2 moles, per 1 mole of the compound represented by the formula (1).

상기 식(1)로 표시되는 화합물과 알데히드 및/또는 케톤과의 축합반응에 있어서, 촉매를 이용할 수도 있다. 여기서 사용하는 산촉매에 대해서는, 공지의 것으로부터 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않는다. 이러한 산촉매로는, 무기산이나 유기산이 널리 알려져 있으며, 예를 들어, 염산, 황산, 인산, 브롬화수소산, 불산 등의 무기산; 옥살산, 말론산, 석신산, 아디프산, 세바스산, 구연산, 푸마르산, 말레산, 포름산, p-톨루엔설폰산, 메탄설폰산, 트리플루오로아세트산, 디클로로아세트산, 트리클로로아세트산, 트리플루오로메탄설폰산, 벤젠설폰산, 나프탈렌설폰산, 나프탈렌디설폰산 등의 유기산; 염화아연, 염화알루미늄, 염화철, 삼불화붕소 등의 루이스산; 규텅스텐산, 인텅스텐산, 규몰리브덴산 또는 인몰리브덴산 등의 고체산 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들 중에서도, 제조 상의 관점으로부터, 유기산 및 고체산이 바람직하고, 입수의 용이함이나 취급용이함 등의 제조 상의 관점으로부터, 염산 또는 황산이 바람직하다. 한편, 산촉매에 대해서는, 1종을 단독으로 또는 2종 이상을 조합하여 이용할 수 있다.In the condensation reaction of the compound represented by the formula (1) with an aldehyde and / or a ketone, a catalyst may be used. The acid catalyst to be used here can be appropriately selected from known ones and is not particularly limited. As such acid catalysts, inorganic acids and organic acids are widely known and include, for example, inorganic acids such as hydrochloric acid, sulfuric acid, phosphoric acid, hydrobromic acid, and hydrofluoric acid; But are not limited to, oxalic, malonic, succinic, adipic, sebacic, citric, fumaric, maleic, formic, p-toluenesulfonic, methanesulfonic, trifluoroacetic, Organic acids such as sulfonic acid, benzenesulfonic acid, naphthalenesulfonic acid, and naphthalenedisulfonic acid; Lewis acids such as zinc chloride, aluminum chloride, iron chloride and boron trifluoride; And silicic acid such as tungstic acid, tungstic acid, silicomolybdic acid or phosphomolybdic acid, and the like are not particularly limited. Of these, from the viewpoint of production, organic acids and solid acids are preferable, and hydrochloric acid or sulfuric acid is preferable from the viewpoint of production easiness, ease of handling, and the like. On the other hand, as for the acid catalyst, one type may be used alone, or two or more types may be used in combination.

또한, 산촉매의 사용량은, 사용하는 원료 및 사용하는 촉매의 종류, 더 나아가서는 반응조건 등에 따라 적당히 설정할 수 있고, 특별히 한정되지 않으나, 반응원료 100질량부에 대해, 0.01~100질량부인 것이 바람직하다. 단, 인덴, 하이드록시인덴, 벤조푸란, 하이드록시안트라센, 아세나프틸렌, 비페닐, 비스페놀, 트리스페놀, 디시클로펜타디엔, 테트라하이드로인덴, 4-비닐시클로헥센, 노보나디엔, 5-비닐노보나-2-엔, α-피넨, β-피넨, 리모넨 등의 비공역이중결합을 갖는 화합물과의 공중합반응인 경우는, 반드시 알데히드류가 필요하지는 않다.The amount of the acid catalyst to be used can be suitably set according to the kind of the raw material to be used and the type of the catalyst to be used and furthermore the reaction conditions and is not particularly limited but is preferably 0.01 to 100 parts by mass with respect to 100 parts by mass of the reaction raw material . However, it is preferable to use a compound selected from the group consisting of indene, hydroxyindene, benzofuran, hydroxyanthracene, acenaphthylene, biphenyl, bisphenol, trisphenol, dicyclopentadiene, tetrahydroindene, 4-vinylcyclohexene, In the case of a copolymerization reaction with a compound having a non-conjugated double bond such as vinyl norborna-2-ene,? -Pinene,? -Pinene and limonene, an aldehyde is not necessarily required.

상기 식(1)로 표시되는 화합물과 알데히드 및/또는 케톤과의 축합반응에 있어서, 반응용매를 이용할 수도 있다. 이 중축합에 있어서의 반응용매로는, 공지의 것 중에서 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않으나, 예를 들어, 물, 메탄올, 에탄올, 프로판올, 부탄올, 테트라하이드로푸란, 디옥산 또는 이들의 혼합용매 등을 들 수 있다. 한편, 용매는, 1종을 단독으로 혹은 2종 이상을 조합하여 이용할 수 있다.In the condensation reaction of the compound represented by the formula (1) with an aldehyde and / or a ketone, a reaction solvent may be used. The reaction solvent in the polycondensation is not particularly limited and may be appropriately selected from known ones. Examples of the solvent include water, methanol, ethanol, propanol, butanol, tetrahydrofuran, dioxane, Mixed solvents, and the like. On the other hand, one solvent may be used alone, or two or more solvents may be used in combination.

또한, 이들 용매의 사용량은, 사용하는 원료 및 사용하는 촉매의 종류, 더 나아가서는 반응조건 등에 따라 적당히 설정할 수 있고, 특별히 한정되지 않으나, 반응원료 100질량부에 대해 0~2000질량부의 범위인 것이 바람직하다. 나아가, 반응온도는, 반응원료의 반응성에 따라 적당히 선택할 수 있으며, 특별히 한정되지 않으나, 통상 10~200℃의 범위이다. 한편, 반응방법은, 공지의 수법을 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않으나, 상기 식(1)로 표시되는 화합물, 알데히드 및/또는 케톤류, 촉매를 일괄로 투입하는 방법이나, 상기 식(1)로 표시되는 화합물이나 알데히드 및/또는 케톤류를 촉매존재하에서 적하해 가는 방법을 들 수 있다.The amount of these solvents to be used can be suitably set according to the type of raw material to be used and the type of catalyst to be used and further the reaction conditions and is not particularly limited but is preferably 0 to 2000 parts by mass with respect to 100 parts by mass of the reaction raw material desirable. Furthermore, the reaction temperature can be appropriately selected according to the reactivity of the reaction raw material, and is not particularly limited, but is usually in the range of 10 to 200 ° C. On the other hand, as the reaction method, a known method can be appropriately selected and used. Without particular limitation, a method of collectively introducing the compound represented by the formula (1), the aldehyde and / or the ketone and the catalyst, 1) or an aldehyde and / or a ketone is added dropwise in the presence of a catalyst.

중축합반응 종료 후, 얻어진 화합물의 단리는, 상법에 따라서 행할 수 있으며, 특별히 한정되지 않는다. 예를 들어, 계 내에 존재하는 미반응원료나 촉매 등을 제거하기 위해, 반응솥의 온도를 130~230℃까지 상승시키고, 1~50mmHg 정도에서 휘발분을 제거하는 등의 일반적 수법을 채용함으로써, 목적물인 노볼락화한 수지를 단리할 수 있다.After completion of the polycondensation reaction, the obtained compound can be isolated according to a conventional method, and is not particularly limited. For example, in order to remove unreacted starting materials and catalysts present in the system, by employing a general method such as raising the temperature of the reaction pot to 130 to 230 DEG C and removing volatile matter at about 1 to 50 mmHg, The novolak resin can be isolated.

여기서, 상기 식(3)으로 표시되는 구조를 갖는 수지는, 상기 식(1)로 표시되는 화합물의 단독중합체일 수도 있는데, 다른 페놀류와의 공중합체일 수도 있다. 여기서 공중합 가능한 페놀류로는, 예를 들어, 페놀, 크레졸, 디메틸페놀, 트리메틸페놀, 부틸페놀, 페닐페놀, 디페닐페놀, 나프틸페놀, 레조르시놀, 메틸레조르시놀, 카테콜, 부틸카테콜, 메톡시페놀, 메톡시페놀, 프로필페놀, 피로갈롤, 티몰 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다.Here, the resin having the structure represented by the formula (3) may be a homopolymer of the compound represented by the formula (1), or may be a copolymer with other phenols. Examples of the copolymerizable phenol include phenol, cresol, dimethyl phenol, trimethyl phenol, butyl phenol, phenyl phenol, diphenyl phenol, naphthyl phenol, resorcinol, methyl resorcinol, catechol, , Methoxyphenol, methoxyphenol, propylphenol, pyrogallol, thymol, and the like, but they are not particularly limited thereto.

또한, 상기 식(3)으로 표시되는 구조를 갖는 수지는, 상기 서술한 다른 페놀류 이외에, 중합가능한 모노머와 공중합시킨 것일 수도 있다. 이러한 공중합모노머로는, 예를 들어, 나프톨, 메틸나프톨, 메톡시나프톨, 디하이드록시나프탈렌, 인덴, 하이드록시인덴, 벤조푸란, 하이드록시안트라센, 아세나프틸렌, 비페닐, 비스페놀, 트리스페놀, 디시클로펜타디엔, 테트라하이드로인덴, 4-비닐시클로헥센, 노보나디엔, 비닐노보나엔, 피넨, 리모넨 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 한편, 상기 식(2)로 표시되는 구조를 갖는 수지는, 상기 식(1)로 표시되는 화합물과 상기 서술한 페놀류와의 2원 이상의(예를 들어, 2~4원계) 공중합체여도, 상기 식(1)로 표시되는 화합물과 상기 서술한 공중합모노머와의 2원 이상(예를 들어, 2~4원계) 공중합체여도, 상기 식(1)로 표시되는 화합물과 상기 서술한 페놀류와 상기 서술한 공중합모노머와의 3원 이상의(예를 들어, 3~4원계) 공중합체여도 관계없다.In addition to the above-mentioned other phenols, the resin having the structure represented by the formula (3) may be a copolymer obtained by copolymerizing with a polymerizable monomer. Examples of such copolymerizable monomers include monomers such as naphthol, methylnaphthol, methoxynaphthol, dihydroxynaphthalene, indene, hydroxyindene, benzofuran, hydroxyanthracene, acenaphthylene, biphenyl, bisphenol, Dicyclopentadiene, tetrahydroindene, 4-vinylcyclohexene, norbornadiene, vinyl norbornene, pinene, limonene, and the like, but they are not particularly limited thereto. On the other hand, the resin having the structure represented by the formula (2) may be a copolymer of two or more atoms (for example, a two to four member system) of the compound represented by the formula (1) Even a copolymer of two or more atoms (for example, a two to four member system) of the compound represented by the formula (1) and the above-described copolymerizable monomer can be obtained by copolymerizing the compound represented by the formula (1) (For example, a 3 to 4 member system) copolymer with one copolymerizable monomer.

한편, 상기 식(3)으로 표시되는 구조를 갖는 수지의 분자량은, 특별히 한정되지 않으나, 폴리스티렌 환산의 중량평균분자량(Mw)이 500~30,000인 것이 바람직하고, 보다 바람직하게는 750~20,000이다. 또한, 가교효율을 높임과 함께 베이크 중의 휘발성분을 억제하는 관점으로부터, 상기 식(3)으로 표시되는 구조를 갖는 수지는, 분산도(중량평균분자량 Mw/수평균분자량 Mn)가 1.2~7의 범위 내인 것이 바람직하다. 한편, 상기 Mw 및 Mn은, 후술하는 실시예에 기재된 방법에 의해 구할 수 있다.On the other hand, the molecular weight of the resin having the structure represented by the formula (3) is not particularly limited, but the weight average molecular weight (Mw) in terms of polystyrene is preferably 500 to 30,000, more preferably 750 to 20,000. From the viewpoint of increasing the crosslinking efficiency and suppressing the volatile components in the baking, the resin having the structure represented by the formula (3) has a dispersion degree (weight average molecular weight Mw / number average molecular weight Mn) of 1.2 to 7 . On the other hand, the Mw and Mn can be obtained by the methods described in Examples described later.

상기 식(3)으로 표시되는 구조를 갖는 수지는, 습식 프로세스의 적용이 보다 용이해지는 등의 관점으로부터, 용매에 대한 용해성이 높은 것인 것이 바람직하다. 보다 구체적으로는, 1-메톡시-2-프로판올(PGME) 및/또는 프로필렌글리콜모노메틸에테르아세테이트(PGMEA)를 용매로 하는 경우, 해당 용매에 대한 용해도가 10질량% 이상인 것이 바람직하다. 여기서, PGME 및/또는 PGMEA에 대한 용해도는, 「수지의 질량÷(수지의 질량+용매의 질량)×100(질량%)」라 정의된다. 예를 들어, 상기 수지 10g이 PGMEA 90g에 대해 용해되는 경우는, 상기 수지의 PGMEA에 대한 용해도는, 「10질량% 이상」이 되고, 용해되지 않는 경우는, 「10질량% 미만」이 된다.The resin having the structure represented by the above formula (3) is preferably one having a high solubility in a solvent from the viewpoint that the application of the wet process becomes easier. More specifically, when 1-methoxy-2-propanol (PGME) and / or propylene glycol monomethyl ether acetate (PGMEA) are used as a solvent, the solubility in the solvent is preferably 10 mass% or more. Here, the solubility in PGME and / or PGMEA is defined as "mass of resin ÷ (mass of resin + mass of solvent) × 100 (mass%)". For example, when 10 g of the resin is dissolved in 90 g of PGMEA, the solubility of the resin in PGMEA is " 10 mass% or more ", and when it is not dissolved, it is less than 10 mass%.

[식(2)로 표시되는 화합물][Compound represented by formula (2)

본 실시형태에 있어서의 식(0)으로 표시되는 화합물은, 하기 식(2)로 표시되는 화합물인 것이 바람직하다. 본 실시형태의 화합물은, 하기 식(2)로 표시되는 화합물임으로써, 내열성이 높고, 용매용해성도 높은 경향이 있다.The compound represented by the formula (0) in the present embodiment is preferably a compound represented by the following formula (2). The compound of the present embodiment is a compound represented by the following formula (2), which has a high heat resistance and a high solvent solubility.

[화학식 336][336]

Figure pct00336
Figure pct00336

(2)(2)

식(2) 중, R0A는, 수소원자, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기이다.In formula (2), R 0A represents a hydrogen atom, an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms.

R1A는, 탄소수 1~60의 nA가의 기 또는 단결합이고,R 1A is an n A -valent group or a single bond having 1 to 60 carbon atoms,

R2A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 비닐페닐메틸기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2A의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함한다.R 2A each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydrogen atom of a hydroxyl group is substituted with a vinylphenylmethyl group, and the alkyl group, the aryl group, The alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2A includes a group represented by the formula (0-1).

nA가 1~4의 정수이고, 여기서, 식(2) 중, nA가 2 이상의 정수인 경우, nA개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있다.and A n is an integer from 1 to 4, wherein the formula (2) in the structural formula of, n A is an integer of 2 or more, n A of [] are may be the same or different.

XA는, 각각 독립적으로, 산소원자, 황원자 또는 무가교인 것을 나타낸다. 여기서, XA가 산소원자 또는 황원자인 경우, 높은 내열성을 발현하는 경향이 있으므로 바람직하고, 산소원자인 것이 보다 바람직하다. XA는, 용해성의 관점으로부터는, 무가교인 것이 바람직하다.X A represents, independently of each other, an oxygen atom, a sulfur atom or a non-substituted group. Herein, when X A is an oxygen atom or a sulfur atom, it is preferable because it tends to exhibit high heat resistance, and more preferably it is an oxygen atom. From the viewpoint of solubility, X A is preferably a non-crosslinked.

m2A는, 각각 독립적으로, 0~6의 정수이다. 단, 적어도 1개의 m2A는 1~6의 정수이다.m 2A are each independently an integer of 0 to 6; Provided that at least one m 2A is an integer of 1 to 6;

qA는, 각각 독립적으로, 0 또는 1이다.q A is independently 0 or 1;

한편, 상기 n가의 기란, n=1일 때에는, 탄소수 1~60의 알킬기, n=2일 때에는, 탄소수 1~30의 알킬렌기, n=3일 때에는, 탄소수 2~60의 알칸프로파일기, n=4일 때에는, 탄소수 3~60의 알칸테트라일기인 것을 말한다. 상기 n가의 기로는, 예를 들어, 직쇄상 탄화수소기, 분지상 탄화수소기 또는 지환식 탄화수소기를 갖는 것 등을 들 수 있다. 여기서, 상기 지환식 탄화수소기에 대해서는, 유교지환식 탄화수소기도 포함된다. 또한, 상기 n가의 기는, 탄소수 6~60의 방향족기를 갖고 있을 수도 있다.The n-valent group is an alkyl group having 1 to 60 carbon atoms when n = 1, an alkylene group having 1 to 30 carbon atoms when n = 2, an alkane-containing group having 2 to 60 carbon atoms when n = 3, = 4 means an alkane tetrayl group having 3 to 60 carbon atoms. Examples of the n-valent group include those having a straight-chain hydrocarbon group, a branched hydrocarbon group, or an alicyclic hydrocarbon group. Here, the alicyclic hydrocarbon group includes a bridged alicyclic hydrocarbon group. The n-valent group may have an aromatic group having 6 to 60 carbon atoms.

또한, 상기 n가의 탄화수소기는, 지환식 탄화수소기, 이중결합, 헤테로원자 또는 탄소수 6~60의 방향족기를 갖고 있을 수도 있다. 여기서, 상기 지환식 탄화수소기에 대해서는, 유교지환식 탄화수소기도 포함된다.The n-valent hydrocarbon group may have an alicyclic hydrocarbon group, a double bond, a hetero atom or an aromatic group having 6 to 60 carbon atoms. Here, the alicyclic hydrocarbon group includes a bridged alicyclic hydrocarbon group.

또한, 상기 n가의 탄화수소기는, 지환식 탄화수소기, 이중결합, 헤테로원자 또는 탄소수 6~30의 방향족기를 갖고 있을 수도 있다. 여기서, 상기 지환식 탄화수소기에 대해서는, 유교지환식 탄화수소기도 포함된다.The n-valent hydrocarbon group may have an alicyclic hydrocarbon group, a double bond, a hetero atom or an aromatic group having 6 to 30 carbon atoms. Here, the alicyclic hydrocarbon group includes a bridged alicyclic hydrocarbon group.

상기 식(2)로 표시되는 화합물은, 비교적 저분자량이면서도, 그 구조의 강직함에 의해 높은 내열성을 가지므로, 고온베이크조건에서도 사용가능하다. 또한, 분자 중에 3급탄소 또는 4급탄소를 갖고 있으며, 결정성이 억제되어, 리소그래피용 막제조에 사용할 수 있는 리소그래피용 막형성 조성물로서 호적하게 사용된다.The compound represented by the formula (2) has a relatively low molecular weight and has a high heat resistance due to rigidity of its structure, so that the compound can be used even under high-temperature baking conditions. Further, it has tertiary carbon or quaternary carbon in the molecule and is suppressed in crystallinity, and is suitably used as a film-forming composition for lithography that can be used in the production of a film for lithography.

또한, 안전용매에 대한 용해성이 높고, 내열성 및 에칭내성이 양호하므로, 상기 식(2)로 표시되는 화합물을 포함하는 리소그래피용 레지스트형성 조성물은 양호한 레지스트패턴형상을 부여할 수 있다.In addition, since the solubility in a safe solvent is high and the heat resistance and the etching resistance are good, a resist pattern forming composition for lithography containing the compound represented by the formula (2) can give a good resist pattern shape.

나아가, 비교적 저분자량이고 저점도인 점에서, 단차를 갖는 기판(특히, 미세한 스페이스나 홀패턴 등)이어도, 그 단차의 구석까지 균일하게 충전시키면서, 막의 평탄성을 높이는 것이 용이하며, 그 결과, 이것을 이용한 리소그래피용 하층막 형성 조성물은 매립 및 평탄화특성이 양호하다. 또한, 비교적 높은 탄소농도를 갖는 화합물인 점에서, 높은 에칭내성도 부여할 수 있다.Furthermore, even in the case of a substrate having a step (particularly, a minute space or a hole pattern or the like) at a relatively low molecular weight and low viscosity, it is easy to increase the flatness of the film while uniformly filling the corners of the step, The lower layer film forming composition for lithography used has good filling and planarizing properties. In addition, since it is a compound having a relatively high carbon concentration, high etching resistance can also be imparted.

게다가 또한, 방향족 밀도가 높기 때문에 굴절률이 높고, 또한 저온에서부터 고온까지의 광범위한 열처리에 의해 착색이 억제되는 점으로부터, 각종 광학부품 형성 조성물로서도 유용하다. 그 중에서도 4급탄소를 갖는 화합물이, 산화분해를 억제하여 화합물의 착색을 억제하고, 내열성이 높고, 용매용해성을 향상시키는 관점으로부터 바람직하다. 광학부품은, 필름상, 시트상으로 사용되는 것 이외에, 플라스틱렌즈(프리즘렌즈, 렌티큘러렌즈, 마이크로렌즈, 프레넬렌즈, 시야각제어렌즈, 콘트라스트향상렌즈 등), 위상차필름, 전자파실드용 필름, 프리즘, 광파이버, 플렉시블프린트배선용 솔더레지스트, 도금레지스트, 다층프린트배선판용 층간절연막, 감광성 광도파로로서 유용하다.In addition, since the aromatic densities are high, the refractive index is high and the coloring is suppressed by a wide range of heat treatment from a low temperature to a high temperature. Among them, a compound having quaternary carbon is preferable from the viewpoints of inhibiting oxidation decomposition to suppress coloring of a compound, having high heat resistance, and improving solvent solubility. In addition to being used in the form of a film or a sheet, the optical component can be used in various forms such as a plastic lens (a prism lens, a lenticular lens, a microlens, a Fresnel lens, a viewing angle control lens, a contrast enhancement lens and the like), a retardation film, , An optical fiber, a solder resist for flexible printed wiring, a plating resist, an interlayer insulating film for a multilayer printed wiring board, and a photosensitive optical waveguide.

상기 식(2)로 표시되는 화합물은, 가교의 용이함과 유기용매에 대한 용해성의 관점으로부터, 하기 식(2-1)로 표시되는 화합물인 것이 바람직하다.The compound represented by the formula (2) is preferably a compound represented by the following formula (2-1) from the viewpoints of ease of crosslinking and solubility in an organic solvent.

[화학식 337][337]

Figure pct00337
Figure pct00337

(2-1)(2-1)

식(2-1) 중, R0A, R1A, nA, qA 및 XA는, 상기 식(2)에 있어서의 것과 동의이다.In formula (2-1), R 0A , R 1 A , n A , q A and X A are synonymous with those in formula (2).

R3A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기이고, 동일한 나프탈렌환 또는 벤젠환에 있어서 동일할 수도 상이할 수도 있다.R 3A each independently represents a linear, branched or cyclic alkyl group of 1 to 30 carbon atoms which may have a substituent, an aryl group of 6 to 30 carbon atoms which may have a substituent, a carbon number which may have a substituent A halogen atom, a nitro group, an amino group, a carboxylic acid group and a thiol group, and may be the same or different in the same naphthalene ring or benzene ring.

R4A는, 각각 독립적으로, 수소원자 또는 하기 식(0-2)로 표시되는 기이고,R 4A each independently represents a hydrogen atom or a group represented by the following formula (0-2)

여기서, R4A의 적어도 1개는 하기 식(0-2)로 표시되는 기이고,Here, at least one of R 4A is a group represented by the following formula (0-2)

m6A는, 각각 독립적으로, 0~5의 정수이다.m 6A are each independently an integer of 0 to 5;

[화학식 338][338]

Figure pct00338
Figure pct00338

(0-2)(0-2)

(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)

상기 식(2-1)로 표시되는 화합물을 알칼리 현상 포지티브형 레지스트용 또는 유기 현상 네거티브형 레지스트용 리소그래피용 막형성 조성물로서 사용할 때는, R4A의 적어도 1개는 산해리성기이다. 한편, 식(2-1)로 표시되는 화합물을 알칼리현상네거티브형 레지스트용 리소그래피용 막형성 조성물, 하층막용 리소그래피용 막형성 조성물 또는 광학부품 형성 조성물로서 사용할 때는, R4A의 적어도 1개는 수소원자이다.When the compound represented by the formula (2-1) is used as a film forming composition for lithography of an alkali development positive resist or an organic development negative type resist, at least one of R 4A is an acid dissociable group. On the other hand, when the compound represented by the formula (2-1) is used as a film forming composition for lithography for an alkali development negative resist, a film forming composition for lithography for a lower layer film or a composition for forming an optical component, at least one of R 4A is a hydrogen atom to be.

또한, 상기 식(2-1)로 표시되는 화합물은, 원료의 공급성의 관점으로부터, 하기 식(2a)로 표시되는 화합물인 것이 바람직하다.The compound represented by the formula (2-1) is preferably a compound represented by the following formula (2a) from the viewpoint of the feedability of the starting material.

[화학식 339][339]

Figure pct00339
Figure pct00339

(2a)(2a)

상기 식(2a) 중, XA, R0A~R2A, m2A 및 nA는, 상기 식(2)에서 설명한 것과 동의이다.In the formula (2a), X A , R 0A to R 2A , m 2A and n A are the same as those described in the formula (2).

또한, 상기 식(2-1)로 표시되는 화합물은, 유기용매에 대한 용해성의 관점으로부터, 하기 식(2b)로 표시되는 화합물인 것이 보다 바람직하다.Further, the compound represented by the formula (2-1) is more preferably a compound represented by the following formula (2b) from the viewpoint of solubility in an organic solvent.

[화학식 340](340)

Figure pct00340
Figure pct00340

(2b)(2b)

상기 식(2b) 중, XA, R0A, R1A, R3A, R4A, m6A 및 nA는, 상기 식(2-1)에서 설명한 것과 동의이다.In the formula (2b), X A , R 0A , R 1A , R 3A , R 4A , m 6A and n A are the same as those described in the formula (2-1).

또한, 상기 식(2-1)로 표시되는 화합물은, 유기용매에 대한 용해성의 관점으로부터, 하기 식(2c)로 표시되는 화합물인 것이 더욱 바람직하다.Further, the compound represented by the formula (2-1) is more preferably a compound represented by the following formula (2c) from the viewpoint of solubility in an organic solvent.

[화학식 341]≪ EMI ID =

Figure pct00341
Figure pct00341

(2c)(2c)

상기 식(2c) 중, XA, R0A, R1A, R3A, R4A, m6A 및 nA는, 상기 식(2-1)에서 설명한 것과 동의이다.In the formula (2c), X A , R 0A , R 1A , R 3A , R 4A , m 6A and n A are the same as those described in the formula (2-1).

상기 식(2)로 표시되는 화합물은, 추가적인 유기용매에 대한 용해성의 관점으로부터, 하기 식(BisN-1)~(BisN-4), (XBisN-1)~(XBisN-3), (BiN-1)~(BiN-4) 또는 (XBiN-1)~(XBiN-3)으로 표시되는 화합물인 것이 특히 바람직하다.(BisN-1) to (XBisN-1) to (XBisN-3), (BiN-4), 1) to (BiN-4) or (XBiN-1) to (XBiN-3).

[화학식 342]≪ EMI ID =

Figure pct00342
Figure pct00342

(BisN-1)(BisN-1)

[화학식 343][0300]

Figure pct00343
Figure pct00343

(BisN-2)(BisN-2)

[화학식 344]≪ EMI ID =

Figure pct00344
Figure pct00344

(BisN-3)(BisN-3)

[화학식 345]≪ EMI ID =

Figure pct00345
Figure pct00345

(BisN-4)(BisN-4)

[화학식 346]≪ EMI ID =

Figure pct00346
Figure pct00346

(XBisN-1)(XBisN-1)

[화학식 347]≪ EMI ID =

Figure pct00347
Figure pct00347

(XBisN-2)(XBisN-2)

[화학식 348]≪ EMI ID =

Figure pct00348
Figure pct00348

(XBisN-3)(XBisN-3)

[화학식 349]≪ EMI ID =

Figure pct00349
Figure pct00349

(BiN-1)(BiN-1)

[화학식 350][350]

Figure pct00350
Figure pct00350

(BiN-2)(BiN-2)

[화학식 351]≪ EMI ID =

Figure pct00351
Figure pct00351

(BiN-3)(BiN-3)

[화학식 352]≪ EMI ID =

Figure pct00352
Figure pct00352

(BiN-4)(BiN-4)

[화학식 353]≪ EMI ID =

Figure pct00353
Figure pct00353

(XBiN-1)(XBiN-1)

[화학식 354]≪ EMI ID =

Figure pct00354
Figure pct00354

(XBiN-2)(XBiN-2)

[화학식 355]≪ EMI ID =

Figure pct00355
Figure pct00355

(XBiN-3)(XBiN-3)

[식(2)로 표시되는 화합물의 제조방법][Process for producing the compound represented by the formula (2)

본 실시형태에서 사용되는 식(2)로 표시되는 화합물은, 공지의 수법을 응용하여 적당히 합성할 수 있으며, 그 합성수법은 특별히 한정되지 않는다.The compound represented by the formula (2) used in the present embodiment can be appropriately synthesized by applying a known technique, and the synthesis method thereof is not particularly limited.

예를 들어, 상압하, 페놀류, 나프톨류와, 대응하는 알데히드류 또는 케톤류를 산촉매하에서 중축합반응시킴으로써 폴리페놀 화합물을 얻고, 이어서, 폴리페놀 화합물의 적어도 1개의 페놀성 수산기에, 하기 식(0-1A)로 표시되는 기를 도입함으로써 얻어진다.For example, a polyphenol compound is obtained by subjecting a phenol, naphthol and a corresponding aldehyde or ketone to polycondensation reaction under an atmospheric pressure under an acid catalyst to obtain a polyphenol compound having at least one phenolic hydroxyl group of the polyphenol compound represented by the following formula -1A). ≪ / RTI >

또는, 하기 식(0-1B)로 표시되는 기를 도입하여, 그 하이드록시기에 식(0-1A)로 표시되는 기를 도입함으로써 얻어진다. 또한, 필요에 따라, 가압하에서 행할 수도 있다.Alternatively, a group represented by the following formula (0-1B) is introduced, and a group represented by the formula (0-1A) is introduced into the hydroxyl group. Further, if necessary, it may be carried out under pressure.

[화학식 356]≪ EMI ID =

Figure pct00356
Figure pct00356

(0-1A)(0-1A)

(식(0-1A) 중, RX는, 수소원자 또는 메틸기이다.)(In the formula (0-1A), R X is a hydrogen atom or a methyl group.)

[화학식 357]≪ EMI ID =

Figure pct00357
Figure pct00357

(0-1B)(0-1B)

(식(0-1B) 중, RW는, 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬렌기이고, s는, 0~30의 정수이다.)(In the formula (0-1B), R W is a linear, branched or cyclic alkylene group having 1 to 30 carbon atoms, and s is an integer of 0 to 30)

상기 나프톨류로는, 특별히 한정되지 않고, 예를 들어, 나프톨, 메틸나프톨, 메톡시나프톨, 나프탈렌디올 등을 들 수 있고, 나프탈렌디올을 이용하는 것이 크산텐구조를 용이하게 만들 수 있는 점에서 보다 바람직하다.Examples of the naphthols include, but are not limited to, naphthol, methylnaphthol, methoxynaphthol, naphthalene diol, and the like. The use of naphthalene diol is more preferable because it can easily form a xanthene structure Do.

상기 페놀류로는, 특별히 한정되지 않고, 예를 들어, 페놀, 메틸페놀, 메톡시벤젠, 카테콜, 레조르시놀, 하이드로퀴논, 트리메틸하이드로퀴논 등을 들 수 있다.The phenol is not particularly limited, and examples thereof include phenol, methylphenol, methoxybenzene, catechol, resorcinol, hydroquinone, trimethylhydroquinone, and the like.

상기 알데히드류로는, 예를 들어, 포름알데히드, 트리옥산, 파라포름알데히드, 벤즈알데히드, 아세트알데히드, 프로필알데히드, 페닐아세트알데히드, 페닐프로필알데히드, 하이드록시벤즈알데히드, 클로로벤즈알데히드, 니트로벤즈알데히드, 메틸벤즈알데히드, 에틸벤즈알데히드, 부틸벤즈알데히드, 비페닐알데히드, 나프토알데히드, 안트라센카르보알데히드, 페난트렌카르보알데히드, 피렌카르보알데히드, 푸르푸랄 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들은, 1종을 단독으로 또는 2종 이상을 조합하여 사용할 수 있다. 이들 중에서도, 벤즈알데히드, 페닐아세트알데히드, 페닐프로필알데히드, 하이드록시벤즈알데히드, 클로로벤즈알데히드, 니트로벤즈알데히드, 메틸벤즈알데히드, 에틸벤즈알데히드, 부틸벤즈알데히드, 시클로헥실벤즈알데히드, 비페닐알데히드, 나프토알데히드, 안트라센카르보알데히드, 페난트렌카르보알데히드, 피렌카르보알데히드, 푸르푸랄을 이용하는 것이 높은 내열성을 부여하는 점에서 바람직하고, 벤즈알데히드, 하이드록시벤즈알데히드, 클로로벤즈알데히드, 니트로벤즈알데히드, 메틸벤즈알데히드, 에틸벤즈알데히드, 부틸벤즈알데히드, 시클로헥실벤즈알데히드, 비페닐알데히드, 나프토알데히드, 안트라센카르보알데히드, 페난트렌카르보알데히드, 피렌카르보알데히드, 푸르푸랄을 이용하는 것이 에칭내성이 높아, 보다 바람직하다.Examples of the aldehydes include formaldehyde, trioxane, paraformaldehyde, benzaldehyde, acetaldehyde, propylaldehyde, phenylacetaldehyde, phenylpropylaldehyde, hydroxybenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, ethyl Benzaldehyde, butylbenzaldehyde, biphenylaldehyde, naphthalaldehyde, anthracene carbaldehyde, phenanthrenecarbaldehyde, pyrenecarbaldehyde, furfural, and the like, but are not limited thereto. These may be used singly or in combination of two or more. Among them, benzaldehyde, phenylacetaldehyde, phenylpropylaldehyde, hydroxybenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, ethylbenzaldehyde, butylbenzaldehyde, cyclohexylbenzaldehyde, biphenylaldehyde, naphthalaldehyde, anthracene carbaldehyde, phenan It is preferable to use trenecarboaldehyde, pyrenecarboaldehyde and furfural in view of imparting high heat resistance, and it is preferable to use benzaldehyde, hydroxybenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, ethylbenzaldehyde, butylbenzaldehyde, cyclohexylbenzaldehyde, It is more preferable to use biphenylaldehyde, naphthalaldehyde, anthracene carbaldehyde, phenanthrenecarbaldehyde, pyrencarboaldehyde, and furfural because of their high etching resistance.

상기 케톤류로는, 예를 들어, 아세톤, 메틸에틸케톤, 시클로부탄온, 시클로펜탄온, 시클로헥사논, 노보난온, 트리시클로헥사논, 트리시클로데칸온, 아다만탄온, 플루오레논, 벤조플루오레논, 아세나프텐퀴논, 아세나프테논, 안트라퀴논, 아세토페논, 디아세틸벤젠, 트리아세틸벤젠, 아세토나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐, 벤조페논, 디페닐카르보닐벤젠, 트리페닐카르보닐벤젠, 벤조나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들은, 1종을 단독으로 또는 2종 이상을 조합하여 사용할 수 있다. 이들 중에서도, 시클로펜탄온, 시클로헥사논, 노보난온, 트리시클로헥사논, 트리시클로데칸온, 아다만탄온, 플루오레논, 벤조플루오레논, 아세나프텐퀴논, 아세나프테논, 안트라퀴논, 아세토페논, 디아세틸벤젠, 트리아세틸벤젠, 아세토나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐, 벤조페논, 디페닐카르보닐벤젠, 트리페닐카르보닐벤젠, 벤조나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐을 이용하는 것이, 높은 내열성을 부여하는 점에서 바람직하고, 아세토페논, 디아세틸벤젠, 트리아세틸벤젠, 아세토나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐, 벤조페논, 디페닐카르보닐벤젠, 트리페닐카르보닐벤젠, 벤조나프톤, 디페닐카르보닐나프탈렌, 페닐카르보닐비페닐, 디페닐카르보닐비페닐을 이용하는 것이, 에칭내성이 높아, 보다 바람직하다.The ketones include, for example, acetone, methyl ethyl ketone, cyclobutanone, cyclopentanone, cyclohexanone, norbornanone, tricyclohexanone, tricyclodecanone, adamantanone, fluorenone, benzofluorenone , Acenaphthenequinone, acenaphthenone, anthraquinone, acetophenone, diacetylbenzene, triacetylbenzene, acetonaphtone, diphenylcarbonylnaphthalene, phenylcarbonylbiphenyl, diphenylcarbonylbiphenyl, benzophenone, diphenyl But are not limited to, carbonylbenzene, triphenylcarbonylbenzene, benzonaphtone, diphenylcarbonylnaphthalene, phenylcarbonylbiphenyl, diphenylcarbonylbiphenyl and the like. These may be used singly or in combination of two or more. Of these, preferred are cyclopentanone, cyclohexanone, norbornanone, tricyclohexanone, tricyclodecanone, adamantanone, fluorenone, benzofluorenone, acenaphthenequinone, acenaphthenone, anthraquinone, acetophenone, Benzene, triacetylbenzene, acetonaphtone, diphenylcarbonylnaphthalene, phenylcarbonylbiphenyl, diphenylcarbonylbiphenyl, benzophenone, diphenylcarbonylbenzene, triphenylcarbonylbenzene, benzonaphtone, diphenyl It is preferable to use carbonylnaphthalene, phenylcarbonylbiphenyl or diphenylcarbonylbiphenyl from the viewpoint of imparting high heat resistance, and it is preferable to use acetophenone, diacetylbenzene, triacetylbenzene, acetonaphtone, diphenylcarbonylnaphthalene , Phenylcarbonylbiphenyl, diphenylcarbonylbiphenyl, benzophenone, diphenylcarbonylbenzene, triphenylcarbonylbenzene, benzonaphthone, diphenylcarbonylnaphthalene, phenyl Carbonylbiphenyl and diphenylcarbonylbiphenyl are more preferred because of their high etching resistance.

케톤류로서, 방향환을 갖는 케톤을 이용하는 것이, 높은 내열성 및 높은 에칭내성을 겸비하는 점에서 바람직하다.As the ketone, it is preferable to use a ketone having an aromatic ring in view of having high heat resistance and high etching resistance.

상기 반응에 이용하는 산촉매에 대해서는, 공지의 것으로부터 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않는다. 산촉매로는, 특별히 한정되지 않고, 주지의 무기산, 유기산으로부터 적당히 선택할 수 있다. 예를 들어, 염산, 황산, 인산, 브롬화수소산, 불산 등의 무기산; 옥살산, 포름산, p-톨루엔설폰산, 메탄설폰산, 트리플루오로아세트산, 트리플루오로메탄설폰산, 벤젠설폰산, 나프탈렌설폰산, 나프탈렌디설폰산 등의 유기산; 염화아연, 염화알루미늄, 염화철, 삼불화붕소 등의 루이스산; 규텅스텐산, 인텅스텐산, 규몰리브덴산 또는 인몰리브덴산 등의 고체산을 들 수 있다. 입수의 용이함이나 취급용이함 등의 제조 상의 관점으로부터, 염산 또는 황산을 이용하는 것이 바람직하다. 또한 산촉매에 대해서는, 1종류 또는 2종류 이상을 이용할 수 있다.The acid catalyst to be used in the reaction can be appropriately selected from known ones and is not particularly limited. The acid catalyst is not particularly limited and may be appropriately selected from well-known inorganic acids and organic acids. For example, inorganic acids such as hydrochloric acid, sulfuric acid, phosphoric acid, hydrobromic acid and hydrofluoric acid; Organic acids such as oxalic acid, formic acid, p-toluenesulfonic acid, methanesulfonic acid, trifluoroacetic acid, trifluoromethanesulfonic acid, benzenesulfonic acid, naphthalenesulfonic acid and naphthalenedisulfonic acid; Lewis acids such as zinc chloride, aluminum chloride, iron chloride and boron trifluoride; Tungstic acid, tungstic acid, silicomolybdic acid or phosphomolybdic acid. It is preferable to use hydrochloric acid or sulfuric acid from the viewpoint of production, such as ease of access and ease of handling. As for the acid catalyst, one kind or two or more kinds can be used.

상기 반응시에는, 반응용매를 이용할 수도 있다. 반응용매로는, 이용하는 알데히드류 또는 케톤류와 나프톨류 등과의 반응이 진행된다면 특별히 한정되지 않으나, 예를 들어, 물, 메탄올, 에탄올, 프로판올, 부탄올, 테트라하이드로푸란, 디옥산 또는 이들의 혼합용매를 이용할 수 있다. 상기 용매의 양은, 특별히 한정되지 않고, 예를 들어, 반응원료 100질량부에 대해 0~2000질량부의 범위이다.In the reaction, a reaction solvent may be used. The reaction solvent is not particularly limited as long as the reaction with the aldehyde or ketone to be used and the naphthol or the like proceeds. For example, water, methanol, ethanol, propanol, butanol, tetrahydrofuran, dioxane, Can be used. The amount of the solvent is not particularly limited, and is, for example, in the range of 0 to 2,000 parts by mass based on 100 parts by mass of the reaction raw material.

상기 폴리페놀 화합물을 제조할 때, 반응온도는, 특별히 한정되지 않고, 반응원료의 반응성에 따라 적당히 선택할 수 있는데, 10~200℃의 범위인 것이 바람직하다. 상기 폴리페놀 화합물을 선택성 좋게 합성하려면, 온도가 낮은 편이, 효과가 높아 10~60℃의 범위가 보다 바람직하다.When the polyphenol compound is prepared, the reaction temperature is not particularly limited and may be appropriately selected depending on the reactivity of the reaction raw material, and is preferably in the range of 10 to 200 ° C. In order to selectively synthesize the polyphenol compound, the temperature is preferably low, and the effect is high, and the range of 10 to 60 ° C is more preferable.

상기 폴리페놀 화합물의 제조방법은, 특별히 한정되지 않으나, 예를 들어, 나프톨류 등, 알데히드류 또는 케톤류, 촉매를 일괄로 투입하는 방법이나, 촉매존재하 나프톨류나 알데히드류 또는 케톤류를 적하해 가는 방법이 있다. 중축합반응 종료 후, 계 내에 존재하는 미반응원료, 촉매 등을 제거하기 위해, 반응솥의 온도를 130~230℃까지 상승시키고, 1~50mmHg 정도에서 휘발분을 제거할 수도 있다.The method for producing the polyphenol compound is not particularly limited. For example, a method of collectively introducing aldehydes, ketones, or catalysts such as naphthols, or a method of dropping naphthols, aldehydes or ketones in the presence of a catalyst . After completion of the polycondensation reaction, in order to remove unreacted raw materials, catalysts, and the like existing in the system, the temperature of the reaction pot may be raised to 130 to 230 ° C and volatile components may be removed at about 1 to 50 mmHg.

상기 폴리페놀 화합물을 제조할 때의 원료의 양은, 특별히 한정되지 않으나, 예를 들어, 알데히드류 또는 케톤류 1몰에 대해, 나프톨류 등을 2몰~과잉량, 및 산촉매를 0.001~1몰 사용하고, 상압에서, 20~60℃에서 20분~100시간 정도 반응시킴으로써 진행한다.The amount of the raw material in the production of the polyphenol compound is not particularly limited. For example, the amount of the naphthol or the like is 2 mol to an excess amount, and the acid catalyst is used in an amount of 0.001 to 1 mol relative to 1 mol of the aldehyde or ketone , At normal pressure, at 20 to 60 ° C for 20 minutes to 100 hours.

상기 폴리페놀 화합물을 제조할 때, 상기 반응 종료 후, 공지의 방법에 의해 목적물을 단리한다. 목적물의 단리방법은, 특별히 한정되지 않고, 예를 들어, 반응액을 농축하고, 순수를 첨가하여 반응생성물을 석출시키고, 실온까지 냉각한 후, 여과를 행하여 분리, 얻어진 고형물을 여과하고, 건조시킨 후, 컬럼크로마토에 의해, 부생성물과 분리정제하고, 용매유거, 여과, 건조를 행하여 목적 화합물을 얻는 방법을 들 수 있다.When the polyphenol compound is produced, after completion of the reaction, the object is isolated by a known method. The isolation method of the object is not particularly limited, and for example, the reaction solution is concentrated, pure water is added to precipitate the reaction product, the reaction product is cooled to room temperature, filtered and separated, and the obtained solid is filtered, Followed by separation and purification from the by-product by column chromatography, and solvent evaporation, filtration and drying are carried out to obtain the target compound.

폴리페놀 화합물의 적어도 1개의 페놀성 수산기에 식(0-1A)로 표시되는 기를 도입하는 방법은 공지이다. 예를 들어, 이하와 같이 하여, 상기 화합물의 적어도 1개의 페놀성 수산기에 식(0-1A)로 표시되는 기를 도입할 수 있다. 식(0-1A)로 표시되는 기를 도입하기 위한 화합물은, 공지의 방법으로 합성 또는 용이하게 입수할 수 있으며, 예를 들어, 2-이소시아나토에틸메타크릴레이트, 2-이소시아나토에틸아크릴레이트를 들 수 있는데 이것들로 특별히 한정되지는 않는다.A method of introducing a group represented by the formula (0-1A) into at least one phenolic hydroxyl group of a polyphenol compound is known. For example, a group represented by the formula (0-1A) can be introduced into at least one phenolic hydroxyl group of the compound as follows. The compound for introducing the group represented by formula (0-1A) can be synthesized or easily obtained by a known method, and examples thereof include 2-isocyanatoethyl methacrylate, 2-isocyanatoethyl acrylate Rate, but are not limited to these.

예를 들어, 아세톤, 테트라하이드로푸란(THF), 프로필렌글리콜모노메틸에테르아세테이트 등의 비프로톤성 용매에 상기 화합물을 용해 또는 현탁시킨다. 이어서, 수산화나트륨, 수산화칼륨, 나트륨메톡사이드, 나트륨에톡사이드 등의 염기촉매의 존재하, 상압에서, 20~150℃, 6~72시간 반응시킨다. 반응액을 산으로 중화하고, 증류수에 첨가하여 백색고체를 석출시킨 후, 분리된 고체를 증류수로 세정하거나, 또는 용매를 증발건고시켜, 필요에 따라 증류수로 세정하고, 건조함으로써, 수산기의 수소원자가 식(0-1A)로 표시되는 기로 치환된 화합물을 얻을 수 있다.For example, the compound is dissolved or suspended in an aprotic solvent such as acetone, tetrahydrofuran (THF), propylene glycol monomethyl ether acetate or the like. Subsequently, the reaction is carried out at 20 to 150 ° C for 6 to 72 hours under normal pressure in the presence of a base catalyst such as sodium hydroxide, potassium hydroxide, sodium methoxide or sodium ethoxide. The reaction solution is neutralized with an acid and added to distilled water to precipitate a white solid. The separated solid is washed with distilled water, or the solvent is evaporated to dryness, washed with distilled water if necessary, and dried, A compound substituted with a group represented by the formula (0-1A) can be obtained.

한편, 식(0-1A)로 표시되는 기로 치환된 기를 도입할 타이밍에 대해서는, 비나프톨류와 알데히드류 또는 케톤류와의 축합반응 후뿐만 아니라, 축합반응의 전단계여도 된다. 또한, 후술하는 수지의 제조를 행한 후에 행하여도 된다.On the other hand, the timing of introduction of a group substituted with a group represented by formula (0-1A) may be not only after the condensation reaction of binaphthol with an aldehyde or a ketone, but also before the condensation reaction. It may be carried out after the production of a resin to be described later.

또한, 폴리페놀 화합물의 적어도 1개의 페놀성 수산기에, 식(0-1B)로 표시되는 기를 도입하여, 그 하이드록시기에 식(0-1A)로 표시되는 기를 도입하는 방법도 공지이다.It is also known to introduce a group represented by the formula (0-1B) into at least one phenolic hydroxyl group of the polyphenol compound to introduce the group represented by the formula (0-1A) into the hydroxyl group.

예를 들어, 이하와 같이 하여, 상기 화합물의 적어도 1개의 페놀성 수산기에 식(0-1B)로 표시되는 기를 도입하여, 그 하이드록시기에 식(0-1A)로 표시되는 기를 도입할 수 있다.For example, a group represented by the formula (0-1B) may be introduced into at least one phenolic hydroxyl group of the compound to introduce a group represented by the formula (0-1A) into the hydroxyl group have.

식(0-1B)로 표시되는 기를 도입하기 위한 화합물은, 공지의 방법으로 합성 또는 용이하게 입수할 수 있으며, 예를 들어, 클로로에탄올, 브로모에탄올, 아세트산-2-클로로에틸, 아세트산-2-브로모에틸, 아세트산-2-요오드에틸, 에틸렌옥사이드, 프로필렌옥사이드, 부틸렌옥사이드, 에틸렌카보네이트, 프로필렌카보네이트, 부틸렌카보네이트를 들 수 있는데 특별히 한정되지는 않는다.The compound for introducing a group represented by the formula (0-1B) can be synthesized or easily obtained by a known method, for example, chloroethanol, bromoethanol, 2-chloroethyl acetate, -Bromoethyl acetate, 2-iodoethyl acetate, ethylene oxide, propylene oxide, butylene oxide, ethylene carbonate, propylene carbonate, and butylene carbonate.

예를 들어, 아세톤, 테트라하이드로푸란(THF), 프로필렌글리콜모노메틸에테르아세테이트 등의 비프로톤성 용매에 상기 화합물을 용해 또는 현탁시킨다. 이어서, 수산화나트륨, 수산화칼륨, 나트륨메톡사이드, 나트륨에톡사이드 등의 염기촉매의 존재하, 상압에서, 20~150℃, 6~72시간 반응시킨다. 반응액을 산으로 중화하고, 증류수에 첨가하여 백색고체를 석출시킨 후, 분리된 고체를 증류수로 세정하거나, 또는 용매를 증발건고시켜, 필요에 따라 증류수로 세정하고, 건조함으로써, 수산기의 수소원자가 식(0-1B)로 표시되는 기로 치환된 화합물을 얻을 수 있다.For example, the compound is dissolved or suspended in an aprotic solvent such as acetone, tetrahydrofuran (THF), propylene glycol monomethyl ether acetate or the like. Subsequently, the reaction is carried out at 20 to 150 ° C for 6 to 72 hours under normal pressure in the presence of a base catalyst such as sodium hydroxide, potassium hydroxide, sodium methoxide or sodium ethoxide. The reaction solution is neutralized with an acid and added to distilled water to precipitate a white solid. The separated solid is washed with distilled water, or the solvent is evaporated to dryness, washed with distilled water if necessary, and dried, A compound substituted with a group represented by formula (0-1B) can be obtained.

아세트산-2-클로로에틸, 아세트산-2-브로모에틸, 아세트산-2-요오드에틸을 사용하는 경우, 아세톡시에틸기가 도입된 후, 탈아실반응을 발생시킴으로써, 하이드록시에틸기가 도입된다.In the case of using 2-chloroethyl acetate, 2-bromoethyl acetate or 2-iodoethyl acetate, a hydroxyethyl group is introduced by introducing an acetoxy group and then generating a deacylation reaction.

에틸렌카보네이트, 프로필렌카보네이트, 부틸렌카보네이트를 사용하는 경우, 알킬렌카보네이트를 부가시키고, 탈탄산반응이 발생함으로써, 하이드록시알킬기가 도입된다.When ethylene carbonate, propylene carbonate, or butylene carbonate is used, an alkylene carbonate is added and a decarboxylation reaction occurs to introduce a hydroxyalkyl group.

그 후, 아세톤, 테트라하이드로푸란(THF), 프로필렌글리콜모노메틸에테르아세테이트 등의 비프로톤성 용매에 상기 화합물을 용해 또는 현탁시킨다. 이어서, 수산화나트륨, 수산화칼륨, 나트륨메톡사이드, 나트륨에톡사이드 등의 염기촉매의 존재하, 상압에서, 20~150℃, 6~72시간 반응시킨다. 반응액을 산으로 중화하고, 증류수에 첨가하여 백색고체를 석출시킨 후, 분리된 고체를 증류수로 세정하거나, 또는 용매를 증발건고시켜, 필요에 따라 증류수로 세정하고, 건조함으로써, 하이드록시기의 수소원자가 식(0-1A)로 표시되는 기로 치환된 기로 치환된 화합물을 얻을 수 있다.Thereafter, the compound is dissolved or suspended in an aprotic solvent such as acetone, tetrahydrofuran (THF), propylene glycol monomethyl ether acetate or the like. Subsequently, the reaction is carried out at 20 to 150 ° C for 6 to 72 hours under normal pressure in the presence of a base catalyst such as sodium hydroxide, potassium hydroxide, sodium methoxide or sodium ethoxide. The reaction solution is neutralized with an acid and added to distilled water to precipitate a white solid. The separated solid is washed with distilled water, or the solvent is evaporated to dryness, washed with distilled water if necessary, and dried to obtain a A compound in which a hydrogen atom is substituted with a group substituted with a group represented by the formula (0-1A) can be obtained.

본 실시형태에 있어서, 식(0-1A)로 표시되는 기로 치환된 기란, 라디칼 또는 산/알칼리의 존재하에서 반응하며, 도포용매나 현상액에 사용되는 산, 알칼리 또는 유기용매에 대한 용해성이 변화한다. 상기 식(0-1A)로 표시되는 기로 치환된 기는, 더욱 고감도·고해상도의 패턴 형성을 가능하게 하기 위해, 라디칼 또는 산/알칼리의 존재하에서 연쇄적으로 반응을 일으키는 성질을 갖는 것이 바람직하다.In the present embodiment, the group substituted with a group represented by the formula (0-1A) reacts in the presence of a radical or an acid / alkali, and the solubility in an acid, an alkali, or an organic solvent used in a coating solvent or a developer varies . The group substituted with a group represented by the formula (0-1A) preferably has a property of generating a chain reaction in the presence of a radical or an acid / alkali in order to enable pattern formation with a higher sensitivity and a high resolution.

[식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지의 제조방법][Process for producing a resin obtained by using a compound represented by the formula (2) as a monomer]

상기 식(2)로 표시되는 화합물은, 리소그래피용 막형성 조성물이나 광학부품 형성에 이용되는 조성물로서, 그대로 사용할 수 있다. 또한, 상기 식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지를, 조성물로서 사용할 수 있다. 수지는, 예를 들어, 상기 식(2)로 표시되는 화합물과 가교반응성이 있는 화합물을 반응시켜 얻어지는 수지로서도 사용할 수 있다.The compound represented by the above formula (2) can be used as it is as a film-forming composition for lithography or a composition used for forming optical parts. Further, a resin obtained by using the compound represented by the formula (2) as a monomer can be used as the composition. The resin can also be used, for example, as a resin obtained by reacting a compound represented by the formula (2) with a compound having a crosslinking reactivity.

상기 식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지로는, 예를 들어, 이하의 식(4)로 표시되는 구조를 갖는 것을 들 수 있다. 즉, 본 실시형태의 리소그래피용 막형성 조성물은, 하기 식(4)에 표시되는 구조를 갖는 수지를 함유하는 것일 수도 있다.Examples of the resin obtained by using the compound represented by the formula (2) as a monomer include those having a structure represented by the following formula (4). That is, the film forming composition for lithography of the present embodiment may contain a resin having a structure represented by the following formula (4).

[화학식 358]≪ EMI ID =

Figure pct00358
Figure pct00358

(4)(4)

식(4) 중, L은, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬렌기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴렌기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕실렌기 또는 단결합이고, 상기 알킬렌기, 상기 아릴렌기, 상기 알콕실렌기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있다. 또한, 상기 알킬렌기, 알콕실렌기는, 직쇄상, 분지상 혹은 환상의 기일 수도 있다.In formula (4), L represents an alkylene group having 1 to 30 carbon atoms which may have a substituent, an arylene group having 6 to 30 carbon atoms which may have a substituent, an alkoxysilane having 1 to 30 carbon atoms, And the alkylene group, the arylene group, and the alkoxylene group may contain an ether bond, a ketone bond or an ester bond. The alkylene group and alkoxylene group may be a straight chain, branched or cyclic group.

R0A는, 상기 RY와 동의이고,R 0A is synonymous with R Y ,

R1A는, 탄소수 1~30의 nA가의 기 또는 단결합이고,R 1A is an n A -valent group having 1 to 30 carbon atoms or a single bond,

R2A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2A의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,R 2A each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydrogen atom of a hydroxyl group is substituted with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2A is a group represented by the formula (0-1) Including,

nA는, 상기 N과 동의이고, 여기서, nA가 2 이상의 정수인 경우, nA개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,n A is the same as the above N, wherein when n A is an integer of 2 or more, the structural formulas within n A [] may be the same or different,

XA는, 산소원자, 황원자 또는 무가교인 것을 나타내고,X A represents an oxygen atom, a sulfur atom or a non-

m2A는, 각각 독립적으로, 0~7의 정수이고, 단, 적어도 1개의 m2A는 1~6의 정수이고,m 2A is independently an integer of 0 to 7, provided that at least one of m 2A is an integer of 1 to 6,

qA는, 각각 독립적으로, 0 또는 1이다.q A is independently 0 or 1;

[식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지의 제조방법][Process for producing a resin obtained by using a compound represented by the formula (2) as a monomer]

본 실시형태의 수지는, 상기 식(2)로 표시되는 화합물을 가교반응성이 있는 화합물과 반응시킴으로써 얻어진다.The resin of this embodiment is obtained by reacting the compound represented by the formula (2) with a compound having a crosslinking reactivity.

가교반응성이 있는 화합물로는, 상기 식(2)로 표시되는 화합물을 올리고머화 또는 폴리머화할 수 있는 것인 한, 공지의 것을 특별히 제한없이 사용할 수 있다. 그 구체예로는, 예를 들어, 알데히드, 케톤, 카르본산, 카르본산할라이드, 할로겐함유 화합물, 아미노 화합물, 이미노 화합물, 이소시아네이트, 불포화탄화수소기함유 화합물 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다.As the compound having a crosslinking reactivity, any known compound can be used as long as it can oligomerize or polymerize the compound represented by the formula (2). Specific examples thereof include, for example, aldehydes, ketones, carboxylic acids, carboxylic acid halides, halogen-containing compounds, amino compounds, imino compounds, isocyanates and unsaturated hydrocarbon group-containing compounds, Do not.

상기 식(4)로 표시되는 구조를 갖는 수지의 구체예로는, 예를 들어, 상기 식(2)로 표시되는 화합물을 가교반응성이 있는 화합물인 알데히드 및/또는 케톤과의 축합반응 등에 의해 노볼락화한 수지를 들 수 있다.Specific examples of the resin having the structure represented by the formula (4) include, for example, a compound represented by the formula (2) by a condensation reaction with an aldehyde and / or a ketone, And a resin which is made into a boric acid.

여기서, 상기 식(2)로 표시되는 화합물을 노볼락화할 때에 이용하는 알데히드로는, 예를 들어, 포름알데히드, 트리옥산, 파라포름알데히드, 벤즈알데히드, 아세트알데히드, 프로필알데히드, 페닐아세트알데히드, 페닐프로필알데히드, 하이드록시벤즈알데히드, 클로로벤즈알데히드, 니트로벤즈알데히드, 메틸벤즈알데히드, 에틸벤즈알데히드, 부틸벤즈알데히드, 비페닐알데히드, 나프토알데히드, 안트라센카르보알데히드, 페난트렌카르보알데히드, 피렌카르보알데히드, 푸르푸랄 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 케톤으로는, 상기 케톤류를 들 수 있다. 이들 중에서도, 포름알데히드가 보다 바람직하다. 한편, 이들 알데히드 및/또는 케톤류는, 1종을 단독으로 또는 2종 이상을 조합하여 이용할 수 있다. 또한, 상기 알데히드 및/또는 케톤류의 사용량은, 특별히 한정되지 않으나, 상기 식(2)로 표시되는 화합물 1몰에 대해, 0.2~5몰인 것이 바람직하고, 보다 바람직하게는 0.5~2몰이다.Examples of the aldehyde used in the novolakization of the compound represented by the formula (2) include formaldehyde, trioxane, paraformaldehyde, benzaldehyde, acetaldehyde, propylaldehyde, phenylacetaldehyde, phenylpropylaldehyde Benzenesulfonic acid, benzenesulfonic acid, benzenesulfonic acid, benzenesulfonic acid, benzenesulfonic acid, hydroxymethylbenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, ethylbenzaldehyde, butylbenzaldehyde, biphenylaldehyde, naphthaldehyde, anthracenecarbaldehyde, phenanthrenecarbaldehyde, But are not limited to these. Examples of the ketone include the above-mentioned ketones. Of these, formaldehyde is more preferable. On the other hand, these aldehydes and / or ketones may be used singly or in combination of two or more kinds. The amount of the aldehyde and / or ketone to be used is not particularly limited, but is preferably 0.2 to 5 moles, more preferably 0.5 to 2 moles, per 1 mole of the compound represented by the formula (2).

상기 식(2)로 표시되는 화합물과 알데히드 및/또는 케톤과의 축합반응에 있어서, 산촉매를 이용할 수도 있다. 여기서 사용하는 산촉매에 대해서는, 공지의 것으로부터 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않는다. 이러한 산촉매로는, 무기산이나 유기산이 널리 알려져 있으며, 예를 들어, 염산, 황산, 인산, 브롬화수소산, 불산 등의 무기산; 옥살산, 말론산, 석신산, 아디프산, 세바스산, 구연산, 푸마르산, 말레산, 포름산, p-톨루엔설폰산, 메탄설폰산, 트리플루오로아세트산, 디클로로아세트산, 트리클로로아세트산, 트리플루오로메탄설폰산, 벤젠설폰산, 나프탈렌설폰산, 나프탈렌디설폰산 등의 유기산; 염화아연, 염화알루미늄, 염화철, 삼불화붕소 등의 루이스산; 규텅스텐산, 인텅스텐산, 규몰리브덴산 또는 인몰리브덴산 등의 고체산 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들 중에서도, 제조 상의 관점으로부터, 유기산 또는 고체산이 바람직하고, 입수의 용이함이나 취급용이함 등의 제조 상의 관점으로부터, 염산 또는 황산이 바람직하다. 한편, 산촉매에 대해서는, 1종을 단독으로 또는 2종 이상을 조합하여 이용할 수 있다.In the condensation reaction of the compound represented by the formula (2) with an aldehyde and / or a ketone, an acid catalyst may be used. The acid catalyst to be used here can be appropriately selected from known ones and is not particularly limited. As such acid catalysts, inorganic acids and organic acids are widely known and include, for example, inorganic acids such as hydrochloric acid, sulfuric acid, phosphoric acid, hydrobromic acid, and hydrofluoric acid; But are not limited to, oxalic, malonic, succinic, adipic, sebacic, citric, fumaric, maleic, formic, p-toluenesulfonic, methanesulfonic, trifluoroacetic, Organic acids such as sulfonic acid, benzenesulfonic acid, naphthalenesulfonic acid, and naphthalenedisulfonic acid; Lewis acids such as zinc chloride, aluminum chloride, iron chloride and boron trifluoride; And silicic acid such as tungstic acid, tungstic acid, silicomolybdic acid or phosphomolybdic acid, and the like are not particularly limited. Of these, from the viewpoint of production, organic acids or solid acids are preferable, and hydrochloric acid or sulfuric acid is preferable from the viewpoint of production easiness, easy handling, and the like. On the other hand, as for the acid catalyst, one type may be used alone, or two or more types may be used in combination.

또한, 산촉매의 사용량은, 사용하는 원료 및 사용하는 촉매의 종류, 더 나아가서는 반응조건 등에 따라 적당히 설정할 수 있고, 특별히 한정되지 않으나, 반응원료 100질량부에 대해, 0.01~100질량부인 것이 바람직하다. 단, 인덴, 하이드록시인덴, 벤조푸란, 하이드록시안트라센, 아세나프틸렌, 비페닐, 비스페놀, 트리스페놀, 디시클로펜타디엔, 테트라하이드로인덴, 4-비닐시클로헥센, 노보나디엔, 5-비닐노보나-2-엔, α-피넨, β-피넨, 리모넨 등의 비공역이중결합을 갖는 화합물과의 공중합반응인 경우는, 반드시 알데히드류가 필요하지는 않다.The amount of the acid catalyst to be used can be suitably set according to the kind of the raw material to be used and the type of the catalyst to be used and furthermore the reaction conditions and is not particularly limited but is preferably 0.01 to 100 parts by mass with respect to 100 parts by mass of the reaction raw material . However, it is preferable to use a compound selected from the group consisting of indene, hydroxyindene, benzofuran, hydroxyanthracene, acenaphthylene, biphenyl, bisphenol, trisphenol, dicyclopentadiene, tetrahydroindene, 4-vinylcyclohexene, In the case of a copolymerization reaction with a compound having a non-conjugated double bond such as vinyl norborna-2-ene,? -Pinene,? -Pinene and limonene, an aldehyde is not necessarily required.

상기 식(2)로 표시되는 화합물과 알데히드 및/또는 케톤과의 축합반응에 있어서, 반응용매를 이용할 수도 있다. 이 중축합에 있어서의 반응용매로는, 공지의 것 중에서 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않으나, 예를 들어, 물, 메탄올, 에탄올, 프로판올, 부탄올, 테트라하이드로푸란, 디옥산 또는 이들의 혼합용매 등을 들 수 있다. 한편, 용매는, 1종을 단독으로 혹은 2종 이상을 조합하여 이용할 수 있다.In the condensation reaction of the compound represented by the formula (2) with an aldehyde and / or a ketone, a reaction solvent may be used. The reaction solvent in the polycondensation is not particularly limited and may be appropriately selected from known ones. Examples of the solvent include water, methanol, ethanol, propanol, butanol, tetrahydrofuran, dioxane, Mixed solvents, and the like. On the other hand, one solvent may be used alone, or two or more solvents may be used in combination.

또한, 이들 용매의 사용량은, 사용하는 원료 및 사용하는 촉매의 종류, 더 나아가서는 반응조건 등에 따라 적당히 설정할 수 있고, 특별히 한정되지 않으나, 반응원료 100질량부에 대해 0~2000질량부의 범위인 것이 바람직하다. 나아가, 반응온도는, 반응원료의 반응성에 따라 적당히 선택할 수 있으며, 특별히 한정되지 않으나, 통상 10~200℃의 범위이다. 한편, 반응방법은, 공지의 수법을 적당히 선택하여 이용할 수 있고, 특별히 한정되지 않으나, 상기 식(2)로 표시되는 화합물, 알데히드 및/또는 케톤류, 촉매를 일괄로 투입하는 방법이나, 상기 식(2)로 표시되는 화합물이나 알데히드 및/또는 케톤류를 촉매존재하에서 적하해 가는 방법을 들 수 있다.The amount of these solvents to be used can be suitably set according to the type of raw material to be used and the type of catalyst to be used and further the reaction conditions and is not particularly limited but is preferably 0 to 2000 parts by mass with respect to 100 parts by mass of the reaction raw material desirable. Furthermore, the reaction temperature can be appropriately selected according to the reactivity of the reaction raw material, and is not particularly limited, but is usually in the range of 10 to 200 ° C. On the other hand, as the reaction method, a known method can be appropriately selected and used. Without particular limitation, a method of collectively introducing the compound represented by the formula (2), the aldehyde and / or the ketone and the catalyst, 2) or an aldehyde and / or a ketone is added dropwise in the presence of a catalyst.

중축합반응 종료 후, 얻어진 화합물의 단리는, 상법에 따라서 행할 수 있으며, 특별히 한정되지 않는다. 예를 들어, 계 내에 존재하는 미반응원료나 촉매 등을 제거하기 위해, 반응솥의 온도를 130~230℃까지 상승시키고, 1~50mmHg 정도에서 휘발분을 제거하는 등의 일반적 수법을 채용함으로써, 목적물인 노볼락화한 수지를 단리할 수 있다.After completion of the polycondensation reaction, the obtained compound can be isolated according to a conventional method, and is not particularly limited. For example, in order to remove unreacted starting materials and catalysts present in the system, by employing a general method such as raising the temperature of the reaction pot to 130 to 230 DEG C and removing volatile matter at about 1 to 50 mmHg, The novolak resin can be isolated.

여기서, 상기 식(4)로 표시되는 구조를 갖는 수지는, 상기 식(2)로 표시되는 화합물의 단독중합체일 수도 있는데, 다른 페놀류와의 공중합체일 수도 있다. 여기서 공중합 가능한 페놀류로는, 예를 들어, 페놀, 크레졸, 디메틸페놀, 트리메틸페놀, 부틸페놀, 페닐페놀, 디페닐페놀, 나프틸페놀, 레조르시놀, 메틸레조르시놀, 카테콜, 부틸카테콜, 메톡시페놀, 메톡시페놀, 프로필페놀, 피로갈롤, 티몰 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다.Here, the resin having the structure represented by the formula (4) may be a homopolymer of the compound represented by the formula (2), or may be a copolymer with other phenols. Examples of the copolymerizable phenol include phenol, cresol, dimethyl phenol, trimethyl phenol, butyl phenol, phenyl phenol, diphenyl phenol, naphthyl phenol, resorcinol, methyl resorcinol, catechol, , Methoxyphenol, methoxyphenol, propylphenol, pyrogallol, thymol, and the like, but they are not particularly limited thereto.

또한, 상기 식(4)로 표시되는 구조를 갖는 수지는, 상기 서술한 다른 페놀류 이외에, 중합가능한 모노머와 공중합시킨 것일 수도 있다. 이러한 공중합모노머로는, 예를 들어, 나프톨, 메틸나프톨, 메톡시나프톨, 디하이드록시나프탈렌, 인덴, 하이드록시인덴, 벤조푸란, 하이드록시안트라센, 아세나프틸렌, 비페닐, 비스페놀, 트리스페놀, 디시클로펜타디엔, 테트라하이드로인덴, 4-비닐시클로헥센, 노보나디엔, 비닐노보나엔, 피넨, 리모넨 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 한편, 상기 식(4)로 표시되는 구조를 갖는 수지는, 상기 식(2)로 표시되는 화합물과 상기 서술한 페놀류와의 2원 이상의(예를 들어, 2~4원계) 공중합체여도, 상기 식(2)로 표시되는 화합물과 상기 서술한 공중합모노머와의 2원 이상(예를 들어, 2~4원계) 공중합체여도, 상기 식(2)로 표시되는 화합물과 상기 서술한 페놀류와 상기 서술한 공중합모노머와의 3원 이상의(예를 들어, 3~4원계) 공중합체여도 관계없다.In addition to the above-mentioned other phenols, the resin having the structure represented by the formula (4) may be a copolymer obtained by copolymerizing with a polymerizable monomer. Examples of such copolymerizable monomers include monomers such as naphthol, methylnaphthol, methoxynaphthol, dihydroxynaphthalene, indene, hydroxyindene, benzofuran, hydroxyanthracene, acenaphthylene, biphenyl, bisphenol, Dicyclopentadiene, tetrahydroindene, 4-vinylcyclohexene, norbornadiene, vinyl norbornene, pinene, limonene, and the like, but they are not particularly limited thereto. On the other hand, the resin having the structure represented by the formula (4) may be a copolymer of two or more atoms (for example, a two to four member system) of the compound represented by the formula (2) Even if a copolymer having two or more atoms (for example, a two to four member system) of the compound represented by the formula (2) and the above-described copolymerizable monomer is used, the compound represented by the formula (2) (For example, a 3 to 4 member system) copolymer with one copolymerizable monomer.

한편, 상기 식(4)로 표시되는 구조를 갖는 수지의 분자량은, 특별히 한정되지 않으나, 폴리스티렌 환산의 중량평균분자량(Mw)이 500~30,000인 것이 바람직하고, 보다 바람직하게는 750~20,000이다. 또한, 가교효율을 높임과 함께 베이크 중의 휘발성분을 억제하는 관점으로부터, 상기 식(4)로 표시되는 구조를 갖는 수지는, 분산도(중량평균분자량 Mw/수평균분자량 Mn)가 1.2~7의 범위 내인 것이 바람직하다. 한편, 상기 Mw 및 Mn은, 후술하는 실시예에 기재된 방법에 의해 구할 수 있다.On the other hand, the molecular weight of the resin having the structure represented by the formula (4) is not particularly limited, but the weight average molecular weight (Mw) in terms of polystyrene is preferably 500 to 30,000, more preferably 750 to 20,000. From the viewpoint of increasing the crosslinking efficiency and suppressing the volatile components in the baking, the resin having the structure represented by the formula (4) has a dispersion degree (weight average molecular weight Mw / number average molecular weight Mn) of 1.2 to 7 . On the other hand, the Mw and Mn can be obtained by the methods described in Examples described later.

상기 식(4)로 표시되는 구조를 갖는 수지는, 습식 프로세스의 적용이 보다 용이해지는 등의 관점으로부터, 용매에 대한 용해성이 높은 것인 것이 바람직하다. 보다 구체적으로는, 1-메톡시-2-프로판올(PGME) 및/또는 프로필렌글리콜모노메틸에테르아세테이트(PGMEA)를 용매로 하는 경우, 해당 용매에 대한 용해도가 10질량% 이상인 것이 바람직하다. 여기서, PGME 및/또는 PGMEA에 대한 용해도는, 「수지의 질량÷(수지의 질량+용매의 질량)×100(질량%)」라 정의된다. 예를 들어, 상기 수지 10g이 PGMEA 90g에 대해 용해되는 경우는, 상기 수지의 PGMEA에 대한 용해도는, 「10질량% 이상」이 되고, 용해되지 않는 경우는, 「10질량% 미만」이 된다.The resin having the structure represented by the above formula (4) is preferably one having high solubility in a solvent from the viewpoint that the application of the wet process becomes easier. More specifically, when 1-methoxy-2-propanol (PGME) and / or propylene glycol monomethyl ether acetate (PGMEA) are used as a solvent, the solubility in the solvent is preferably 10 mass% or more. Here, the solubility in PGME and / or PGMEA is defined as "mass of resin ÷ (mass of resin + mass of solvent) × 100 (mass%)". For example, when 10 g of the resin is dissolved in 90 g of PGMEA, the solubility of the resin in PGMEA is " 10 mass% or more ", and when it is not dissolved, it is less than 10 mass%.

[화합물 및/또는 수지의 정제방법][Method for purifying compound and / or resin]

본 실시형태의 화합물 및/또는 수지의 정제방법은, 상기 식(1)로 표시되는 화합물, 상기 식(1)로 표시되는 화합물을 모노머로 하여 얻어지는 수지, 상기 식(2)로 표시되는 화합물 및 상기 식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지로부터 선택되는 1종 이상을, 용매에 용해시켜 용액(S)을 얻는 공정과, 얻어진 용액(S)과 산성의 수용액을 접촉시켜, 상기 화합물 및/또는 상기 수지 중의 불순물을 추출하는 공정(제1 추출공정)을 포함하고, 상기 용액(S)을 얻는 공정에서 이용하는 용매가, 물과 임의로 혼화되지 않는 유기용매를 포함한다.The method of purifying a compound and / or a resin of the present embodiment is a method of purifying a compound represented by the formula (1), a resin obtained using the compound represented by the formula (1) as a monomer, a compound represented by the formula (2) A step of dissolving at least one member selected from the resins obtained by using the compound represented by the formula (2) as a monomer in a solvent to obtain a solution (S); and a step of bringing the obtained solution (S) And a step of extracting impurities in the resin and / or the resin (first extraction step), and the solvent used in the step of obtaining the solution (S) includes an organic solvent which is not arbitrarily miscible with water.

해당 제1 추출공정에 있어서, 상기 수지는, 상기 식(1)로 표시되는 화합물 및/또는 식(2)로 표시되는 화합물과 가교반응성이 있는 화합물의 반응에 의해 얻어지는 수지인 것이 바람직하다. 본 실시형태의 정제방법에 의하면, 상기 서술한 특정 구조를 갖는 화합물 또는 수지에 불순물로서 포함될 수 있는 여러가지 금속의 함유량을 저감할 수 있다.In the first extraction step, the resin is preferably a resin obtained by reacting the compound represented by the formula (1) and / or the compound represented by the formula (2) with a compound having a crosslinking reactivity. According to the purification method of the present embodiment, the content of various metals that may be contained as impurities in the compound or resin having the above-described specific structure can be reduced.

보다 상세하게는, 본 실시형태의 정제방법에 있어서는, 상기 화합물 및/또는 상기 수지를, 물과 임의로 혼화되지 않는 유기용매에 용해시켜 용액(S)을 얻고, 다시 그 용액(S)을 산성수용액과 접촉시켜 추출처리를 행할 수 있다. 이에 따라, 상기 용액(S)에 포함되는 금속분을 수상으로 이행시킨 후, 유기상과 수상을 분리하여 금속함유량이 저감된 화합물 및/또는 수지를 얻을 수 있다.More specifically, in the purification method of the present embodiment, the compound (S) is obtained by dissolving the compound and / or the resin in an organic solvent which is not optionally miscible with water to obtain a solution (S) So that the extraction treatment can be performed. Thus, after the metal component contained in the solution (S) is transferred to the aqueous phase, the organic phase and the aqueous phase are separated to obtain a compound and / or a resin having a reduced metal content.

본 실시형태의 정제방법에서 사용하는, 화합물 및/또는 수지는 단독으로 사용할 수도 있는데, 2종 이상 혼합하여 이용할 수도 있다. 또한, 상기 화합물이나 수지는, 각종 계면활성제, 각종 가교제, 각종 산발생제, 각종 안정제 등을 함유하고 있을 수도 있다.The compound and / or the resin used in the purification method of the present embodiment may be used alone or in combination of two or more. The compound or resin may contain various surfactants, various crosslinking agents, various acid generators, various stabilizers, and the like.

본 실시형태에서 사용되는 물과 임의로 혼화되지 않는 용매로는, 특별히 한정되지 않으나, 반도체 제조 프로세스에 안전하게 적용할 수 있는 유기용매가 바람직하고, 구체적으로는, 실온하에 있어서의 물에 대한 용해도가 30% 미만, 보다 바람직하게는 20% 미만, 더욱 바람직하게는 10% 미만인 유기용매이다. 해당 유기용매의 사용량은, 사용하는 화합물과 수지의 합계량에 대해, 1~100질량배인 것이 바람직하다.The solvent that is not optionally miscible with water used in the present embodiment is not particularly limited, but an organic solvent that can be safely applied to a semiconductor manufacturing process is preferable, and specifically, a solubility in water at room temperature of 30 %, More preferably less than 20%, and more preferably less than 10%. The amount of the organic solvent to be used is preferably 1 to 100 times the total amount of the compound and the resin to be used.

물과 임의로 혼화되지 않는 용매의 구체예로는, 이하로 한정되지 않으나, 예를 들어, 디에틸에테르, 디이소프로필에테르 등의 에테르류, 아세트산에틸, 아세트산n-부틸, 아세트산이소아밀 등의 에스테르류, 메틸에틸케톤, 메틸이소부틸케톤, 에틸이소부틸케톤, 시클로헥사논, 시클로펜탄온, 2-헵탄온, 2-펜탄온 등의 케톤류; 에틸렌글리콜모노에틸에테르아세테이트, 에틸렌글리콜모노부틸에테르아세테이트, 프로필렌글리콜모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜모노에틸에테르아세테이트 등의 글리콜에테르아세테이트류; n-헥산, n-헵탄 등의 지방족 탄화수소류; 톨루엔, 자일렌 등의 방향족 탄화수소류; 염화메틸렌, 클로로포름 등의 할로겐화탄화수소류 등을 들 수 있다. 이들 중에서도, 톨루엔, 2-헵탄온, 시클로헥사논, 시클로펜탄온, 메틸이소부틸케톤, 프로필렌글리콜모노메틸에테르아세테이트, 아세트산에틸 등이 바람직하고, 메틸이소부틸케톤, 아세트산에틸, 시클로헥사논, 프로필렌글리콜모노메틸에테르아세테이트가 보다 바람직하고, 메틸이소부틸케톤, 아세트산에틸이 보다 더욱 바람직하다. 메틸이소부틸케톤, 아세트산에틸 등은, 상기 화합물 및 이 화합물을 구성성분으로서 포함하는 수지의 포화용해도가 비교적 높고, 비점이 비교적 낮은 점에서, 공업적으로 용매를 유거하는 경우나 건조에 의해 제거하는 공정에서의 부하를 저감하는 것이 가능해진다. 이들 용매는 각각 단독으로 이용할 수도 있고, 또한 2종 이상을 혼합하여 이용할 수도 있다.Specific examples of the solvent which is not optionally miscible with water include, but are not limited to, ethers such as diethyl ether and diisopropyl ether, ethyl acetate, n-butyl acetate, Esters, ketones such as methyl ethyl ketone, methyl isobutyl ketone, ethyl isobutyl ketone, cyclohexanone, cyclopentanone, 2-heptanone, and 2-pentanone; Glycol ether acetates such as ethylene glycol monoethyl ether acetate, ethylene glycol monobutyl ether acetate, propylene glycol monomethyl ether acetate (PGMEA) and propylene glycol monoethyl ether acetate; aliphatic hydrocarbons such as n-hexane and n-heptane; Aromatic hydrocarbons such as toluene and xylene; And halogenated hydrocarbons such as methylene chloride and chloroform. Of these, toluene, 2-heptanone, cyclohexanone, cyclopentanone, methylisobutylketone, propylene glycol monomethyl ether acetate and ethyl acetate are preferable, and methyl isobutyl ketone, ethyl acetate, cyclohexanone, propylene Glycol monomethyl ether acetate is more preferable, and methyl isobutyl ketone and ethyl acetate are still more preferable. Methyl isobutyl ketone, ethyl acetate and the like are industrially removed by drying or by evaporation of the solvent industrially in view of the relatively high saturation solubility of the above compound and the resin containing the compound as a constituent component The load in the process can be reduced. These solvents may be used alone or in combination of two or more.

본 실시형태의 정제방법에서 사용되는 산성의 수용액으로는, 일반적으로 알려진 유기계 화합물 혹은 무기계 화합물을 물에 용해시킨 수용액 중에서 적당히 선택된다. 산성수용액으로는, 이하로 한정되지 않으나, 예를 들어, 염산, 황산, 질산, 인산 등의 무기산을 물에 용해시킨 무기산 수용액, 또는, 아세트산, 프로피온산, 옥살산, 말론산, 석신산, 푸마르산, 말레산, 주석산, 구연산, 메탄설폰산, 페놀설폰산, p-톨루엔설폰산, 트리플루오로아세트산 등의 유기산을 물에 용해시킨 유기산 수용액을 들 수 있다. 이들 산성의 수용액은, 각각 단독으로 이용할 수도 있고, 또한 2종 이상을 조합하여 이용할 수도 있다. 이들 산성의 수용액 중에서도, 염산, 황산, 질산 및 인산으로 이루어지는 군으로부터 선택되는 1종 이상의 무기산 수용액, 또는, 아세트산, 프로피온산, 옥살산, 말론산, 석신산, 푸마르산, 말레산, 주석산, 구연산, 메탄설폰산, 페놀설폰산, p-톨루엔설폰산 및 트리플루오로아세트산으로 이루어지는 군으로부터 선택되는 1종 이상의 유기산 수용액인 것이 바람직하고, 황산, 질산, 및 아세트산, 옥살산, 주석산, 구연산 등의 카르본산의 수용액이 보다 바람직하고, 황산, 옥살산, 주석산, 구연산의 수용액이 더욱 바람직하고, 옥살산의 수용액이 보다 더욱 바람직하다. 옥살산, 주석산, 구연산 등의 다가카르본산은 금속이온에 배위하고, 킬레이트효과가 발생하기 때문에, 보다 효과적으로 금속을 제거할 수 있는 경향이 있는 것으로 생각된다. 또한, 여기서 이용하는 물은, 본 실시의 형태의 정제방법의 목적을 따라, 금속함유량이 적은 물, 예를 들어 이온교환수 등을 이용하는 것이 바람직하다.The acidic aqueous solution used in the purification method of the present embodiment is appropriately selected from aqueous solutions in which generally known organic compounds or inorganic compounds are dissolved in water. Examples of the acidic aqueous solution include, but are not limited to, aqueous solutions of inorganic acids in which inorganic acids such as hydrochloric acid, sulfuric acid, nitric acid, and phosphoric acid are dissolved in water, organic acids such as acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, And an organic acid aqueous solution prepared by dissolving an organic acid such as acetic acid, tartaric acid, citric acid, methane sulfonic acid, phenol sulfonic acid, p-toluenesulfonic acid, trifluoroacetic acid, etc. in water. These acidic aqueous solutions may be used alone, or two or more kinds may be used in combination. Among these acidic aqueous solutions, at least one inorganic acid aqueous solution selected from the group consisting of hydrochloric acid, sulfuric acid, nitric acid and phosphoric acid, or an aqueous solution of at least one selected from the group consisting of acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, maleic acid, It is preferable that the aqueous solution is at least one aqueous solution of organic acid selected from the group consisting of acetic acid, p-toluenesulfonic acid, p-toluenesulfonic acid and trifluoroacetic acid, More preferably an aqueous solution of sulfuric acid, oxalic acid, tartaric acid and citric acid, and still more preferably an aqueous solution of oxalic acid. It is believed that polyvalent carboxylic acids such as oxalic acid, tartaric acid, and citric acid are coordinated with metal ions and a chelating effect is generated, so that there is a tendency that metal can be more effectively removed. It is preferable to use water having a small metal content, for example, ion-exchanged water, etc., in accordance with the purpose of the purification method of the present embodiment.

본 실시형태의 정제방법에서 사용하는 산성의 수용액의 pH는 특별히 한정되지 않으나, 상기 화합물이나 수지에 대한 영향을 고려하여, 수용액의 산성도를 조정하는 것이 바람직하다. 산성수용액의 pH는, 바람직하게는 0~5 정도이고, 보다 바람직하게는 pH0~3 정도이다.The pH of the acidic aqueous solution used in the purification method of the present embodiment is not particularly limited, but it is preferable to adjust the acidity of the aqueous solution in consideration of the influence on the compound or the resin. The pH of the acidic aqueous solution is preferably about 0 to 5, and more preferably about 0 to 3.

본 실시형태의 정제방법에서 사용하는 산성의 수용액의 사용량은 특별히 한정되지 않으나, 금속제거를 위한 추출횟수를 저감하는 관점 및 전체의 액량을 고려하여 조작성을 확보하는 관점으로부터, 해당 사용량을 조정하는 것이 바람직하다. 상기 관점으로부터, 산성의 수용액의 사용량은, 상기 용액(S) 100질량%에 대해, 바람직하게는 10~200질량%이고, 보다 바람직하게는 20~100질량%이다.The amount of the acidic aqueous solution to be used in the purification method of the present embodiment is not particularly limited, but from the viewpoint of reducing the number of times of extraction for metal removal and securing operability in consideration of the total liquid amount, desirable. From the above viewpoint, the amount of the acidic aqueous solution to be used is preferably 10 to 200 mass%, and more preferably 20 to 100 mass%, based on 100 mass% of the solution (S).

본 실시형태의 정제방법에 있어서는, 상기 산성의 수용액과, 상기 용액(S)을 접촉시킴으로써, 용액(S) 중의 상기 화합물 또는 상기 수지로부터 금속분을 추출할 수 있다.In the refining method of the present embodiment, the metal component can be extracted from the compound or the resin in the solution (S) by contacting the acidic aqueous solution with the solution (S).

본 실시형태의 정제방법에 있어서는, 상기 용액(S)이, 또한 물과 임의로 혼화되는 유기용매를 추가로 포함하는 것이 바람직하다. 용액(S)이 물과 임의로 혼화되는 유기용매를 포함하는 경우, 상기 화합물 및/또는 수지의 투입량을 증가시킬 수 있고, 또한, 분액성이 향상되어, 높은 솥효율로 정제를 행할 수 있는 경향이 있다. 물과 임의로 혼화되는 유기용매를 첨가하는 방법은 특별히 한정되지 않는다. 예를 들어, 미리 유기용매를 포함하는 용액에 첨가하는 방법, 미리 물 또는 산성의 수용액에 첨가하는 방법, 유기용매를 포함하는 용액과 물 또는 산성의 수용액을 접촉시킨 후에 첨가하는 방법 중 하나여도 된다. 이들 중에서도, 미리 유기용매를 포함하는 용액에 첨가하는 방법이 조작의 작업성이나 투입량의 관리의 용이함의 점에서 바람직하다.In the purification method of the present embodiment, it is preferable that the solution (S) further contains an organic solvent optionally mixed with water. When the solution (S) contains an organic solvent optionally miscible with water, the amount of the compound and / or the resin can be increased, and the liquidity can be improved and the purification can be performed with high pot efficiency have. The method of adding an organic solvent optionally mixed with water is not particularly limited. For example, it may be one of a method of adding it to a solution containing an organic solvent in advance, a method of adding it to water or an acidic aqueous solution in advance, a method of adding a solution containing an organic solvent and a water or acidic aqueous solution after the contact . Among them, a method of adding the solution to a solution containing an organic solvent in advance is preferable from the viewpoint of workability of the operation and ease of control of the amount of injection.

본 실시형태의 정제방법에서 사용되는 물과 임의로 혼화되는 유기용매로는, 특별히 한정되지 않으나, 반도체 제조 프로세스에 안전하게 적용할 수 있는 유기용매가 바람직하다. 물과 임의로 혼화되는 유기용매의 사용량은, 용액상과 수상이 분리되는 범위이면 특별히 한정되지 않으나, 사용하는 화합물과 수지의 합계량에 대해, 0.1~100질량배인 것이 바람직하고, 0.1~50질량배인 것이 보다 바람직하고, 0.1~20질량배인 것이 더욱 바람직하다.The organic solvent optionally mixed with water used in the purification method of the present embodiment is not particularly limited, but an organic solvent which can be safely applied to a semiconductor manufacturing process is preferable. The amount of the organic solvent optionally mixed with water is not particularly limited as far as the solution phase and the water phase are separated, but is preferably 0.1 to 100 mass times, more preferably 0.1 to 50 mass times More preferably 0.1 to 20 times by mass.

본 실시형태의 정제방법에 있어서 사용되는 물과 임의로 혼화되는 유기용매의 구체예로는, 이하로 한정되지 않으나, 테트라하이드로푸란, 1,3-디옥솔란 등의 에테르류; 메탄올, 에탄올, 이소프로판올 등의 알코올류; 아세톤, N-메틸피롤리돈 등의 케톤류; 에틸렌글리콜모노에틸에테르, 에틸렌글리콜모노부틸에테르, 프로필렌글리콜모노메틸에테르(PGME), 프로필렌글리콜모노에틸에테르 등의 글리콜에테르류 등의 지방족 탄화수소류를 들 수 있다. 이들 중에서도, N-메틸피롤리돈, 프로필렌글리콜모노메틸에테르 등이 바람직하고, N-메틸피롤리돈, 프로필렌글리콜모노메틸에테르가 보다 바람직하다. 이들 용매는 각각 단독으로 이용할 수도 있고, 또한 2종 이상을 혼합하여 이용할 수도 있다.Specific examples of the organic solvent optionally mixed with water used in the purification method of the present embodiment include, but are not limited to, ethers such as tetrahydrofuran and 1,3-dioxolane; Alcohols such as methanol, ethanol and isopropanol; Ketones such as acetone and N-methylpyrrolidone; Aliphatic hydrocarbons such as ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, glycol ethers such as propylene glycol monomethyl ether (PGME) and propylene glycol monoethyl ether. Among these, N-methylpyrrolidone and propylene glycol monomethyl ether are preferable, and N-methylpyrrolidone and propylene glycol monomethyl ether are more preferable. These solvents may be used alone or in combination of two or more.

추출처리를 행할 때의 온도는 통상, 20~90℃이고, 바람직하게는 30~80℃의 범위이다. 추출조작은, 예를 들어, 교반 등에 의해, 잘 혼합시킨 후, 정치함으로써 행해진다. 이에 따라, 용액(S) 중에 포함되어 있던 금속분이 수상으로 이행된다. 또한, 본 조작에 의해, 용액의 산성도가 저하되고, 화합물 및/또는 수지의 변질을 억제할 수 있다.The temperature at which extraction treatment is carried out is usually 20 to 90 占 폚, preferably 30 to 80 占 폚. The extraction operation is carried out, for example, by mixing by stirring or the like, and then left to stand. As a result, the metal component contained in the solution (S) migrates to the aqueous phase. Further, by this operation, the acidity of the solution is lowered and the deterioration of the compound and / or the resin can be suppressed.

상기 혼합용액은 정치에 의해, 화합물 및/또는 수지와 용매를 포함하는 용액상과, 수상으로 분리되므로, 디캔테이션 등에 의해, 용액상을 회수한다. 정치하는 시간은 특별히 한정되지 않으나, 용매를 포함하는 용액상과 수상의 분리를 보다 양호하게 하는 관점으로부터, 해당 정치하는 시간을 조정하는 것이 바람직하다. 통상, 정치하는 시간은 1분 이상이고, 바람직하게는 10분 이상이고, 보다 바람직하게는 30분 이상이다. 또한, 추출처리는 1회뿐이어도 상관없으나, 혼합, 정치, 분리라는 조작을 복수회 반복하여 행하는 것도 유효하다.The mixed solution is separated into a solution phase containing a compound and / or a resin and a solvent and a water phase by standing, so that the solution phase is recovered by decantation or the like. The time for which the solution is allowed to stand is not particularly limited, but from the viewpoint of better separation of the solution phase containing the solvent and the aqueous phase, it is preferable to adjust the time for which the solution is allowed to stand. Normally, the standing time is at least 1 minute, preferably at least 10 minutes, and more preferably at least 30 minutes. The extraction process may be performed only once, but it is also effective to repeat the operations of mixing, setting, and separation a plurality of times.

본 실시형태의 정제방법에 있어서, 상기 제1 추출공정 후, 상기 화합물 또는 상기 수지를 포함하는 용액상을, 다시 물에 접촉시켜, 상기 화합물 또는 상기 수지 중의 불순물을 추출하는 공정(제2 추출공정)을 포함하는 것이 바람직하다. 구체적으로는, 예를 들어, 산성의 수용액을 이용하여 상기 추출처리를 행한 후에, 이 수용액으로부터 추출되고, 회수된 화합물 및/또는 수지와 용매를 포함하는 용액상을, 다시 물에 의한 추출처리에 제공하는 것이 바람직하다. 상기 물에 의한 추출처리는, 특별히 한정되지 않으나, 예를 들어, 상기 용액상과 물을, 교반 등에 의해, 잘 혼합시킨 후, 얻어진 혼합용액을, 정치함으로써 행할 수 있다. 해당 정치 후의 혼합용액은, 화합물 및/또는 수지와 용매를 포함하는 용액상과, 수상으로 분리되므로 디캔테이션 등에 의해, 용액상을 회수할 수 있다.In the purification method of the present embodiment, after the first extraction step, a step of bringing the compound or the solution phase containing the resin into contact with water to extract the compound or impurities in the resin (second extraction step ). Specifically, for example, after the extraction treatment is performed using an aqueous acidic solution, the solution phase extracted from the aqueous solution and containing the recovered compound and / or resin and solvent is subjected to extraction treatment with water again . The extraction treatment with water is not particularly limited, but can be performed, for example, by mixing the solution phase and water well by stirring or the like, and then allowing the obtained mixed solution to stand. The mixed solution after the standing is separated into the solution phase containing the compound and / or the resin and the solvent and the water phase, so that the solution phase can be recovered by decantation or the like.

또한, 여기서 이용하는 물은, 본 실시의 형태의 목적을 따라, 금속함유량이 적은 물, 예를 들어, 이온교환수 등인 것이 바람직하다. 추출처리는 1회뿐이어도 상관없으나, 혼합, 정치, 분리라는 조작을 복수회 반복하여 행하는 것도 유효하다. 또한, 추출처리에 있어서의 양자의 사용비율이나, 온도, 시간 등의 조건은 특별히 한정되지 않으나, 앞서 산성의 수용액과의 접촉처리인 경우와 동일해도 상관없다.It is preferable that the water used here is water having a small metal content, for example, ion-exchanged water, etc., in accordance with the object of the present embodiment. The extraction process may be performed only once, but it is also effective to repeat the operations of mixing, setting, and separation a plurality of times. The conditions such as the ratio of use in the extraction treatment, the temperature, the time, and the like are not particularly limited, but may be the same as in the case of the treatment with an acidic aqueous solution.

이렇게 해서 얻어진 화합물 및/또는 수지와 용매를 포함하는 용액에 혼입할 수 있는 수분에 대해서는, 감압증류 등의 조작을 실시함으로써 용이하게 제거할 수 있다. 또한, 필요에 따라 상기 용액에 용매를 첨가하고, 화합물 및/또는 수지의 농도를 임의의 농도로 조정할 수 있다.The water that can be incorporated into the solution thus obtained and / or the solution containing the resin and the solvent can be easily removed by an operation such as distillation under reduced pressure. If necessary, a solvent may be added to the solution to adjust the concentration of the compound and / or the resin to an arbitrary concentration.

얻어진 화합물 및/또는 수지와 용매를 포함하는 용액으로부터, 화합물 및/또는 수지를 단리하는 방법은, 특별히 한정되지 않고, 감압제거, 재침전에 의한 분리, 및 이들의 조합 등, 공지의 방법으로 행할 수 있다. 필요에 따라, 농축조작, 여과조작, 원심분리조작, 건조조작 등의 공지의 처리를 행할 수 있다.The method of isolating a compound and / or a resin from a solution containing the obtained compound and / or resin and a solvent is not particularly limited and may be carried out by a known method such as removal under reduced pressure, separation by re-precipitation, have. If necessary, known processes such as a concentration operation, a filtration operation, a centrifugation operation, and a drying operation can be performed.

[조성물][Composition]

본 실시형태의 조성물은, 상기 식(1)로 표시되는 화합물, 상기 식(1)로 표시되는 화합물을 모노머로 하여 얻어지는 수지, 상기 식(2)로 표시되는 화합물 및 상기 식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유한다. 또한, 본 실시형태의 조성물은, 리소그래피용 막형성 조성물이나 광학부품 형성 조성물일 수 있다.The composition of the present embodiment is a composition comprising a resin represented by the formula (1), a resin obtained by using the compound represented by the formula (1) as a monomer, a compound represented by the formula (2) And a resin obtained by using a compound obtained by subjecting a compound represented by the general formula (1) as a monomer. The composition of the present embodiment may be a film forming composition for lithography or an optical component forming composition.

[화학증폭형 레지스트 용도용 리소그래피용 막형성 조성물][Film forming composition for lithography for chemically amplified resist application]

본 실시형태에 있어서의 화학증폭형 레지스트 용도용 리소그래피용 막형성 조성물(이하, 「레지스트 조성물」이라고도 한다.)은, 상기 식(1)로 표시되는 화합물, 상기 식(1)로 표시되는 화합물을 모노머로 하여 얻어지는 수지, 상기 식(2)로 표시되는 화합물 및 상기 식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지로 이루어지는 군으로부터 선택되는 1종 이상을 레지스트기재로서 함유한다.(Hereinafter also referred to as " resist composition ") for use in the chemically amplified resist in the present embodiment is a composition comprising a compound represented by the formula (1), a compound represented by the formula (1) At least one member selected from the group consisting of a resin obtained as a monomer, a resin obtained by using the compound represented by the formula (2) and a compound obtained by using the compound represented by the formula (2) as a monomer.

또한, 본 실시형태의 조성물(레지스트 조성물)은, 용매를 추가로 함유하는 것이 바람직하다. 용매로는, 특별히 한정되지 않으나, 예를 들어, 에틸렌글리콜모노메틸에테르아세테이트, 에틸렌글리콜모노에틸에테르아세테이트, 에틸렌글리콜모노-n-프로필에테르아세테이트, 에틸렌글리콜모노-n-부틸에테르아세테이트 등의 에틸렌글리콜모노알킬에테르아세테이트류; 에틸렌글리콜모노메틸에테르, 에틸렌글리콜모노에틸에테르 등의 에틸렌글리콜모노알킬에테르류; 프로필렌글리콜모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜모노에틸에테르아세테이트, 프로필렌글리콜모노-n-프로필에테르아세테이트, 프로필렌글리콜모노-n-부틸에테르아세테이트 등의 프로필렌글리콜모노알킬에테르아세테이트류; 프로필렌글리콜모노메틸에테르(PGME), 프로필렌글리콜모노에틸에테르 등의 프로필렌글리콜모노알킬에테르류; 유산메틸, 유산에틸, 유산n-프로필, 유산n-부틸, 유산n-아밀 등의 유산에스테르류; 아세트산메틸, 아세트산에틸, 아세트산n-프로필, 아세트산n-부틸, 아세트산n-아밀, 아세트산n-헥실, 프로피온산메틸, 프로피온산에틸 등의 지방족 카르본산에스테르류; 3-메톡시프로피온산메틸, 3-메톡시프로피온산에틸, 3-에톡시프로피온산메틸, 3-에톡시프로피온산에틸, 3-메톡시-2-메틸프로피온산메틸, 3-메톡시부틸아세테이트, 3-메틸-3-메톡시부틸아세테이트, 3-메톡시-3-메틸프로피온산부틸, 3-메톡시-3-메틸부티르산부틸, 아세토아세트산메틸, 피루브산메틸, 피루브산에틸 등의 다른 에스테르류; 톨루엔, 자일렌 등의 방향족 탄화수소류; 2-헵탄온, 3-헵탄온, 4-헵탄온, 시클로펜탄온(CPN), 시클로헥사논(CHN) 등의 케톤류; N,N-디메틸포름아미드, N-메틸아세트아미드, N,N-디메틸아세트아미드, N-메틸피롤리돈 등의 아미드류; γ-락톤 등의 락톤류 등을 들 수 있는데, 이것들로 특별히 한정되지는 않는다. 이들 용매는, 단독으로 또는 2종 이상을 사용할 수 있다.It is preferable that the composition (resist composition) of the present embodiment further contains a solvent. Examples of the solvent include, but are not limited to, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol mono-n-propyl ether acetate and ethylene glycol mono-n- Monoalkyl ether acetates; Ethylene glycol monoalkyl ethers such as ethylene glycol monomethyl ether and ethylene glycol monoethyl ether; Propylene glycol monoalkyl ether acetates such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, propylene glycol mono-n-propyl ether acetate and propylene glycol mono-n-butyl ether acetate; Propylene glycol monoalkyl ethers such as propylene glycol monomethyl ether (PGME) and propylene glycol monoethyl ether; Lactic acid esters such as methyl lactate, ethyl lactate, n-propyl lactate, n-butyl lactate, and n-amyl lactate; Aliphatic carboxylic acid esters such as methyl acetate, ethyl acetate, n-propyl acetate, n-butyl acetate, n-amyl acetate, n-hexyl acetate, methyl propionate and ethyl propionate; Methoxypropionate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, methyl 3-ethoxypropionate, ethyl 3-ethoxypropionate, methyl 3-methoxy- Other esters such as 3-methoxybutyl acetate, butyl 3-methoxy-3-methylpropionate, butyl 3-methoxy-3-methylbutyrate, methyl acetoacetate, methyl pyruvate and ethyl pyruvate; Aromatic hydrocarbons such as toluene and xylene; Ketones such as 2-heptanone, 3-heptanone, 4-heptanone, cyclopentanone (CPN), and cyclohexanone (CHN); Amides such as N, N-dimethylformamide, N-methylacetamide, N, N-dimethylacetamide and N-methylpyrrolidone; lactones such as? -lactone, and the like, but are not limited thereto. These solvents may be used alone or in combination of two or more.

본 실시형태에서 사용되는 용매는, 안전용매인 것이 바람직하고, 보다 바람직하게는, PGMEA, PGME, CHN, CPN, 2-헵탄온, 아니솔, 아세트산부틸, 프로피온산에틸 및 유산에틸로부터 선택되는 적어도 1종이고, 더욱 바람직하게는 PGMEA, PGME 및 CHN으로부터 선택되는 적어도 1종이다.The solvent used in this embodiment is preferably a safe solvent and more preferably at least one selected from PGMEA, PGME, CHN, CPN, 2-heptanone, anisole, butyl acetate, ethyl propionate, More preferably at least one species selected from PGMEA, PGME and CHN.

본 실시형태에 있어서, 고형성분의 양과 용매의 양은, 특별히 한정되지 않으나, 고형성분의 양과 용매의 합계질량 100질량%에 대해, 고형성분 1~80질량% 및 용매 20~99질량%인 것이 바람직하고, 보다 바람직하게는 고형성분 1~50질량% 및 용매 50~99질량%, 더욱 바람직하게는 고형성분 2~40질량% 및 용매 60~98질량%이고, 특히 바람직하게는 고형성분 2~10질량% 및 용매 90~98질량%이다.In the present embodiment, the amount of the solid component and the amount of the solvent are not particularly limited, but it is preferably from 1 to 80 mass% of the solid component and from 20 to 99 mass% of the solvent, based on 100 mass% of the total mass of the solid component and the solvent , More preferably from 1 to 50 mass% of a solid component and from 50 to 99 mass% of a solvent, more preferably from 2 to 40 mass% of a solid component and from 60 to 98 mass% of a solvent, and particularly preferably from 2 to 10 Mass% and the solvent is 90 to 98 mass%.

본 실시형태의 조성물(레지스트 조성물)은, 다른 고형성분으로서, 산발생제(C), 가교제(G), 산확산제어제(E) 및 기타 성분(F)으로 이루어지는 군으로부터 선택되는 적어도 1종을 추가로 함유할 수도 있다. 한편, 본 명세서에 있어서 고형성분이란 용매 이외의 성분을 말한다.The composition (resist composition) of the present embodiment contains at least one kind selected from the group consisting of the acid generator (C), the crosslinking agent (G), the acid diffusion controlling agent (E) May be further contained. In the present specification, the solid component means a component other than the solvent.

여기서, 산발생제(C), 가교제(G), 산확산제어제(E) 및 기타 성분(F)에 대해서는 공지의 것을 사용할 수 있고, 특별히 한정되지 않으나, 예를 들어, 국제공개 제2013/024778호에 기재되어 있는 것이 바람직하다.As the acid generator (C), the cross-linking agent (G), the acid diffusion controller (E) and the other components (F), publicly known ones can be used. 024778 is preferable.

[각 성분의 배합비율][Compounding ratio of each component]

본 실시형태의 레지스트 조성물에 있어서, 레지스트기재로서 이용하는 화합물 및/또는 수지의 함유량은, 특별히 한정되지 않으나, 고형성분의 전체질량(레지스트기재, 산발생제(C), 가교제(G), 산확산제어제(E) 및 기타 성분(F) 등 임의로 사용되는 성분을 포함하는 고형성분의 총합, 이하 동일.)의 50~99.4질량%인 것이 바람직하고, 보다 바람직하게는 55~90질량%, 더욱 바람직하게는 60~80질량%, 특히 바람직하게는 60~70질량%이다. 레지스트기재로서 이용하는 화합물 및/또는 수지의 함유량이 상기 범위인 경우, 해상도가 한층 향상되고, 라인에지러프니스(LER)가 한층 작아지는 경향이 있다.In the resist composition of the present embodiment, the content of the compound and / or resin used as the resist base material is not particularly limited, but the total mass of the solid component (resist substrate, acid generator (C), crosslinking agent (G) More preferably from 55 to 90% by mass, more preferably from 55 to 90% by mass, more preferably from 50 to 90% by mass of the total amount of solid components including optional components such as the control agent (E) Preferably 60 to 80% by mass, and particularly preferably 60 to 70% by mass. When the content of the compound and / or resin used as the resist base material is in the above range, the resolution is further improved and the line edge roughness (LER) tends to be further reduced.

한편, 레지스트기재로서 화합물과 수지의 양방을 함유하는 경우, 상기 함유량은, 양성분의 합계량이다.On the other hand, when both the compound and the resin are contained as the resist base material, the above content is the total amount of the positive components.

[기타 성분(F)][Other components (F)]

본 실시형태의 레지스트 조성물에는, 본 발명의 목적을 저해하지 않는 범위에서, 필요에 따라, 레지스트기재, 산발생제(C), 가교제(G) 및 산확산제어제(E) 이외의 성분으로서, 용해촉진제, 용해제어제, 증감제, 계면활성제, 유기 카르본산 또는 인의 옥소산 혹은 그의 유도체, 열 및/또는 광경화촉매, 중합금지제, 난연제, 충전제, 커플링제, 열경화성 수지, 광경화성 수지, 염료, 안료, 증점제, 활제, 소포제, 레벨링제, 자외선흡수제, 계면활성제, 착색제, 비이온계 계면활성제 등의 각종 첨가제를 1종 또는 2종 이상 첨가할 수 있다. 한편, 본 명세서에 있어서, 기타 성분(F)을 임의성분(F)이라고 하는 경우가 있다.The resist composition of the present embodiment may contain, as necessary, components other than the resist substrate, the acid generator (C), the crosslinking agent (G) and the acid diffusion control agent (E) A polymerization inhibitor, a flame retardant, a filler, a coupling agent, a thermosetting resin, a photo-curable resin, a dye, and the like. , A pigment, a thickener, a lubricant, a defoaming agent, a leveling agent, an ultraviolet absorber, a surfactant, a colorant, and a nonionic surfactant. In the present specification, the other component (F) may be referred to as an optional component (F).

본 실시형태의 레지스트 조성물에 있어서, 레지스트기재(이하, 「성분(A)」이라고도 한다.), 산발생제(C), 가교제(G), 산확산제어제(E), 임의성분(F)의 함유량(성분(A)/산발생제(C)/가교제(G)/산확산제어제(E)/임의성분(F))은, 고형물 기준의 질량%로,The acid generator (C), the crosslinking agent (G), the acid diffusion control agent (E), the optional component (F), and the acid generator (C) (C) / crosslinking agent (G) / acid diffusion control agent (E) / optional component (F) in terms of mass% based on solids,

바람직하게는 50~99.4/0.001~49/0.5~49/0.001~49/0~49,Preferably 50 to 99.4 / 0.001 to 49 / 0.5 to 49 / 0.001 to 49/0 to 49,

보다 바람직하게는 55~90/1~40/0.5~40/0.01~10/0~5,More preferably 55 to 90/1 to 40 / 0.5 to 40 / 0.01 to 10/0 to 5,

더욱 바람직하게는 60~80/3~30/1~30/0.01~5/0~1,More preferably 60 to 80/3 to 30/1 to 30 / 0.01 to 5/0 to 1,

특히 바람직하게는 60~70/10~25/2~20/0.01~3/0, 이다.And particularly preferably 60 to 70/10 to 25/2 to 20/0 0.01 to 3/0.

각 성분의 배합비율은, 그 총합이 100질량%가 되도록 각 범위로부터 선택된다. 각 성분의 배합비율이 상기 범위인 경우, 감도, 해상도, 현상성 등의 성능이 우수한 경향이 있다.The blending ratio of each component is selected from each range so that the total sum is 100% by mass. When the mixing ratio of each component is in the above range, performance such as sensitivity, resolution, developability tends to be excellent.

본 실시형태의 레지스트 조성물은, 통상은, 사용시에 각 성분을 용매에 용해하여 균일용액으로 하고, 그 후, 필요에 따라, 예를 들어, 구멍직경 0.2μm 정도의 필터 등으로 여과함으로써 조제된다.The resist composition of the present embodiment is usually prepared by dissolving each component in a solvent to prepare a homogeneous solution at the time of use and then filtering it with a filter having a pore diameter of about 0.2 m if necessary.

본 실시형태의 레지스트 조성물은, 본 발명의 목적을 저해하지 않는 범위에서, 본 실시형태의 수지 이외의 기타 수지를 포함할 수 있다. 기타 수지로는, 특별히 한정되지 않고, 예를 들어, 노볼락 수지, 폴리비닐페놀류, 폴리아크릴산, 폴리비닐알코올, 스티렌-무수말레산 수지, 및 아크릴산, 비닐알코올, 또는 비닐페놀을 단량체단위로서 포함하는 중합체 혹은 이들의 유도체 등을 들 수 있다. 기타 수지의 함유량은, 특별히 한정되지 않고, 사용하는 성분(A)의 종류에 따라 적당히 조절되는데, 성분(A) 100질량부에 대해, 30질량부 이하인 것이 바람직하고, 보다 바람직하게는 10질량부 이하, 더욱 바람직하게는 5질량부 이하, 특히 바람직하게는 0질량부이다.The resist composition of the present embodiment may contain other resins than the resin of this embodiment within the range not hindering the object of the present invention. The other resin is not particularly limited and includes, for example, novolac resins, polyvinyl phenols, polyacrylic acid, polyvinyl alcohol, styrene-maleic anhydride resin, and acrylic acid, vinyl alcohol, or vinylphenol as monomer units Or a derivative thereof, and the like. The content of other resin is not particularly limited and may be appropriately controlled according to the kind of the component (A) to be used. It is preferably 30 parts by mass or less, more preferably 10 parts by mass or less, More preferably 5 parts by mass or less, and particularly preferably 0 parts by mass.

[레지스트 조성물의 물성 등][Physical properties of resist composition]

본 실시형태의 레지스트 조성물을 이용하여, 스핀코트에 의해 아몰퍼스막을 형성할 수 있다. 또한, 본 실시형태의 레지스트 조성물은, 일반적인 반도체 제조 프로세스에 적용할 수 있다. 상기 식(1) 및/또는 식(2)로 표시되는 화합물, 이들을 모노머로 하여 얻어지는 수지의 종류 및/또는 이용하는 현상액의 종류에 따라, 포지티브형 레지스트패턴 및 네거티브형 레지스트패턴 중 어느 하나를 구분하여 만들 수 있다.By using the resist composition of the present embodiment, an amorphous film can be formed by spin coating. Further, the resist composition of the present embodiment can be applied to a general semiconductor manufacturing process. Depending on the kind of the resin obtained by using the compound represented by the formula (1) and / or the formula (2), and the type of the developer obtained by using these as the monomer and / or the type of the developer to be used, any one of the positive resist pattern and the negative resist pattern Can be made.

포지티브형 레지스트패턴의 경우, 본 실시형태의 레지스트 조성물을 스핀코트하여 형성한 아몰퍼스막의 23℃에 있어서의 현상액에 대한 용해속도는, 5Å/sec 이하인 것이 바람직하고, 0.05~5Å/sec인 것이 보다 바람직하고, 0.0005~5Å/sec인 것이 더욱 바람직하다. 해당 용해속도가 5Å/sec 이하이면 현상액에 불용이며, 레지스트로 할 수 있다. 또한, 용해속도가 0.0005Å/sec 이상이면, 해상성이 향상되는 경향이 있다. 이는, 상기 식(1)로 표시되는 화합물 및/또는 이 화합물을 구성성분으로서 포함하는 수지의 노광 전후의 용해성의 변화에 따라, 현상액에 용해되는 노광부와, 현상액에 용해되지 않는 미노광부의 계면의 콘트라스트가 커지기 때문으로 추측된다. 또한 LER의 저감, 디펙트의 저감효과가 있다.In the case of the positive resist pattern, the dissolution rate of the amorphous film formed by spin-coating the resist composition of the present embodiment in a developer at 23 캜 is preferably 5 Å / sec or less, more preferably 0.05 to 5 Å / sec , And more preferably 0.0005 to 5 占 sec. When the dissolution rate is 5 Å / sec or less, it is insoluble in a developer and can be a resist. When the dissolution rate is 0.0005 Å / sec or more, the resolution is likely to be improved. This is because, depending on the change in the solubility before and after exposure of the compound represented by the above formula (1) and / or the resin containing the compound as a constituent component, the difference between the exposed part dissolved in the developing solution and the unexposed part It is presumed that the contrast is increased. There is also an effect of reducing LER and reducing defects.

네거티브형 레지스트패턴의 경우, 본 실시형태의 레지스트 조성물을 스핀코트하여 형성한 아몰퍼스막의 23℃에 있어서의 현상액에 대한 용해속도는, 10Å/sec 이상인 것이 바람직하다. 해당 용해속도가 10Å/sec 이상이면 현상액에 이용(易溶)이고, 레지스트에 한층 적합하다. 또한, 10Å/sec 이상의 용해속도를 가지면, 해상성이 향상되는 경우도 있다. 이는, 상기 식(1)로 표시되는 화합물 및/또는 이 화합물을 구성성분으로서 포함하는 수지의 마이크로의 표면부위가 용해되어, LER을 저감하기 때문으로 추측된다. 또한 디펙트의 저감효과가 있다.In the case of the negative resist pattern, the dissolution rate of the amorphous film formed by spin-coating the resist composition of the present embodiment with respect to the developer at 23 캜 is preferably 10 Å / sec or more. When the dissolution rate is 10 Å / sec or more, it is easily soluble in a developing solution and is more suitable for resists. If the dissolution rate is 10 Å / sec or more, the resolution may be improved. This is presumably because the surface area of the microparticles of the compound represented by the formula (1) and / or the resin containing the compound as the constituent component is dissolved and LER is reduced. It also has the effect of reducing defects.

상기 용해속도는, 23℃에서, 아몰퍼스막을 소정시간 현상액에 침지시키고, 그 침지 전후의 막두께를, 육안, 엘립소미터 또는 QCM법 등의 공지의 방법에 의해 측정하여 결정할 수 있다.The dissolution rate can be determined by immersing the amorphous film in a developer for a predetermined time at 23 占 폚 and measuring the film thickness before and after the immersion by a known method such as naked eye, ellipsometer or QCM method.

포지티브형 레지스트패턴의 경우, 본 실시형태의 레지스트 조성물을 스핀코트하여 형성한 아몰퍼스막의 KrF엑시머 레이저, 극단자외선, 전자선 또는 X선 등의 방사선에 의해 노광된 부분의 23℃에 있어서의 현상액에 대한 용해속도는, 10Å/sec 이상인 것이 바람직하다. 해당 용해속도가 10Å/sec 이상이면 현상액에 이용이고, 레지스트에 한층 적합하다. 또한, 10Å/sec 이상의 용해속도를 가지면, 해상성이 향상되는 경우도 있다. 이는, 상기 식(1) 및 (2)로 표시되는 화합물 및/또는 이 화합물을 구성성분으로서 포함하는 수지의 마이크로의 표면부위가 용해되어, LER을 저감하기 때문으로 추측된다. 또한 디펙트의 저감효과가 있다.In the case of a positive resist pattern, the resist composition of the present embodiment is dissolved in a developing solution at 23 deg. C in a KrF excimer laser of an amorphous film formed by spin-coating the resist composition of the present embodiment, a part exposed by radiation such as extreme ultraviolet rays, The speed is preferably 10 angstroms / sec or more. When the dissolution rate is 10 Å / sec or more, it is used for a developing solution, and it is more suitable for a resist. If the dissolution rate is 10 Å / sec or more, the resolution may be improved. This is presumably because the surface portions of the microparticles of the compound represented by the above-mentioned formulas (1) and (2) and / or the resin containing the compound as the constituent component are dissolved and the LER is reduced. It also has the effect of reducing defects.

네거티브형 레지스트패턴의 경우, 본 실시형태의 레지스트 조성물을 스핀코트하여 형성한 아몰퍼스막의 KrF엑시머 레이저, 극단자외선, 전자선 또는 X선 등의 방사선에 의해 노광된 부분의 23℃에 있어서의 현상액에 대한 용해속도는, 5Å/sec 이하가 바람직하고, 0.05~5Å/sec가 보다 바람직하고, 0.0005~5Å/sec가 더욱 바람직하다. 해당 용해속도가 5Å/sec 이하이면 현상액에 불용이며, 레지스트로 할 수 있다. 또한, 0.0005Å/sec 이상의 용해속도를 가지면, 해상성이 향상되는 경우도 있다. 이는, 상기 식(1)로 표시되는 화합물 및/또는 이 화합물을 구성성분으로서 포함하는 수지의 노광 전후의 용해성의 변화에 따라, 현상액에 용해되는 미노광부와, 현상액에 용해되지 않는 노광부의 계면의 콘트라스트가 커지기 때문으로 추측된다. 또한 LER의 저감, 디펙트의 저감효과가 있다.In the case of a negative resist pattern, the resist composition of the present embodiment is dissolved in a developing solution at 23 占 폚 in a KrF excimer laser of an amorphous film formed by spin-coating the resist composition of this embodiment, a part exposed by radiation such as extreme ultraviolet ray, electron beam or X- The speed is preferably 5 angstroms / sec or less, more preferably 0.05 to 5 angstroms / sec, and still more preferably 0.0005 to 5 angstroms / sec. When the dissolution rate is 5 Å / sec or less, it is insoluble in a developer and can be a resist. Further, if the dissolution rate is 0.0005 Å / sec or more, the resolution may be improved. This is because, depending on the change in the solubility before and after exposure of the compound represented by the formula (1) and / or the resin containing the compound as a component, the ratio of the unexposed portion dissolved in the developer to the exposed portion It is presumed that the contrast increases. There is also an effect of reducing LER and reducing defects.

[비화학증폭형 레지스트 용도용 리소그래피용 막형성 조성물][Film forming composition for lithography for non-chemically amplified resist application]

본 실시형태의 비화학증폭형 레지스트 용도용 리소그래피용 막형성 조성물(이하, 「감방사선성 조성물」이라고도 한다.)에 함유시키는 성분(A)은, 후술하는 디아조나프토퀴논 광활성 화합물(B)과 병용하고, g선, h선, i선, KrF엑시머 레이저, ArF엑시머 레이저, 극단자외선, 전자선 또는 X선을 조사함으로써, 현상액에 이용인 화합물이 되는 포지티브형 레지스트용 기재로서 유용하다. g선, h선, i선, KrF엑시머 레이저, ArF엑시머 레이저, 극단자외선, 전자선 또는 X선에 의해, 성분(A)의 성질은 크게는 변화하지 않는데, 현상액에 난용(難溶)인 디아조나프토퀴논 광활성 화합물(B)이 이용인 화합물로 변화함으로써, 현상공정에 의해 레지스트패턴을 만들 수 있다.The component (A) to be contained in the film forming composition for lithography for a non-chemically amplified resist application (hereinafter also referred to as " radiation-sensitive composition ") of the present embodiment can be obtained by reacting a diazonaphthoquinone photoactive compound (B) And is useful as a substrate for a positive type resist which is used as a phosphorus compound in a developer by irradiation with a g line, h line, i line, KrF excimer laser, ArF excimer laser, extreme ultraviolet ray, electron beam or X-ray. The properties of the component (A) do not largely change by the g line, h line, i line, KrF excimer laser, ArF excimer laser, extreme ultraviolet ray, electron beam or X- The resist pattern can be formed by a developing process by changing the compound used for the photoactive compound (B).

본 실시형태의 감방사선성 조성물에 함유시키는 성분(A)은, 비교적 저분자량의 화합물인 점에서, 얻어진 레지스트패턴의 러프니스는 매우 작다. 또한, 상기 식(1) 중, R0~R5로 이루어지는 군으로부터 선택되는 적어도 1개가 요오드원자를 포함하는 기인 것이 바람직하고, 상기 식(2) 중, R0A, R1A 및 R2A로 이루어지는 군으로부터 선택되는 적어도 1개가 요오드원자를 포함하는 기인 것이 바람직하다. 본 실시형태의 감방사선성 조성물은, 이러한 바람직한 태양인 요오드원자를 포함하는 기를 갖는 성분(A)을 적용한 경우는, 전자선, 극단자외선(EUV), X선 등의 방사선에 대한 흡수능을 증가시키고, 그 결과, 감도를 높이는 것이 가능해지므로 바람직하다.Since the component (A) contained in the radiation sensitive composition of the present embodiment is a compound having a relatively low molecular weight, the obtained resist pattern has a very low roughness. In the formula (1), at least one group selected from the group consisting of R 0 to R 5 is preferably a group containing an iodine atom. In the formula (2), R 0A , R 1 A and R 2 A Is at least one group selected from the group consisting of iodine atoms. When the component (A) having a group containing an iodine atom as such preferred embodiment is applied, the radiation-sensitive composition of the present embodiment is capable of increasing the absorption ability for radiation such as electron beam, extreme ultraviolet (EUV), and X- As a result, it is possible to increase the sensitivity, which is preferable.

본 실시형태의 감방사선성 조성물에 함유시키는 성분(A)의 유리전이온도는, 바람직하게는 100℃ 이상, 보다 바람직하게는 120℃ 이상, 더욱 바람직하게는 140℃ 이상, 특히 바람직하게는 150℃ 이상이다. 성분(A)의 유리전이온도의 상한값은, 특별히 한정되지 않으나, 예를 들어, 400℃이다. 성분(A)의 유리전이온도가 상기 범위 내임으로써, 반도체 리소그래피 프로세스에 있어서, 패턴형상을 유지할 수 있는 내열성을 가지며, 고해상도 등의 성능이 향상되는 경향이 있다.The glass transition temperature of the component (A) contained in the radiation sensitive composition of this embodiment is preferably 100 占 폚 or higher, more preferably 120 占 폚 or higher, even more preferably 140 占 폚 or higher, particularly preferably 150 占 폚 Or more. The upper limit of the glass transition temperature of the component (A) is not particularly limited, but is, for example, 400 占 폚. When the glass transition temperature of the component (A) is within the above range, heat resistance capable of maintaining the pattern shape in the semiconductor lithography process tends to be improved, such as high resolution.

본 실시형태의 감방사선성 조성물에 함유시키는 성분(A)의 유리전이온도의 시차주사 열량분석에 의해 구한 결정화발열량은 20J/g 미만인 것이 바람직하다. 또한, (결정화온도)-(유리전이온도)는 바람직하게는 70℃ 이상, 보다 바람직하게는 80℃ 이상, 더욱 바람직하게는 100℃ 이상, 특히 바람직하게는 130℃ 이상이다. 결정화발열량이 20J/g 미만, 또는 (결정화온도)-(유리전이온도)가 상기 범위 내이면, 감방사선성 조성물을 스핀코트함으로써, 아몰퍼스막을 형성하기 쉽고, 또한 레지스트에 필요한 성막성을 장기간에 걸쳐 유지할 수 있고, 해상성을 향상할 수 있는 경향이 있다.The crystallization calorific value determined by a differential scanning calorimetry of the glass transition temperature of the component (A) contained in the radiation sensitive composition of the present embodiment is preferably less than 20 J / g. The (crystallization temperature) - (glass transition temperature) is preferably 70 占 폚 or higher, more preferably 80 占 폚 or higher, even more preferably 100 占 폚 or higher, particularly preferably 130 占 폚 or higher. When the crystallization calorific value is less than 20 J / g or (crystallization temperature) - (glass transition temperature) falls within the above range, the amorphous film is easily formed by spin coating the radiation sensitive composition, And it tends to be able to improve the resolution.

본 실시형태에 있어서, 상기 결정화발열량, 결정화온도 및 유리전이온도는, 시마즈제작소제 DSC/TA-50WS를 이용한 시차주사 열량분석에 의해 구할 수 있다. 시료 약 10mg을 알루미늄제 비밀봉용기에 넣고, 질소가스기류중(50mL/분) 승온속도 20℃/분으로 융점 이상까지 승온한다. 급랭 후, 재차 질소가스기류중(30mL/분) 승온속도 20℃/분으로 융점 이상까지 승온한다. 다시 급랭 후, 재차 질소가스기류중(30mL/분) 승온속도 20℃/분으로 400℃까지 승온한다. 스텝상으로 변화한 베이스라인의 단차의 중점(비열이 절반으로 변화한 부분)의 온도를 유리전이온도(Tg), 그 후에 나타나는 발열피크의 온도를 결정화온도로 한다. 발열피크와 베이스라인에 둘러싸인 영역의 면적으로부터 발열량을 구하고, 결정화발열량으로 한다.In the present embodiment, the crystallization heat generation amount, crystallization temperature and glass transition temperature can be obtained by differential scanning calorimetry using DSC / TA-50WS manufactured by Shimadzu Corporation. Approximately 10 mg of the sample is placed in a container made of aluminum and heated in a nitrogen gas stream (50 mL / min) to a melting point or more at a heating rate of 20 캜 / minute. After quenching, the temperature is raised again to the melting point or higher in a nitrogen gas stream (30 mL / min) at a heating rate of 20 DEG C / min. After quenching again, the temperature is raised again to 400 DEG C in a nitrogen gas stream (30 mL / min) at a heating rate of 20 DEG C / min. The glass transition temperature (Tg) and the temperature of the exothermic peak appearing thereafter are referred to as the crystallization temperature, the temperature at the midpoint (the part where the specific heat is changed to half) of the step of the baseline changed stepwise. The calorific value is obtained from the area of the area surrounded by the exothermic peak and the baseline, and is used as the calorific calorific value.

본 실시형태의 감방사선성 조성물에 함유시키는 성분(A)은, 상압하, 100 이하, 바람직하게는 120℃ 이하, 보다 바람직하게는 130℃ 이하, 더욱 바람직하게는 140℃ 이하, 특히 바람직하게는 150℃ 이하에 있어서, 승화성이 낮은 것이 바람직하다. 승화성이 낮다는 것은, 열중량분석에 있어서, 소정온도에서 10분 유지했을 때의 중량감소가 10% 이하, 바람직하게는 5% 이하, 보다 바람직하게는 3% 이하, 더욱 바람직하게는 1% 이하, 특히 바람직하게는 0.1% 이하인 것을 나타낸다. 승화성이 낮음으로써, 노광시의 아웃가스에 의한 노광장치의 오염을 방지할 수 있다. 또한 저러프니스로 양호한 패턴형상을 얻을 수 있다.The component (A) contained in the radiation sensitive composition of the present embodiment is preferably at most 100, preferably at most 120, more preferably at most 130, more preferably at most 140, It is preferable that the sublimation property is low at 150 占 폚 or lower. The reason why the sublimation property is low is that in the thermogravimetric analysis, the weight loss when held at a predetermined temperature for 10 minutes is 10% or less, preferably 5% or less, more preferably 3% or less, further preferably 1% Or less, particularly preferably 0.1% or less. By lowering the sublimation property, it is possible to prevent the exposure apparatus from being contaminated by the outgassing during exposure. In addition, a good pattern shape can be obtained with low roughness.

본 실시형태의 감방사선성 조성물에 함유시키는 성분(A)은, 프로필렌글리콜모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜모노메틸에테르(PGME), 시클로헥사논(CHN), 시클로펜탄온(CPN), 2-헵탄온, 아니솔, 아세트산부틸, 프로피온산에틸 및 유산에틸로 이루어지는 군으로부터 선택되고, 또한, 성분(A)에 대해 가장 높은 용해능을 나타내는 용매에, 23℃에서, 바람직하게는 1질량% 이상, 보다 바람직하게는 5질량% 이상, 더욱 바람직하게는 10질량% 이상 용해한다. 특히 바람직하게는, PGMEA, PGME, CHN으로 이루어지는 군으로부터 선택되고, 또한, (A)레지스트기재에 대해 가장 높은 용해능을 나타내는 용매에, 23℃에서, 20질량% 이상, 특히 바람직하게는 PGMEA에 대해, 23℃에서, 20질량% 이상 용해한다. 상기 조건을 만족함으로써, 실생산에 있어서의 반도체 제조공정에서의 사용이 용이해진다.The component (A) to be contained in the radiation sensitive composition of the present embodiment is at least one selected from the group consisting of propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), cyclohexanone (CHN), cyclopentanone Preferably 1% by mass or more, at 23 占 폚, to a solvent which is selected from the group consisting of ethyl acetate, 2-heptanone, anisole, butyl acetate, ethyl propionate and ethyl lactate and which exhibits the highest solubility to component (A) Or more, more preferably 5 mass% or more, and further preferably 10 mass% or more. Particularly preferably, the solvent is selected from the group consisting of PGMEA, PGME and CHN, and (A) a solvent which exhibits the highest solubility to resist base at 20 占 폚% or more at 23 占 폚, particularly preferably PGMEA At 23 占 폚 for 20% by mass or more. By satisfying the above condition, use in the semiconductor manufacturing process in the actual production becomes easy.

[디아조나프토퀴논 광활성 화합물(B)][Diazonaphthoquinone photoactive compound (B)]

본 실시형태의 감방사선성 조성물에 함유시키는 디아조나프토퀴논 광활성 화합물(B)은, 폴리머성 및 비폴리머성 디아조나프토퀴논 광활성 화합물을 포함하는, 디아조나프토퀴논물질이며, 일반적으로 포지티브형 레지스트 조성물에 있어서, 감광성성분(감광제)으로서 이용되고 있는 것이면 특별히 제한없이, 1종 또는 2종 이상을 임의로 선택하여 이용할 수 있다.The diazonaphthoquinone photoactive compound (B) contained in the radiation sensitive composition of the present embodiment is a diazonaphthoquinone substance including a polymerizable and non-polymerizable diazonaphthoquinone photoactive compound, In the composition, any one or more of them may be arbitrarily selected and used without particular limitation, as long as they are used as a photosensitive component (photosensitive agent).

성분(B)으로는, 나프토퀴논디아지드설폰산클로라이드나 벤조퀴논디아지드설폰산클로라이드 등과, 이들 산클로라이드와 축합반응가능한 관능기를 갖는 저분자 화합물 또는 고분자 화합물을 반응시킴으로써 얻어진 화합물이 바람직한 것이다. 여기서, 산클로라이드와 축합가능한 관능기로는, 특별히 한정되지 않으나, 예를 들어, 수산기, 아미노기 등을 들 수 있는데, 특히 수산기가 호적하다. 수산기를 포함하는 산클로라이드와 축합가능한 화합물로는, 특별히 한정되지 않으나, 예를 들어 하이드로퀴논, 레조르신, 2,4-디하이드록시벤조페논, 2,3,4-트리하이드록시벤조페논, 2,4,6-트리하이드록시벤조페논, 2,4,4’-트리하이드록시벤조페논, 2,3,4,4’-테트라하이드록시벤조페논, 2,2’, 4,4’-테트라하이드록시벤조페논, 2,2’, 3,4,6’-펜타하이드록시벤조페논 등의 하이드록시벤조페논류, 비스(2,4-디하이드록시페닐)메탄, 비스(2,3,4-트리하이드록시페닐)메탄, 비스(2,4-디하이드록시페닐)프로판 등의 하이드록시페닐알칸류, 4,4’, 3”,4”-테트라하이드록시-3,5,3’,5’-테트라메틸트리페닐메탄, 4,4’, 2”, 3”, 4”-펜타하이드록시-3,5,3’, 5’-테트라메틸트리페닐메탄 등의 하이드록시트리페닐메탄류 등을 들 수 있다.As the component (B), a compound obtained by reacting a naphthoquinone diazide sulfonic acid chloride, benzoquinone diazide sulfonic acid chloride or the like with a low molecular compound having a functional group capable of condensation reaction with these acid chloride or a polymer compound is preferable. Here, the functional group capable of condensation with an acid chloride is not particularly limited, and examples thereof include a hydroxyl group and an amino group. The hydroxyl group is particularly preferred. Examples of the compound capable of condensing with an acid chloride including a hydroxyl group include, but are not limited to, hydroquinone, resorcin, 2,4-dihydroxybenzophenone, 2,3,4-trihydroxybenzophenone, 2 , 4,6-trihydroxybenzophenone, 2,4,4'-trihydroxybenzophenone, 2,3,4,4'-tetrahydroxybenzophenone, 2,2 ', 4,4'-tetra Hydroxybenzophenones such as hydroxybenzophenone, 2,2 ', 3,4,6'-pentahydroxybenzophenone, bis (2,4-dihydroxyphenyl) methane, bis Hydroxyphenyl) methane, bis (2,4-dihydroxyphenyl) propane, and the like, 4,4 ', 3 ", 4" -tetrahydroxy- Hydroxytriphenylmethanes such as 5'-tetramethyltriphenylmethane and 4,4 ', 2 ", 3", 4 "-pentahydroxy-3,5,3', 5'-tetramethyltriphenylmethane And the like.

또한, 나프토퀴논디아지드설폰산클로라이드나 벤조퀴논디아지드설폰산클로라이드 등의 산클로라이드로는, 예를 들어, 1,2-나프토퀴논디아지드-5-설포닐클로라이드, 1,2-나프토퀴논디아지드-4-설포닐클로라이드 등을 바람직한 것으로서 들 수 있다.Examples of the acid chloride such as naphthoquinone diazide sulfonic acid chloride and benzoquinone diazide sulfonic acid chloride include 1,2-naphthoquinonediazide-5-sulfonyl chloride, 1,2-naphthoquinone diazide sulfonic acid chloride, Toquinonediazide-4-sulfonyl chloride, and the like.

본 실시형태의 감방사선성 조성물은, 예를 들어, 사용시에 각 성분을 용매에 용해하여 균일용액으로 하고, 그 후, 필요에 따라, 예를 들어, 구멍직경 0.2μm 정도의 필터 등으로 여과함으로써 조제되는 것이 바람직하다.The radiation sensitive composition of the present embodiment can be obtained by, for example, dissolving each component in a solvent to prepare a homogeneous solution at the time of use, and then, if necessary, filtering with a filter having a pore diameter of about 0.2 m It is preferable to prepare them.

[감방사선성 조성물의 특성][Characteristics of radiation-sensitive composition]

본 실시형태의 감방사선성 조성물은, 스핀코트에 의해 아몰퍼스막을 형성할 수 있다. 또한 본 실시형태의 감방사선성 조성물은, 일반적인 반도체 제조 프로세스에 적용할 수 있다. 이용하는 현상액의 종류에 따라, 포지티브형 레지스트패턴 및 네거티브형 레지스트패턴 중 어느 하나를 구분하여 만들 수 있다.The radiation sensitive composition of the present embodiment can form an amorphous film by spin coating. Further, the radiation sensitive composition of this embodiment can be applied to a general semiconductor manufacturing process. Depending on the type of developing solution to be used, any one of the positive resist pattern and the negative resist pattern can be separately formed.

포지티브형 레지스트패턴의 경우, 본 실시형태의 감방사선성 조성물을 스핀코트하여 형성한 아몰퍼스막의 23℃에 있어서의 현상액에 대한 용해속도는, 5Å/sec 이하인 것이 바람직하고, 0.05~5Å/sec인 것이 보다 바람직하고, 0.0005~5Å/sec인 것이 더욱 바람직하다. 해당 용해속도가 5Å/sec 이하이면 현상액에 불용이며, 레지스트로 할 수 있다. 또한, 용해속도가 0.0005Å/sec 이상이면, 해상성이 향상되는 경향이 있다. 이는, 상기 식(1) 및 (2)로 표시되는 화합물 및/또는 이 화합물을 구성성분으로서 포함하는 수지의 노광 전후의 용해성의 변화에 따라, 현상액에 용해되는 노광부와, 현상액에 용해되지 않는 미노광부의 계면의 콘트라스트가 커지기 때문으로 추측된다. 또한 LER의 저감, 디펙트의 저감효과가 있다.In the case of the positive resist pattern, the dissolution rate of the amorphous film formed by spin-coating the radiation sensitive composition of the present embodiment in the developer at 23 캜 is preferably 5 Å / sec or less, more preferably 0.05 to 5 Å / sec More preferably 0.0005 to 5 ANGSTROM / sec. When the dissolution rate is 5 Å / sec or less, it is insoluble in a developer and can be a resist. When the dissolution rate is 0.0005 Å / sec or more, the resolution is likely to be improved. This is because, depending on the change in the solubility before and after exposure of the compound represented by the above-mentioned formulas (1) and (2) and / or the resin containing the compound as a constituent component, the exposure part dissolving in the developing solution, It is presumed that the contrast of the interface of the unexposed portion increases. There is also an effect of reducing LER and reducing defects.

네거티브형 레지스트패턴의 경우, 본 실시형태의 감방사선성 조성물을 스핀코트하여 형성한 아몰퍼스막의 23℃에 있어서의 현상액에 대한 용해속도는, 10Å/sec 이상인 것이 바람직하다. 해당 용해속도가 10Å/sec 이상이면 현상액에 이용이고, 레지스트에 한층 적합하다. 또한, 10Å/sec 이상의 용해속도를 가지면, 해상성이 향상되는 경우도 있다. 이는, 상기 식(1) 및 (2)로 표시되는 화합물 및/또는 이 화합물을 구성성분으로서 포함하는 수지의 마이크로의 표면부위가 용해되어, LER을 저감하기 때문으로 추측된다. 또한 디펙트의 저감효과가 있다.In the case of a negative resist pattern, the dissolution rate of the amorphous film formed by spin-coating the radiation sensitive composition of the present embodiment to a developer at 23 캜 is preferably 10 Å / sec or more. When the dissolution rate is 10 Å / sec or more, it is used for a developing solution, and it is more suitable for a resist. If the dissolution rate is 10 Å / sec or more, the resolution may be improved. This is presumably because the surface portions of the microparticles of the compound represented by the above-mentioned formulas (1) and (2) and / or the resin containing the compound as the constituent component are dissolved and the LER is reduced. It also has the effect of reducing defects.

상기 용해속도는, 23℃에서, 아몰퍼스막을 소정시간 현상액에 침지시키고, 그 침지 전후의 막두께를, 육안, 엘립소미터 또는 QCM법 등의 공지의 방법에 의해 측정하여 결정할 수 있다.The dissolution rate can be determined by immersing the amorphous film in a developer for a predetermined time at 23 占 폚 and measuring the film thickness before and after the immersion by a known method such as naked eye, ellipsometer or QCM method.

포지티브형 레지스트패턴의 경우, 본 실시형태의 감방사선성 조성물을 스핀코트하여 형성한 아몰퍼스막의 KrF엑시머 레이저, 극단자외선, 전자선 또는 X선 등의 방사선에 의해 조사한 후, 또는, 20~500℃에서 가열한 후의 노광한 부분의, 23℃에 있어서의 현상액에 대한 용해속도는, 10Å/sec 이상인 것이 바람직하고, 10~10000Å/sec인 것이 보다 바람직하고, 100~1000Å/sec인 것이 더욱 바람직하다. 해당 용해속도가 10Å/sec 이상이면 현상액에 이용이고, 레지스트에 한층 적합하다. 또한, 10000Å/sec 이하의 용해속도를 가지면, 해상성이 향상되는 경우도 있다. 이는, 상기 식(1) 및 (2)로 표시되는 화합물 및/또는 이 화합물을 구성성분으로서 포함하는 수지의 마이크로의 표면부위가 용해되어, LER을 저감하기 때문으로 추측된다. 또한 디펙트의 저감효과가 있는 경향이 있다.In the case of the positive resist pattern, the radiation sensitive composition of the present embodiment is irradiated with radiation such as KrF excimer laser, extreme ultraviolet ray, electron beam or X-ray of an amorphous film formed by spin coating, The dissolution rate of the exposed portion to the developer at 23 캜 is preferably 10 Å / sec or more, more preferably 10 to 10000 Å / sec, and further preferably 100 to 1000 Å / sec. When the dissolution rate is 10 Å / sec or more, it is used for a developing solution, and it is more suitable for a resist. If the dissolution rate is less than 10,000 A / sec, the resolution may be improved. This is presumably because the surface portions of the microparticles of the compound represented by the above-mentioned formulas (1) and (2) and / or the resin containing the compound as the constituent component are dissolved and the LER is reduced. In addition, there is a tendency to have a reduction effect of defects.

네거티브형 레지스트패턴의 경우, 본 실시형태의 감방사선성 조성물을 스핀코트하여 형성한 아몰퍼스막의 KrF엑시머 레이저, 극단자외선, 전자선 또는 X선 등의 방사선에 의해 조사한 후, 또는, 20~500℃에서 가열한 후의 노광한 부분의, 23℃에 있어서의 현상액에 대한 용해속도는, 5Å/sec 이하인 것이 바람직하고, 0.05~5Å/sec인 것이 보다 바람직하고, 0.0005~5Å/sec인 것이 더욱 바람직하다. 해당 용해속도가 5Å/sec 이하이면 현상액에 불용이며, 레지스트로 할 수 있다. 또한, 용해속도가 0.0005Å/sec 이상이면, 해상성이 향상되는 경우도 있다. 이는, 상기 식(1) 및 (2)로 표시되는 화합물 및/또는 이 화합물을 구성성분으로서 포함하는 수지의 노광 전후의 용해성의 변화에 따라, 현상액에 용해되는 미노광부와, 현상액에 용해되지 않는 노광부의 계면의 콘트라스트가 커지기 때문으로 추측된다. 또한 LER의 저감, 디펙트의 저감효과가 있는 경향이 있다.In the case of a negative resist pattern, the resist composition is irradiated with radiation such as KrF excimer laser, extreme ultraviolet ray, electron beam or X-ray of an amorphous film formed by spin coating of the radiation sensitive composition of the present embodiment, The dissolution rate of the exposed portion to the developer at 23 캜 is preferably 5 Å / sec or less, more preferably 0.05 to 5 Å / sec, and still more preferably 0.0005 to 5 Å / sec. When the dissolution rate is 5 Å / sec or less, it is insoluble in a developer and can be a resist. When the dissolution rate is 0.0005 Å / sec or more, the resolution may be improved. This is because, depending on the change in the solubility before and after exposure of the compound represented by the above-mentioned formulas (1) and (2) and / or the resin containing the compound as a component, the unexposed portion dissolved in the developer, It is presumed that the contrast of the interface of the exposed portion increases. In addition, there is a tendency to reduce LER and reduce defects.

[각 성분의 배합비율][Compounding ratio of each component]

본 실시형태의 감방사선성 조성물에 있어서, 성분(A)의 함유량은, 고형성분 전체중량(성분(A), 디아조나프토퀴논 광활성 화합물(B) 및 기타 성분(D) 등 임의로 사용되는 고형성분의 총합, 이하 동일.)에 대해, 바람직하게는 1~99질량%이고, 보다 바람직하게는 5~95질량%, 더욱 바람직하게는 10~90질량%, 특히 바람직하게는 25~75질량%이다. 본 실시형태의 감방사선성 조성물은, 성분(A)의 함유량이 상기 범위 내이면, 고감도이고 러프니스가 작은 패턴을 얻을 수 있는 경향이 있다.The content of the component (A) in the radiation sensitive composition of the present embodiment is preferably such that the solid component (A), the diazonaphthoquinone photoactive compound (B) and other components (D) Is preferably from 1 to 99% by mass, more preferably from 5 to 95% by mass, still more preferably from 10 to 90% by mass, and particularly preferably from 25 to 75% by mass, based on the total amount . When the content of the component (A) is within the above range, the radiation sensitive composition of the present embodiment tends to obtain a pattern with a high sensitivity and a small roughness.

본 실시형태의 감방사선성 조성물에 있어서, 디아조나프토퀴논 광활성 화합물(B)의 함유량은, 고형성분 전체중량(성분(A), 디아조나프토퀴논 광활성 화합물(B) 및 기타 성분(D) 등 임의로 사용되는 고형성분의 총합, 이하 동일.)에 대해, 바람직하게는 1~99질량%이고, 보다 바람직하게는 5~95질량%, 더욱 바람직하게는 10~90질량%, 특히 바람직하게는 25~75질량%이다. 본 실시의 형태의 감방사선성 조성물은, 디아조나프토퀴논 광활성 화합물(B)의 함유량이 상기 범위 내이면, 고감도이고 러프니스가 작은 패턴을 얻을 수 있는 경향이 있다.The content of the diazonaphthoquinone photoactive compound (B) in the radiation sensitive composition of the present embodiment is preferably such that the total weight of the solid component (component (A), diazonaphthoquinone photoactive compound (B) Is preferably from 1 to 99% by mass, more preferably from 5 to 95% by mass, further preferably from 10 to 90% by mass, particularly preferably from 25 to 95% by mass, based on the total amount To 75% by mass. When the content of the diazonaphthoquinone photoactive compound (B) is within the above range, the radiation sensitive composition of the present embodiment tends to obtain a pattern with high sensitivity and small roughness.

[기타 성분(D)][Other components (D)]

본 실시형태의 감방사선성 조성물에는, 본 발명의 목적을 저해하지 않는 범위에서, 필요에 따라, 성분(A) 및 디아조나프토퀴논 광활성 화합물(B) 이외의 성분으로서, 산발생제, 가교제, 산확산제어제, 용해촉진제, 용해제어제, 증감제, 계면활성제, 유기 카르본산 또는 인의 옥소산 혹은 그의 유도체, 열 및/또는 광경화촉매, 중합금지제, 난연제, 충전제, 커플링제, 열경화성 수지, 광경화성 수지, 염료, 안료, 증점제, 활제, 소포제, 레벨링제, 자외선흡수제, 계면활성제, 착색제, 비이온계 계면활성제 등의 각종 첨가제를 1종 또는 2종 이상 첨가할 수 있다. 한편, 본 명세서에 있어서, 기타 성분(D)을 임의성분(D)이라고 하는 경우가 있다.The radiation sensitive composition of the present embodiment may contain, as necessary, components other than the component (A) and the diazonaphthoquinone photoactive compound (B), such as an acid generator, a crosslinking agent, A heat and / or light curing catalyst, a polymerization inhibitor, a flame retardant, a filler, a coupling agent, a thermosetting resin, a heat stabilizer, an acid diffusion controlling agent, a dissolution accelerator, a solubilizer, a sensitizer, a surfactant, It is possible to add one or more kinds of additives such as a photo-curing resin, a dye, a pigment, a thickener, a lubricant, a defoaming agent, a leveling agent, an ultraviolet absorber, a surfactant, a colorant and a nonionic surfactant. On the other hand, in the present specification, the other component (D) may be referred to as optional component (D).

본 실시형태의 감방사선성 조성물에 있어서, 각 성분의 배합비율(성분(A)/디아조나프토퀴논 광활성 화합물(B)/임의성분(D))은, 고형성분 기준의 질량%로,In the radiation sensitive composition of the present embodiment, the compounding ratio (component (A) / diazonaphthoquinone photoactive compound (B) / optional component (D)) of each component is,

바람직하게는 1~99/99~1/0~98,Preferably 1 to 99/99 to 1/0 to 98,

보다 바람직하게는 5~95/95~5/0~49,More preferably 5 to 95/95 to 5/0 to 49,

더욱 바람직하게는 10~90/90~10/0~10,More preferably 10 to 90/90 to 10/0 to 10,

보다 더욱 바람직하게는 20~80/80~20/0~5,Still more preferably 20 to 80/80 to 20/0 to 5,

더욱 보다 바람직하게는 25~75/75~25/0, 이다.Still more preferably 25 to 75/75 to 25/0.

각 성분의 배합비율은, 그 총합이 100질량%가 되도록 각 범위로부터 선택된다. 본 실시형태의 감방사선성 조성물은, 각 성분의 배합비율을 상기 범위로 하면, 러프니스에 더하여, 감도, 해상도 등의 성능이 우수해지는 경향이 있다.The blending ratio of each component is selected from each range so that the total sum is 100% by mass. When the compounding ratio of each component in the radiation sensitive composition of the present embodiment is in the above range, performance such as sensitivity and resolution tends to be excellent in addition to roughness.

본 실시형태의 감방사선성 조성물은, 본 발명의 목적을 저해하지 않는 범위에서, 본 실시형태 이외의 기타 수지를 포함할 수도 있다. 기타 수지로는, 노볼락 수지, 폴리비닐페놀류, 폴리아크릴산, 폴리비닐알코올, 스티렌-무수말레산 수지, 및 아크릴산, 비닐알코올, 또는 비닐페놀을 단량체단위로서 포함하는 중합체 혹은 이들의 유도체 등을 들 수 있다. 이들 수지의 배합량은, 사용하는 성분(A)의 종류에 따라 적당히 조절되는데, 성분(A) 100질량부에 대해, 30질량부 이하인 것이 바람직하고, 보다 바람직하게는 10질량부 이하, 더욱 바람직하게는 5질량부 이하, 특히 바람직하게는 0질량부이다.The radiation sensitive composition of the present embodiment may contain other resins than the present embodiment within the range not hindering the object of the present invention. Examples of other resins include novolac resins, polyvinyl phenols, polyacrylic acid, polyvinyl alcohol, styrene-maleic anhydride resins, and polymers containing acrylic acid, vinyl alcohol, or vinylphenol as monomer units or derivatives thereof . The amount of these resins to be blended is appropriately controlled depending on the kind of the component (A) to be used, and it is preferably 30 parts by mass or less, more preferably 10 parts by mass or less, further preferably 10 parts by mass or less, Is 5 parts by mass or less, particularly preferably 0 parts by mass.

[레지스트패턴의 형성방법][Method of forming resist pattern]

본 실시형태에 따른 레지스트패턴의 형성방법은, 상기 서술한 본 실시형태의 레지스트 조성물 또는 감방사선성 조성물을 이용하여 기판 상에 포토레지스트층을 형성한 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상을 행하는 공정을 포함한다. 구체적으로는, 기판 상에 레지스트막을 형성하는 공정과, 형성된 레지스트막을 노광하는 공정과, 상기 레지스트막을 현상하여 레지스트패턴을 형성하는 공정을 구비한다. 본 실시형태에 있어서의 레지스트패턴은 다층 프로세스에 있어서의 상층 레지스트로서 형성할 수도 있다.The method of forming a resist pattern according to the present embodiment is a method of forming a resist pattern on a substrate by using the resist composition or the radiation sensitive composition of the present embodiment described above and then irradiating a predetermined region of the photoresist layer with radiation And performing a developing process. Specifically, the method includes a step of forming a resist film on a substrate, a step of exposing the formed resist film, and a step of developing the resist film to form a resist pattern. The resist pattern in the present embodiment may be formed as an upper layer resist in a multilayer process.

레지스트패턴을 형성하는 방법으로는, 특별히 한정되지 않으나, 예를 들어, 이하의 방법을 들 수 있다. 우선, 종래 공지의 기판 상에 상기 본 실시형태의 레지스트 조성물 또는 감방사선성 조성물을, 회전도포, 유연도포, 롤도포 등의 도포수단에 의해 도포함으로써 레지스트막을 형성한다. 종래 공지의 기판이란, 특별히 한정되지 않고, 예를 들어, 전자부품용의 기판이나, 이것에 소정의 배선패턴이 형성된 것 등을 들 수 있다. 보다 구체적으로는, 실리콘 웨이퍼, 구리, 크롬, 철, 알루미늄 등의 금속제의 기판이나, 유리기판 등을 들 수 있다. 배선패턴의 재료로는, 예를 들어 구리, 알루미늄, 니켈, 금 등을 들 수 있다. 또한, 필요에 따라, 상기 서술한 기판 상에 무기계 및/또는 유기계의 막이 마련된 것일 수도 있다. 무기계의 막으로는, 무기 반사방지막(무기 BARC)을 들 수 있다. 유기계의 막으로는, 유기 반사방지막(유기 BARC)을 들 수 있다. 기판 상에서는 헥사메틸렌디실라잔 등에 의한 표면처리를 행할 수도 있다.The method for forming the resist pattern is not particularly limited, and for example, the following methods can be used. First, the resist composition or the radiation-sensitive composition of the present embodiment is applied onto a conventionally known substrate by a coating means such as spin coating, soft coating or roll coating to form a resist film. The conventionally known substrate is not particularly limited and includes, for example, a substrate for electronic parts and a substrate having a predetermined wiring pattern formed thereon. More specifically, examples thereof include substrates made of metal such as silicon wafers, copper, chromium, iron and aluminum, and glass substrates. Examples of the material of the wiring pattern include copper, aluminum, nickel, gold, and the like. In addition, if necessary, an inorganic and / or organic film may be provided on the above-described substrate. As the inorganic film, an inorganic anti-reflection film (inorganic BARC) can be mentioned. The organic film may be an organic anti-reflection film (organic BARC). On the substrate, surface treatment with hexamethylene disilazane or the like may be performed.

다음에, 필요에 따라, 레지스트 조성물 또는 감방사선성 조성물을 도포한 기판을 가열한다. 가열조건은, 레지스트 조성물 또는 감방사선 조성물의 배합조성 등에 따라 다른데, 20~250℃인 것이 바람직하고, 보다 바람직하게는 20~150℃이다. 가열함으로써, 레지스트의 기판에 대한 밀착성이 향상되는 경향이 있으므로 바람직하다. 이어서, 가시광선, 자외선, 엑시머 레이저, 전자선, 극단자외선(EUV), X선, 및 이온빔으로 이루어지는 군으로부터 선택되는 어느 하나의 방사선에 의해, 레지스트막을 원하는 패턴으로 노광한다. 노광조건 등은, 레지스트 조성물 또는 감방사선성 조성물의 배합조성 등에 따라 적당히 선정된다. 본 실시형태에 있어서는, 노광에 있어서의 고정도의 미세패턴을 안정적으로 형성하기 위해, 방사선 조사 후에 가열하는 것이 바람직하다. 가열조건은, 레지스트 조성물 또는 감방사선성 조성물의 배합조성 등에 따라 다른데, 20~250℃인 것이 바람직하고, 보다 바람직하게는 20~150℃이다.Next, the resist composition or the substrate coated with the radiation-sensitive composition is heated, if necessary. The heating conditions vary depending on the composition of the resist composition or radiation-sensitive composition, and are preferably from 20 to 250 캜, more preferably from 20 to 150 캜. By heating, the adhesion of the resist to the substrate tends to be improved, which is preferable. Subsequently, the resist film is exposed in a desired pattern by any one of radiation selected from the group consisting of visible light, ultraviolet light, excimer laser, electron beam, extreme ultraviolet (EUV), X-ray and ion beam. The exposure conditions and the like are appropriately selected according to the composition of the resist composition or the radiation-sensitive composition. In this embodiment, in order to stably form a fine pattern with high precision in exposure, it is preferable to heat after irradiation with radiation. The heating conditions vary depending on the composition of the resist composition or the radiation-sensitive composition, and are preferably 20 to 250 占 폚, and more preferably 20 to 150 占 폚.

이어서, 노광된 레지스트막을 현상액으로 현상함으로써, 소정의 레지스트패턴을 형성한다. 상기 현상액으로는, 사용하는 식(1) 혹은 식(2)로 표시되는 화합물 또는 식(1) 혹은 식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지에 대해 용해도 파라미터(SP값)가 가까운 용제를 선택하는 것이 바람직하고, 케톤계 용제, 에스테르계 용제, 알코올계 용제, 아미드계 용제, 에테르계 용제 등의 극성용제, 탄화수소계 용제 또는 알칼리 수용액을 이용할 수 있다.Subsequently, the exposed resist film is developed with a developing solution to form a predetermined resist pattern. As the developer, a resin obtained by using a compound represented by the formula (1) or (2) or a compound represented by the formula (1) or (2) as a monomer has a solubility parameter (SP value) It is preferable to use a solvent, and a polar solvent such as a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent or an ether solvent, a hydrocarbon solvent or an aqueous alkali solution may be used.

케톤계 용제로는, 예를 들어, 1-옥탄온, 2-옥탄온, 1-노난온, 2-노난온, 아세톤, 4-헵탄온, 1-헥사논, 2-헥사논, 디이소부틸케톤, 시클로헥사논, 메틸시클로헥사논, 페닐아세톤, 메틸에틸케톤, 메틸이소부틸케톤, 아세틸아세톤, 아세토닐아세톤, 이오논, 디아세토닐알코올, 아세틸카르비놀, 아세토페논, 메틸나프틸케톤, 이소포론, 프로필렌카보네이트 등을 들 수 있다.Examples of the ketone-based solvent include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 4-heptanone, 1-hexanone, Ketone, cyclohexanone, methylcyclohexanone, phenylacetone, methylethylketone, methylisobutylketone, acetylacetone, acetonyl acetone, ionone, diacetonyl alcohol, acetylcarbinol, acetophenone, methylnaphthyl ketone, Isophorone, propylene carbonate, and the like.

에스테르계 용제로는, 예를 들어, 아세트산메틸, 아세트산부틸, 아세트산에틸, 아세트산이소프로필, 아세트산아밀, 프로필렌글리콜모노메틸에테르아세테이트, 에틸렌글리콜모노에틸에테르아세테이트, 디에틸렌글리콜모노부틸에테르아세테이트, 디에틸렌글리콜모노에틸에테르아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸아세테이트, 3-메틸-3-메톡시부틸아세테이트, 포름산메틸, 포름산에틸, 포름산부틸, 포름산프로필, 유산에틸, 유산부틸, 유산프로필 등을 들 수 있다.Examples of the ester-based solvent include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene Methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, lactic acid, ethyl lactate, ethyl lactate, ethyl lactate, ethyl lactate, Butyl, and propyl lactate.

알코올계 용제로는, 예를 들어, 메틸알코올, 에틸알코올, n-프로필알코올, 이소프로필알코올(2-프로판올), n-부틸알코올, sec-부틸알코올, tert-부틸알코올, 이소부틸알코올, n-헥실알코올, 4-메틸-2-펜탄올, n-헵틸알코올, n-옥틸알코올, n-데칸올 등의 알코올이나, 에틸렌글리콜, 디에틸렌글리콜, 트리에틸렌글리콜 등의 글리콜계 용제나, 에틸렌글리콜모노메틸에테르, 프로필렌글리콜모노메틸에테르, 에틸렌글리콜모노에틸에테르, 프로필렌글리콜모노에틸에테르, 디에틸렌글리콜모노메틸에테르, 트리에틸렌글리콜모노에틸에테르, 메톡시메틸부탄올 등의 글리콜에테르계 용제 등을 들 수 있다.Examples of the alcoholic solvent include alcohols such as methyl alcohol, ethyl alcohol, n-propyl alcohol, isopropyl alcohol (2-propanol), n-butyl alcohol, sec-butyl alcohol, tert- Alcohols such as hexyl alcohol, 4-methyl-2-pentanol, n-heptyl alcohol, n-octyl alcohol and n-decanol, glycol solvents such as ethylene glycol, diethylene glycol and triethylene glycol, Glycol ether solvents such as glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether, diethylene glycol monomethyl ether, triethylene glycol monoethyl ether and methoxymethyl butanol. .

에테르계 용제로는, 예를 들어, 상기 글리콜에테르계 용제 이외에, 디옥산, 테트라하이드로푸란 등을 들 수 있다.Examples of the ether-based solvent include dioxane, tetrahydrofuran and the like, in addition to the above-mentioned glycol ether solvent.

아미드계 용제로는, 예를 들어, N-메틸-2-피롤리돈, N,N-디메틸아세트아미드, N,N-디메틸포름아미드, 헥사메틸포스포릭트리아미드, 1,3-디메틸-2-이미다졸리디논 등을 들 수 있다.Examples of the amide-based solvent include N-methyl-2-pyrrolidone, N, N-dimethylacetamide, N, N-dimethylformamide, hexamethylphosphoric triamide, - imidazolidinone, and the like.

탄화수소계 용제로는, 예를 들어, 톨루엔, 자일렌 등의 방향족 탄화수소계 용제, 펜탄, 헥산, 옥탄, 데칸 등의 지방족 탄화수소계 용제를 들 수 있다.Examples of the hydrocarbon-based solvent include aromatic hydrocarbon solvents such as toluene and xylene, and aliphatic hydrocarbon solvents such as pentane, hexane, octane and decane.

상기 용제는, 복수 혼합할 수도 있고, 성능을 갖는 범위 내에서, 상기 이외의 용제나 물과 혼합하여 사용할 수도 있다. 단, 본 발명의 효과를 십이분 나타내기 위해서는, 현상액 전체로서의 함수율이 70질량% 미만인 것이 바람직하고, 50질량% 미만인 것이 보다 바람직하고, 30질량% 미만인 것이 더욱 바람직하고, 10질량% 미만인 것이 보다 더욱 바람직하고, 실질적으로 수분을 함유하지 않는 것이 더욱 보다 바람직하다. 즉, 현상액에 대한 유기용제의 함유량은, 현상액의 전량에 대해, 30질량% 이상 100질량% 이하인 것이 바람직하고, 50질량% 이상 100질량% 이하인 것이 보다 바람직하고, 70질량% 이상 100질량% 이하인 것이 더욱 바람직하고, 90질량% 이상 100질량% 이하인 것이 보다 더욱 바람직하고, 95질량% 이상 100질량% 이하인 것이 더욱 보다 바람직하다.A plurality of the above-mentioned solvents may be mixed, or they may be mixed with a solvent or water other than the above in a range of performance. However, in order to exhibit the effect of the present invention for the twenty minutes, the water content of the developer as a whole is preferably less than 70 mass%, more preferably less than 50 mass%, more preferably less than 30 mass%, and more preferably less than 10 mass% It is more preferable that substantially no water is contained. That is, the content of the organic solvent in the developer is preferably 30 mass% or more and 100 mass% or less, more preferably 50 mass% or more and 100 mass% or less, more preferably 70 mass% or more and 100 mass% or less More preferably 90 mass% or more and 100 mass% or less, still more preferably 95 mass% or more and 100 mass% or less.

알칼리 수용액으로는, 예를 들어, 모노-, 디- 혹은 트리알킬아민류, 모노-, 디- 혹은 트리알칸올아민류, 복소환식 아민류, 테트라메틸암모늄하이드록사이드(TMAH), 콜린 등의 알칼리성 화합물을 들 수 있다.Examples of the aqueous alkali solution include alkaline compounds such as mono-, di- or trialkylamines, mono-, di- or trialkanolamines, heterocyclic amines, tetramethylammonium hydroxide (TMAH) .

특히, 현상액으로는, 케톤계 용제, 에스테르계 용제, 알코올계 용제, 아미드계 용제 및 에테르계 용제로부터 선택되는 적어도 1종류의 용제를 함유하는 현상액이, 레지스트패턴의 해상성이나 러프니스 등의 레지스트성능을 개선하기 위해 바람직하다.Particularly, as the developer, a developer containing at least one solvent selected from a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent and an ether solvent is preferably used as a resist for resist pattern resolution and roughness, It is desirable to improve the performance.

현상액의 증기압은, 20℃에 있어서, 5kPa 이하인 것이 바람직하고, 3kPa 이하인 것이 더욱 바람직하고, 2kPa 이하인 것이 특히 바람직하다. 현상액의 증기압이 5kPa 이하임으로써, 현상액의 기판 상 혹은 현상컵 내에서의 증발이 억제되어, 웨이퍼 면내의 온도균일성이 향상되고, 결과적으로 웨이퍼 면내의 치수균일성이 양화되는 경향이 있다.The vapor pressure of the developer is preferably 5 kPa or less at 20 캜, more preferably 3 kPa or less, and particularly preferably 2 kPa or less. When the vapor pressure of the developing solution is 5 kPa or less, evaporation of the developer on the substrate or in the developing cup is suppressed, the temperature uniformity in the wafer surface is improved, and as a result, the dimensional uniformity within the wafer surface tends to be quantified.

20℃에 있어서 5kPa 이하의 증기압을 갖는 구체적인 현상액의 예로는, 1-옥탄온, 2-옥탄온, 1-노난온, 2-노난온, 4-헵탄온, 2-헥사논, 디이소부틸케톤, 시클로헥사논, 메틸시클로헥사논, 페닐아세톤, 메틸이소부틸케톤 등의 케톤계 용제; 아세트산부틸, 아세트산아밀, 프로필렌글리콜모노메틸에테르아세테이트, 에틸렌글리콜모노에틸에테르아세테이트, 디에틸렌글리콜모노부틸에테르아세테이트, 디에틸렌글리콜모노에틸에테르아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸아세테이트, 3-메틸-3-메톡시부틸아세테이트, 포름산부틸, 포름산프로필, 유산에틸, 유산부틸, 유산프로필 등의 에스테르계 용제; n-프로필알코올, 이소프로필알코올, n-부틸알코올, sec-부틸알코올, tert-부틸알코올, 이소부틸알코올, n-헥실알코올, 4-메틸-2-펜탄올, n-헵틸알코올, n-옥틸알코올, n-데칸올 등의 알코올계 용제; 에틸렌글리콜, 디에틸렌글리콜, 트리에틸렌글리콜 등의 글리콜계 용제나; 에틸렌글리콜모노메틸에테르, 프로필렌글리콜모노메틸에테르, 에틸렌글리콜모노에틸에테르, 프로필렌글리콜모노에틸에테르, 디에틸렌글리콜모노메틸에테르, 트리에틸렌글리콜모노에틸에테르, 메톡시메틸부탄올 등의 글리콜에테르계 용제; 테트라하이드로푸란 등의 에테르계 용제; N-메틸-2-피롤리돈, N,N-디메틸아세트아미드, N,N-디메틸포름아미드의 아미드계 용제; 톨루엔, 자일렌 등의 방향족 탄화수소계 용제; 옥탄, 데칸 등의 지방족 탄화수소계 용제를 들 수 있다.Examples of a specific developer having a vapor pressure of 5 kPa or less at 20 占 폚 include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, 4-heptanone, 2-hexanone, diisobutylketone , Ketone solvents such as cyclohexanone, methylcyclohexanone, phenylacetone and methylisobutylketone; Butyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl 3-ethoxypropionate, 3-methoxy Ester solvents such as butyl acetate, 3-methyl-3-methoxybutyl acetate, butyl formate, propyl formate, ethyl lactate, butyl lactate and propyl lactate; propyl alcohol, isopropyl alcohol, n-butyl alcohol, sec-butyl alcohol, tert-butyl alcohol, isobutyl alcohol, n-hexyl alcohol, Alcohol solvents such as alcohol and n-decanol; Glycol solvents such as ethylene glycol, diethylene glycol and triethylene glycol; Glycol ether solvents such as ethylene glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether, diethylene glycol monomethyl ether, triethylene glycol monoethyl ether and methoxymethyl butanol; Ether solvents such as tetrahydrofuran; Amide solvents such as N-methyl-2-pyrrolidone, N, N-dimethylacetamide and N, N-dimethylformamide; Aromatic hydrocarbon solvents such as toluene and xylene; And aliphatic hydrocarbon solvents such as octane and decane.

특히 바람직한 범위인 20℃에 있어서 2kPa 이하의 증기압을 갖는 구체적인 현상액의 예로는, 1-옥탄온, 2-옥탄온, 1-노난온, 2-노난온, 4-헵탄온, 2-헥사논, 디이소부틸케톤, 시클로헥사논, 메틸시클로헥사논, 페닐아세톤 등의 케톤계 용제; 아세트산부틸, 아세트산아밀, 프로필렌글리콜모노메틸에테르아세테이트, 에틸렌글리콜모노에틸에테르아세테이트, 디에틸렌글리콜모노부틸에테르아세테이트, 디에틸렌글리콜모노에틸에테르아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸아세테이트, 3-메틸-3-메톡시부틸아세테이트, 유산에틸, 유산부틸, 유산프로필 등의 에스테르계 용제; n-부틸알코올, sec-부틸알코올, tert-부틸알코올, 이소부틸알코올, n-헥실알코올, 4-메틸-2-펜탄올, n-헵틸알코올, n-옥틸알코올, n-데칸올 등의 알코올계 용제; 에틸렌글리콜, 디에틸렌글리콜, 트리에틸렌글리콜 등의 글리콜계 용제; 에틸렌글리콜모노메틸에테르, 프로필렌글리콜모노메틸에테르, 에틸렌글리콜모노에틸에테르, 프로필렌글리콜모노에틸에테르, 디에틸렌글리콜모노메틸에테르, 트리에틸렌글리콜모노에틸에테르, 메톡시메틸부탄올 등의 글리콜에테르계 용제; N-메틸-2-피롤리돈, N,N-디메틸아세트아미드, N,N-디메틸포름아미드의 아미드계 용제; 자일렌 등의 방향족 탄화수소계 용제; 옥탄, 데칸 등의 지방족 탄화수소계 용제를 들 수 있다.Examples of a specific developer having a vapor pressure of 2 kPa or less at 20 캜, which is a particularly preferable range, include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, 4-heptanone, Ketone solvents such as diisobutyl ketone, cyclohexanone, methylcyclohexanone and phenylacetone; Butyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl 3-ethoxypropionate, 3-methoxy Ester solvents such as butyl acetate, 3-methyl-3-methoxybutyl acetate, ethyl lactate, butyl lactate, and propyl lactate; alcohols such as n-butyl alcohol, sec-butyl alcohol, tert-butyl alcohol, isobutyl alcohol, n-hexyl alcohol, Based solvent; Glycol solvents such as ethylene glycol, diethylene glycol and triethylene glycol; Glycol ether solvents such as ethylene glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether, diethylene glycol monomethyl ether, triethylene glycol monoethyl ether and methoxymethyl butanol; Amide solvents such as N-methyl-2-pyrrolidone, N, N-dimethylacetamide and N, N-dimethylformamide; Aromatic hydrocarbon solvents such as xylene; And aliphatic hydrocarbon solvents such as octane and decane.

현상액에는, 필요에 따라 계면활성제를 적당량 첨가할 수 있다. 계면활성제로는 특별히 한정되지 않으나, 예를 들어, 이온성이나 비이온성의 불소계 및/또는 실리콘계 계면활성제 등을 이용할 수 있다. 이들 불소 및/또는 실리콘계 계면활성제로서, 예를 들어, 일본특허공개 S62-36663호 공보, 일본특허공개 S61-226746호 공보, 일본특허공개 S61-226745호 공보, 일본특허공개 S62-170950호 공보, 일본특허공개 S63-34540호 공보, 일본특허공개 H7-230165호 공보, 일본특허공개 H8-62834호 공보, 일본특허공개 H9-54432호 공보, 일본특허공개 H9-5988호 공보, 미국특허 제5405720호 명세서, 동(同) 5360692호 명세서, 동 5529881호 명세서, 동 5296330호 명세서, 동 5436098호 명세서, 동 5576143호 명세서, 동 5294511호 명세서, 동 5824451호 명세서에 기재된 계면활성제를 들 수 있고, 바람직하게는, 비이온성의 계면활성제이다. 비이온성의 계면활성제로는 특별히 한정되지 않으나, 불소계 계면활성제 또는 실리콘계 계면활성제를 이용하는 것이 더욱 바람직하다.To the developer, an appropriate amount of a surfactant may be added, if necessary. The surfactant is not particularly limited and, for example, ionic or nonionic fluorine-based and / or silicon-based surfactants can be used. As these fluorine- and / or silicon-based surfactants, for example, Japanese Patent Application Laid-Open Nos. S62-36663, S61-226746, S61-226745, S62-170950, Japanese Patent Application Laid-Open Nos. S63-34540, H7-230165, H8-62834, H9-54432, H9-5988, US5405720 Surfactants described in JP-B-5360692, JP-B-5529881, JP-A-5296330, JP-A-5436098, JP-A-5576143, JP-B-5294511 and JP-A-5824451, Is a nonionic surfactant. The nonionic surfactant is not particularly limited, but it is more preferable to use a fluorinated surfactant or a silicone surfactant.

계면활성제의 사용량은 현상액의 전량에 대해, 통상 0.001~5질량%, 바람직하게는 0.005~2질량%, 더욱 바람직하게는 0.01~0.5질량%이다.The amount of the surfactant to be used is usually 0.001 to 5 mass%, preferably 0.005 to 2 mass%, more preferably 0.01 to 0.5 mass%, based on the whole amount of the developer.

현상방법으로는, 예를 들어, 현상액이 채워진 조(槽) 중에 기판을 일정시간 침지하는 방법(딥법), 기판 표면에 현상액을 표면장력에 의해 돋우어(盛り上げて) 일정 시간 정지(靜止)함으로써 현상하는 방법(퍼들법), 기판 표면에 현상액을 분무하는 방법(스프레이법), 일정속도로 회전하고 있는 기판 상에 일정속도로 현상액 도출노즐을 스캔하면서 현상액을 계속 도출하는 방법(다이나믹디스펜스법) 등을 적용할 수 있다. 패턴의 현상을 행하는 시간에는 특별히 제한은 없으나, 바람직하게는 10초~90초이다.Examples of the developing method include a method (dip method) of immersing the substrate in a tank filled with the developer for a predetermined time (dip method), a method of immobilizing the developer on the surface of the substrate by surface tension, (Spraying method), a method of continuously extracting the developer while scanning the developing solution drawing nozzle at a constant speed on a substrate rotating at a constant speed (dynamic dispensing method), etc. Can be applied. The time for developing the pattern is not particularly limited, but is preferably 10 seconds to 90 seconds.

또한, 현상을 행하는 공정 후에, 다른 용매로 치환하면서, 현상을 정지하는 공정을 실시할 수도 있다.Further, after the step of performing development, a step of stopping development while replacing with another solvent may be performed.

현상 후에는, 유기용제를 포함하는 린스액을 이용하여 세정하는 공정을 포함하는 것이 바람직하다.After the development, it is preferable to include a step of cleaning using a rinsing liquid containing an organic solvent.

현상 후의 린스공정에 이용하는 린스액으로는, 가교에 의해 경화된 레지스트패턴을 용해하지 않으면 특별히 제한은 없고, 일반적인 유기용제를 포함하는 용액 또는 물을 사용할 수 있다. 상기 린스액으로는, 탄화수소계 용제, 케톤계 용제, 에스테르계 용제, 알코올계 용제, 아미드계 용제 및 에테르계 용제로부터 선택되는 적어도 1종류의 유기용제를 함유하는 린스액을 이용하는 것이 바람직하다. 보다 바람직하게는, 현상 후에, 케톤계 용제, 에스테르계 용제, 알코올계 용제, 아미드계 용제로 이루어지는 군으로부터 선택되는 적어도 1종류의 유기용제를 함유하는 린스액을 이용하여 세정하는 공정을 행한다. 더욱 보다 바람직하게는, 현상 후에, 알코올계 용제 또는 에스테르계 용제를 함유하는 린스액을 이용하여 세정하는 공정을 행한다. 더욱 보다 바람직하게는, 현상 후에, 1가알코올을 함유하는 린스액을 이용하여 세정하는 공정을 행한다. 특히 바람직하게는, 현상 후에, 탄소수 5 이상의 1가알코올을 함유하는 린스액을 이용하여 세정하는 공정을 행한다. 패턴의 린스를 행하는 시간에는 특별히 제한은 없으나, 바람직하게는 10초~90초이다.The rinsing solution used in the rinsing step after development is not particularly limited as long as the resist pattern cured by crosslinking is not dissolved, and a solution or water containing common organic solvents can be used. As the rinsing liquid, it is preferable to use a rinsing liquid containing at least one organic solvent selected from a hydrocarbon-based solvent, a ketone-based solvent, an ester-based solvent, an alcohol-based solvent, an amide-based solvent and an ether-based solvent. More preferably, after the development, a cleaning step is performed using a rinsing liquid containing at least one organic solvent selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent and an amide solvent. Even more preferably, after the development, a step of cleaning with a rinsing liquid containing an alcohol-based solvent or an ester-based solvent is carried out. Even more preferably, after the development, a step of washing with a rinsing liquid containing a monohydric alcohol is carried out. Particularly preferably, after the development, a step of washing with a rinsing liquid containing a monohydric alcohol having 5 or more carbon atoms is carried out. The time for rinsing the pattern is not particularly limited, but is preferably 10 seconds to 90 seconds.

여기서, 현상 후의 린스공정에서 이용되는 1가알코올로는, 직쇄상, 분지상, 환상의 1가알코올을 들 수 있고, 구체적으로는, 1-부탄올, 2-부탄올, 3-메틸-1-부탄올, tert-부틸알코올, 1-펜탄올, 2-펜탄올, 1-헥산올, 4-메틸-2-펜탄올, 1-헵탄올, 1-옥탄올, 2-헥산올, 시클로펜탄올, 2-헵탄올, 2-옥탄올, 3-헥산올, 3-헵탄올, 3-옥탄올, 4-옥탄올 등을 이용할 수 있고, 특히 바람직한 탄소수 5 이상의 1가알코올로는, 1-헥산올, 2-헥산올, 4-메틸-2-펜탄올, 1-펜탄올, 3-메틸-1-부탄올 등을 들 수 있다.Examples of the monohydric alcohol used in the rinsing step after development include linear, branched, and cyclic monohydric alcohols. Specific examples thereof include 1-butanol, 2-butanol, 3-methyl- 2-pentanol, 1-heptanol, 1-octanol, 2-hexanol, cyclopentanol, 2-pentanol, 2-butanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol and the like can be used. Particularly preferred monohydric alcohols having 5 or more carbon atoms include 1-hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol and the like.

상기 각 성분은, 복수 혼합할 수도 있고, 상기 이외의 유기용제와 혼합하여 사용할 수도 있다.A plurality of the above components may be mixed together, or they may be mixed with other organic solvents.

린스액 중의 함수율은, 10질량% 이하인 것이 바람직하고, 보다 바람직하게는 5질량% 이하, 특히 바람직하게는 3질량% 이하이다. 함수율을 10질량% 이하로 함으로써, 보다 양호한 현상특성을 얻을 수 있는 경향이 있다.The water content in the rinsing liquid is preferably 10 mass% or less, more preferably 5 mass% or less, particularly preferably 3 mass% or less. By setting the moisture content to 10 mass% or less, more excellent developing characteristics tend to be obtained.

현상 후에 이용하는 린스액의 증기압은, 20℃에 있어서 0.05kPa 이상, 5kPa 이하인 것이 바람직하고, 0.1kPa 이상, 5kPa 이하인 것이 보다 바람직하고, 0.12kPa 이상, 3kPa 이하인 것이 더욱 바람직하다. 린스액의 증기압을 0.05kPa 이상, 5kPa 이하로 함으로써, 웨이퍼 면내의 온도균일성이 보다 향상되고, 더 나아가서는 린스액의 침투에 기인한 팽윤이 보다 억제되고, 웨이퍼 면내의 치수균일성이 보다 양화되는 경향이 있다.The vapor pressure of the rinsing liquid used after development is preferably 0.05 kPa or more and 5 kPa or less at 20 캜, more preferably 0.1 kPa or more and 5 kPa or less, and further preferably 0.12 kPa or more and 3 kPa or less. By adjusting the vapor pressure of the rinsing liquid to 0.05 kPa or more and 5 kPa or less, the temperature uniformity within the wafer surface is further improved, swelling due to infiltration of the rinsing liquid is further suppressed, and the dimensional uniformity within the wafer surface is further improved .

린스액에는, 계면활성제를 적당량 첨가하여 사용할 수도 있다.An appropriate amount of surfactant may be added to the rinse solution.

린스공정에 있어서는, 현상을 행한 웨이퍼를 상기 유기용제를 포함하는 린스액을 이용하여 세정처리한다. 세정처리의 방법은 특별히 한정되지 않으나, 예를 들어, 일정속도로 회전하고 있는 기판 상에 린스액을 계속 도출하는 방법(회전도포법), 린스액이 채워진 조 중에 기판을 일정시간 침지하는 방법(딥법), 기판 표면에 린스액을 분무하는 방법(스프레이법) 등을 적용할 수 있는데, 이 중에서도 회전도포방법으로 세정처리를 행하고, 세정 후에 기판을 2000rpm~4000rpm의 회전수로 회전시키고, 린스액을 기판 상으로부터 제거하는 것이 바람직하다.In the rinsing process, the developed wafer is cleaned using a rinsing liquid containing the organic solvent. The method of the rinsing treatment is not particularly limited. For example, there is a method of continuously extracting the rinsing liquid onto the substrate rotating at a constant speed (spin coating method), a method of immersing the substrate in the rinsing liquid filled tank for a certain time A method of spraying a rinsing liquid onto the surface of a substrate (spraying method), or the like can be applied. Among them, a cleaning treatment is carried out by a rotation coating method, the substrate is rotated at a rotation speed of 2000 rpm to 4000 rpm, Is removed from the substrate.

레지스트패턴을 형성한 후, 에칭함으로써 패턴배선기판이 얻어진다. 에칭의 방법은 플라즈마가스를 사용하는 드라이에칭 및 알칼리용액, 염화제이구리용액, 염화제이철용액 등에 의한 웨트에칭 등의 공지의 방법으로 행할 수 있다.After the resist pattern is formed, etching is performed to obtain a pattern wiring substrate. The etching can be performed by a known method such as dry etching using a plasma gas and wet etching using an alkali solution, copper chloride solution, ferric chloride solution or the like.

레지스트패턴을 형성한 후, 도금을 행할 수도 있다. 상기 도금법으로는, 예를 들어, 구리도금, 땜납도금, 니켈도금, 금도금 등을 들 수 있다.After the resist pattern is formed, plating may be performed. Examples of the plating method include copper plating, solder plating, nickel plating, and gold plating.

에칭 후의 잔존 레지스트패턴은 유기용제로 박리할 수 있다. 상기 유기용제로서, PGMEA(프로필렌글리콜모노메틸에테르아세테이트), PGME(프로필렌글리콜모노메틸에테르), EL(유산에틸) 등을 들 수 있다. 상기 박리방법으로는, 예를 들어, 침지방법, 스프레이방식 등을 들 수 있다. 또한, 레지스트패턴이 형성된 배선기판은, 다층배선기판일 수도 있고, 소경스루홀을 갖고 있을 수도 있다.The remaining resist pattern after etching can be peeled off with an organic solvent. Examples of the organic solvent include PGMEA (propylene glycol monomethyl ether acetate), PGME (propylene glycol monomethyl ether), EL (ethyl lactate) and the like. Examples of the peeling method include an immersion method and a spraying method. The wiring board on which the resist pattern is formed may be a multilayer wiring board or may have a small-diameter through hole.

본 실시형태에 있어서 얻어지는 배선기판은, 레지스트패턴 형성 후, 금속을 진공 중에서 증착하고, 그 후 레지스트패턴을 용액으로 녹이는 방법, 즉 리프트오프법에 의해 형성할 수도 있다.The wiring board obtained in the present embodiment may be formed by depositing a metal in a vacuum after forming a resist pattern, and thereafter dissolving the resist pattern in a solution, that is, by a lift-off method.

[하층막 용도용 리소그래피용 막형성 조성물][Film forming composition for lithography for underlayer film application]

본 실시형태의 하층막 용도용 리소그래피용 막형성 조성물(이하, 「하층막 형성재료」라고도 한다.)은, 상기 식(1)로 표시되는 화합물, 상기 식(1)로 표시되는 화합물을 모노머로 하여 얻어지는 수지, 식(2)로 표시되는 화합물 및 식(2)로 표시되는 화합물을 모노머로 하여 얻어지는 수지로 이루어지는 군으로부터 선택되는 적어도 1종의 물질을 함유한다. 본 실시형태에 있어서 상기 물질은 도포성 및 품질안정성의 점에서, 하층막 형성재료 중, 1~100질량%인 것이 바람직하고, 10~100질량%인 것이 보다 바람직하고, 50~100질량%인 것이 더욱 바람직하고, 100질량%인 것이 특히 바람직하다.The film forming composition for lithography for use in a lower layer film of the present embodiment (hereinafter, also referred to as a "lower layer film forming material") is obtained by mixing a compound represented by the formula (1) and a compound represented by the formula (1) (2), and a resin obtained by using a compound represented by the formula (2) as a monomer. In the present embodiment, the material is preferably 1 to 100% by mass, more preferably 10 to 100% by mass, and more preferably 50 to 100% by mass in the lower layer film forming material from the viewpoints of coatability and quality stability , And particularly preferably 100% by mass.

본 실시형태의 하층막 형성재료는, 습식 프로세스로의 적용이 가능하고, 내열성 및 에칭내성이 우수하다. 또한, 본 실시형태의 하층막 형성재료는 상기 물질을 이용하고 있으므로, 고온베이크시의 막의 열화가 억제되고, 산소플라즈마에칭 등에 대한 에칭내성도 우수한 하층막을 형성할 수 있다. 나아가, 본 실시형태의 하층막 형성재료는 레지스트층과의 밀착성도 우수하므로, 우수한 레지스트패턴을 얻을 수 있다. 한편, 본 실시형태의 하층막 형성재료는, 본 발명의 효과가 손상되지 않는 범위에 있어서, 이미 알려져 있는 리소그래피용 하층막 형성재료 등을 포함하고 있을 수도 있다.The lower layer film forming material of the present embodiment is applicable to a wet process, and is excellent in heat resistance and etching resistance. Since the lower layer film forming material of the present embodiment uses the above-mentioned material, deterioration of the film at the time of high-temperature baking is suppressed, and a lower layer film excellent in etching resistance against oxygen plasma etching and the like can be formed. Furthermore, the lower layer film forming material of the present embodiment is also excellent in adhesion to the resist layer, so that an excellent resist pattern can be obtained. On the other hand, the lower film forming material of the present embodiment may contain a known material for forming a lower layer film for lithography within the range in which the effect of the present invention is not impaired.

[용매][menstruum]

본 실시형태의 하층막 형성재료는, 용매를 함유할 수도 있다. 본 실시형태의 하층막 형성재료에 이용되는 용매로는, 상기 서술한 물질이 적어도 용해되는 것이면, 공지의 것을 적당히 이용할 수 있다.The lower layer film forming material of this embodiment may contain a solvent. As the solvent used in the lower layer film forming material of the present embodiment, known solvents can be appropriately used as long as the above-mentioned substances are dissolved at least.

용매의 구체예로는, 특별히 한정되지 않으나, 예를 들어, 아세톤, 메틸에틸케톤, 메틸이소부틸케톤, 시클로헥사논 등의 케톤계 용매; 프로필렌글리콜모노메틸에테르, 프로필렌글리콜모노메틸에테르아세테이트 등의 셀로솔브계 용매; 유산에틸, 아세트산메틸, 아세트산에틸, 아세트산부틸, 아세트산이소아밀, 유산에틸, 메톡시프로피온산메틸, 하이드록시이소부티르산메틸 등의 에스테르계 용매; 메탄올, 에탄올, 이소프로판올, 1-에톡시-2-프로판올 등의 알코올계 용매; 톨루엔, 자일렌, 아니솔 등의 방향족계 탄화수소 등을 들 수 있다. 이들 용매는, 1종을 단독으로, 혹은 2종 이상을 조합하여 이용할 수 있다.Specific examples of the solvent include, but are not limited to, ketone solvents such as acetone, methyl ethyl ketone, methyl isobutyl ketone, and cyclohexanone; Cellosolve solvents such as propylene glycol monomethyl ether and propylene glycol monomethyl ether acetate; Ester solvents such as ethyl acetate, methyl acetate, ethyl acetate, butyl acetate, isoamyl acetate, ethyl lactate, methyl methoxypropionate and methyl hydroxyisobutyrate; Alcohol solvents such as methanol, ethanol, isopropanol and 1-ethoxy-2-propanol; And aromatic hydrocarbons such as toluene, xylene and anisole. These solvents can be used singly or in combination of two or more kinds.

상기 용매 중에서, 안전성의 점에서, 시클로헥사논, 프로필렌글리콜모노메틸에테르, 프로필렌글리콜모노메틸에테르아세테이트, 유산에틸, 하이드록시이소부티르산메틸, 아니솔이 특히 바람직하다.Of these solvents, cyclohexanone, propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate, ethyl lactate, methyl hydroxyisobutyrate and anisole are particularly preferable from the viewpoint of safety.

용매의 함유량은, 특별히 한정되지 않으나, 용해성 및 제막 상의 관점으로부터, 상기 하층막 형성재료 100질량부에 대해, 100~10000질량부인 것이 바람직하고, 200~5000질량부인 것이 보다 바람직하고, 200~1000질량부인 것이 더욱 바람직하다.The content of the solvent is not particularly limited, but is preferably 100 to 10,000 parts by mass, more preferably 200 to 5000 parts by mass, and most preferably 200 to 1000 parts by mass with respect to 100 parts by mass of the lower layer film forming material from the viewpoints of solubility and film- Mass part is more preferable.

[가교제][Crosslinking agent]

본 실시형태의 하층막 형성재료는, 인터믹싱을 억제하는 등의 관점으로부터, 필요에 따라 가교제를 함유하고 있을 수도 있다. 본 실시형태에서 사용가능한 가교제는 특별히 한정되지 않으나, 예를 들어, 국제공개 제2013/024779호에 기재된 것을 이용할 수 있다.The lower layer film forming material of the present embodiment may contain a crosslinking agent, if necessary, from the viewpoint of suppressing intermixing or the like. The crosslinking agent usable in the present embodiment is not particularly limited, but for example, those described in International Publication No. 2013/024779 can be used.

본 실시형태에 있어서 사용가능한 가교제의 구체예로는, 예를 들어, 페놀 화합물, 에폭시 화합물, 시아네이트 화합물, 아미노 화합물, 벤조옥사진 화합물, 아크릴레이트 화합물, 멜라민 화합물, 구아나민 화합물, 글리콜우릴 화합물, 우레아 화합물, 이소시아네이트 화합물, 아지드 화합물 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 이들 가교제는, 1종을 단독으로, 혹은 2종 이상을 조합하여 이용할 수 있다. 이들 중에서도 벤조옥사진 화합물, 에폭시 화합물 또는 시아네이트 화합물이 바람직하고, 에칭내성 향상의 관점으로부터, 벤조옥사진 화합물이 보다 바람직하다.Specific examples of the crosslinking agent that can be used in the present embodiment include a phenol compound, an epoxy compound, a cyanate compound, an amino compound, a benzoxazine compound, an acrylate compound, a melamine compound, a guanamine compound, a glycoluril compound , A urea compound, an isocyanate compound, an azide compound, and the like, but are not limited thereto. These crosslinking agents may be used singly or in combination of two or more kinds. Among them, a benzoxazine compound, an epoxy compound or a cyanate compound is preferable, and a benzoxazine compound is more preferable from the viewpoint of improvement of etching resistance.

상기 페놀 화합물로는, 공지의 것을 사용할 수 있다. 예를 들어, 페놀류로는, 페놀 이외에, 크레졸류, 자일레놀류 등의 알킬페놀류, 하이드로퀴논 등의 다가페놀류, 나프톨류, 나프탈렌디올류 등의 다환페놀류, 비스페놀A, 비스페놀F 등의 비스페놀류, 혹은 페놀노볼락, 페놀아랄킬 수지 등의 다관능성 페놀 화합물 등을 들 수 있다. 그 중에서도, 내열성 및 용해성의 점에서, 아랄킬형 페놀 수지가 바람직하다.As the phenol compound, known ones can be used. Examples of the phenols include, in addition to phenol, alkyl phenols such as cresols and xylenols, polyhydric phenols such as hydroquinone, polycyclic phenols such as naphthols and naphthalene diols, bisphenols such as bisphenol A and bisphenol F, And polyfunctional phenol compounds such as phenol novolak and phenol aralkyl resin. Among them, an aralkyl type phenol resin is preferable in view of heat resistance and solubility.

상기 에폭시 화합물로는, 공지의 것을 사용할 수 있고, 1분자 중에 에폭시기를 2개 이상 갖는 것 중에서 선택된다. 예를 들어, 비스페놀A, 비스페놀F, 3,3’,5,5’-테트라메틸-비스페놀F, 비스페놀S, 플루오렌비스페놀, 2,2’-비페놀, 3,3’,5,5’-테트라메틸-4,4’-디하이드록시비페놀, 레조르신, 나프탈렌디올류 등의 2가의 페놀류의 에폭시화물, 트리스-(4-하이드록시페닐)메탄, 1,1,2,2-테트라키스(4-하이드록시페닐)에탄, 트리스(2,3-에폭시프로필)이소시아누레이트, 트리메틸올메탄트리글리시딜에테르, 트리메틸올프로판트리글리시딜에테르, 트리에틸올에탄트리글리시딜에테르, 페놀노볼락, o-크레졸노볼락 등의 3가 이상의 페놀류의 에폭시화물, 디시클로펜타디엔과 페놀류의 공축합수지의 에폭시화물, 페놀류와 파라자일릴렌디클로라이드 등으로부터 합성되는 페놀아랄킬 수지류의 에폭시화물, 페놀류와 비스클로로메틸비페닐 등으로부터 합성되는 비페닐아랄킬형 페놀수지의 에폭시화물, 나프톨류와 파라자일릴렌디클로라이드 등으로부터 합성되는 나프톨아랄킬 수지류의 에폭시화물 등을 들 수 있다. 이들 에폭시 수지는, 단독으로 이용할 수도 있고, 2종 이상을 병용할 수도 있다. 그 중에서도, 내열성과 용해성의 관점으로부터, 페놀아랄킬 수지류, 비페닐아랄킬 수지류로부터 얻어지는 에폭시 수지 등의 상온에서 고체상 에폭시 수지가 바람직하다.As the epoxy compound, known epoxy compounds can be used, and those having two or more epoxy groups in one molecule are selected. For example, bisphenol A, bisphenol F, 3,3 ', 5,5'-tetramethyl-bisphenol F, bisphenol S, fluorene bisphenol, 2,2'- -Tetramethyl-4,4'-dihydroxybiphenol, resorcin, naphthalene diol, and other divalent phenols such as tris- (4-hydroxyphenyl) methane, 1,1,2,2-tetra Tris (2,3-epoxypropyl) isocyanurate, trimethylol methane triglycidyl ether, trimethylolpropane triglycidyl ether, triethylol ethane triglycidyl ether, phenol (4-hydroxyphenyl) Epoxides of tri- or higher-valent phenols such as novolak and o-cresol novolak, epoxides of dicyclopentadiene and phenol-co-condensation resins, epoxides of phenol aralkyl resins synthesized from phenols and para-xylylene di- Biphenyl aralkyl type phenol synthesized from cargo, phenols and bischloromethylbiphenyl Epoxides of naphthol aralkyl resins synthesized from naphthols and para-xylylene dichloride, and the like. These epoxy resins may be used alone or in combination of two or more. Among them, from the viewpoints of heat resistance and solubility, solid epoxy resins are preferred at room temperature such as phenol aralkyl resins and epoxy resins obtained from biphenyl aralkyl resins.

상기 시아네이트 화합물로는, 1분자 중에 2개 이상의 시아네이트기를 갖는 화합물이면 특별히 제한없이, 공지의 것을 사용할 수 있다. 본 실시형태에 있어서, 바람직한 시아네이트 화합물로는, 1분자 중에 2개 이상의 수산기를 갖는 화합물의 수산기를 시아네이트기로 치환한 구조의 것을 들 수 있다. 또한, 시아네이트 화합물은, 방향족기를 갖는 것이 바람직하고, 시아네이트기가 방향족기에 직결된 구조의 것을 호적하게 사용할 수 있다. 이러한 시아네이트 화합물로는, 예를 들어, 비스페놀A, 비스페놀F, 비스페놀M, 비스페놀P, 비스페놀E, 페놀노볼락 수지, 크레졸노볼락 수지, 디시클로펜타디엔노볼락 수지, 테트라메틸비스페놀F, 비스페놀A노볼락 수지, 브롬화비스페놀A, 브롬화페놀노볼락 수지, 3관능페놀, 4관능페놀, 나프탈렌형 페놀, 비페닐형 페놀, 페놀아랄킬 수지, 비페닐아랄킬 수지, 나프톨아랄킬 수지, 디시클로펜타디엔아랄킬 수지, 지환식 페놀, 인함유 페놀 등의 수산기를 시아네이트기로 치환한 구조의 것을 들 수 있다. 이들 시아네이트 화합물은, 단독으로 또는 2종 이상을 적당히 조합하여 사용할 수도 있다. 또한, 상기한 시아네이트 화합물은, 모노머, 올리고머 및 수지의 어느 형태일 수도 있다.As the cyanate compound, a compound having two or more cyanate groups in one molecule may be used without particular limitation. In the present embodiment, preferred examples of the cyanate compound include those having a structure in which a hydroxyl group of a compound having two or more hydroxyl groups in one molecule is substituted with a cyanate group. The cyanate compound preferably has an aromatic group, and a cyanate compound having a structure in which a cyanate group is directly bonded to an aromatic group can be suitably used. Examples of such cyanate compounds include bisphenol A, bisphenol F, bisphenol M, bisphenol P, bisphenol E, phenol novolak resin, cresol novolac resin, dicyclopentadiene novolac resin, tetramethyl bisphenol F, A novolac resin, brominated bisphenol A, brominated phenol novolak resin, trifunctional phenol, tetrafunctional phenol, naphthalene-type phenol, biphenyl-type phenol, phenol aralkyl resin, biphenyl aralkyl resin, naphthol aralkyl resin, Pentadiene aralkyl resin, alicyclic phenol, phosphorus-containing phenol and the like are substituted with a cyanate group. These cyanate compounds may be used alone or in combination of two or more. The cyanate compound may be any of a monomer, an oligomer and a resin.

상기 아미노 화합물로는, m-페닐렌디아민, p-페닐렌디아민, 4,4’-디아미노디페닐메탄, 4,4’-디아미노디페닐프로판, 4,4’-디아미노디페닐에테르, 3,4’-디아미노디페닐에테르, 3,3’-디아미노디페닐에테르, 4,4’-디아미노디페닐설폰, 3,4’-디아미노디페닐설폰, 3,3’-디아미노디페닐설폰, 4,4’-디아미노디페닐설파이드, 3,4’-디아미노디페닐설파이드, 3,3’-디아미노디페닐설파이드, 1,4-비스(4-아미노페녹시)벤젠, 1,3-비스(4-아미노페녹시)벤젠, 1,4-비스(3-아미노페녹시)벤젠, 1,3-비스(3-아미노페녹시)벤젠, 비스[4-(4-아미노페녹시)페닐]설폰, 2,2-비스[4-(4-아미노페녹시)페닐]프로판, 2,2-비스[4-(3-아미노페녹시)페닐]프로판, 4,4’-비스(4-아미노페녹시)비페닐, 4,4’-비스(3-아미노페녹시)비페닐, 비스[4-(4-아미노페녹시)페닐]에테르, 비스[4-(3-아미노페녹시)페닐]에테르, 9,9-비스(4-아미노페닐)플루오렌, 9,9-비스(4-아미노-3-클로로페닐)플루오렌, 9,9-비스(4-아미노-3-플루오로페닐)플루오렌, O-톨리딘, m-톨리딘, 4,4’-디아미노벤즈아닐리드, 2,2’-비스(트리플루오로메틸)-4,4’-디아미노비페닐, 4-아미노페닐-4-아미노벤조에이트, 2-(4-아미노페닐)-6-아미노벤조옥사졸 등이 예시된다. 나아가, 4,4’-디아미노디페닐메탄, 4,4’-디아미노디페닐프로판, 4,4’-디아미노디페닐에테르, 3,4’-디아미노디페닐에테르, 3,3’-디아미노디페닐에테르, 4,4’-디아미노디페닐설폰, 3,3’-디아미노디페닐설폰, 1,4-비스(4-아미노페녹시)벤젠, 1,3-비스(4-아미노페녹시)벤젠, 1,4-비스(3-아미노페녹시)벤젠, 1,3-비스(3-아미노페녹시)벤젠, 비스[4-(4-아미노페녹시)페닐]설폰, 2,2-비스[4-(4-아미노페녹시)페닐]프로판, 2,2-비스[4-(3-아미노페녹시)페닐]프로판, 4,4’-비스(4-아미노페녹시)비페닐, 4,4’-비스(3-아미노페녹시)비페닐, 비스[4-(4-아미노페녹시)페닐]에테르, 비스[4-(3-아미노페녹시)페닐]에테르 등의 방향족 아민류, 디아미노시클로헥산, 디아미노디시클로헥실메탄, 디메틸-디아미노디시클로헥실메탄, 테트라메틸-디아미노디시클로헥실메탄, 디아미노디시클로헥실프로판, 디아미노비시클로[2.2.1]헵탄, 비스(아미노메틸)-비시클로[2.2.1]헵탄, 3(4),8(9)-비스(아미노메틸)트리시클로[5.2.1.02,6]데칸, 1,3-비스아미노메틸시클로헥산, 이소포론디아민 등의 지환식 아민류, 에틸렌디아민, 헥사메틸렌디아민, 옥타메틸렌디아민, 데카메틸렌디아민, 디에틸렌트리아민, 트리에틸렌테트라민 등의 지방족 아민류 등을 들 수 있다.Examples of the amino compound include m-phenylenediamine, p-phenylenediamine, 4,4'-diaminodiphenylmethane, 4,4'-diaminodiphenylpropane, 4,4'-diaminodiphenylether , 3,4'-diaminodiphenyl ether, 3,3'-diaminodiphenyl ether, 4,4'-diaminodiphenylsulfone, 3,4'-diaminodiphenylsulfone, 3,3'- Diaminodiphenylsulfone, 4,4'-diaminodiphenylsulfide, 3,4'-diaminodiphenylsulfide, 3,3'-diaminodiphenylsulfide, 1,4-bis (4-aminophenoxy ) Benzene, 1,3- bis (4-aminophenoxy) benzene, 1,4-bis (3-aminophenoxy) benzene, 4- (3-aminophenoxy) phenyl] propane, 2,2-bis [4- (4-aminophenoxy) biphenyl, 4,4'-bis (4-aminophenoxy) 3-aminophenoxy) phenyl] (4-aminophenyl) fluorene, 9,9-bis (4-amino-3-chlorophenyl) fluorene, 9,9- (Trifluoromethyl) -4,4'-diaminobiphenyl, 4-aminophenyl, 4,4'-diaminobenzanilide, 2,2'-bis Aminobenzoate, 2- (4-aminophenyl) -6-aminobenzoxazole, and the like. Further, there can be mentioned 4,4'-diaminodiphenylmethane, 4,4'-diaminodiphenylpropane, 4,4'-diaminodiphenyl ether, 3,4'-diaminodiphenyl ether, Diaminodiphenylsulfone, 1,4-bis (4-aminophenoxy) benzene, 1,3-bis (4 (Aminophenoxy) benzene, 1,4-bis (3-aminophenoxy) benzene, 1,3-bis Bis [4- (3-aminophenoxy) phenyl] propane, 4,4'-bis (4-aminophenoxy) phenyl] propane, 2,2- Biphenyl, 4,4'-bis (3-aminophenoxy) biphenyl, bis [4- (4-aminophenoxy) phenyl] ether, bis [4- Aromatic amines such as diaminocyclohexane, diaminodicyclohexylmethane, dimethyl-diamino dicyclohexylmethane, tetramethyl-diamino dicyclohexylmethane, diamino dicyclohexyl Bis (aminomethyl) tricyclo [2.2.1] heptane, bis (aminomethyl) -bicyclo [2.2.1] heptane, 3 (4) , 6] decane, 1,3-bisaminomethylcyclohexane, and isophoronediamine; alicyclic amines such as ethylenediamine, hexamethylenediamine, octamethylenediamine, decamethylenediamine, diethylenetriamine and triethylenetetramine; Aliphatic amines and the like.

상기 벤조옥사진 화합물로는, 이관능성 디아민류와 단관능페놀류로부터 얻어지는 P-d형 벤조옥사진, 단관능성 디아민류와 단관능성 페놀류로부터 얻어지는 F-a형 벤조옥사진 등을 들 수 있다.Examples of the benzoxazine photographic compound include P-d type benzoxazine obtained from bifunctional diamines and monofunctional phenols, F-a type benzoxazine obtained from monofunctional diamines and monofunctional phenols, and the like.

상기 멜라민 화합물의 구체예로는, 예를 들어, 헥사메틸올멜라민, 헥사메톡시메틸멜라민, 헥사메틸올멜라민의 1~6개의 메틸올기가 메톡시메틸화한 화합물 또는 그의 혼합물, 헥사메톡시에틸멜라민, 헥사아실옥시메틸멜라민, 헥사메틸올멜라민의 메틸올기의 1~6개가 아실옥시메틸화한 화합물 또는 그의 혼합물 등을 들 수 있다.Specific examples of the melamine compound include, for example, compounds obtained by methoxymethylating 1 to 6 methylol groups of hexamethylol melamine, hexamethoxymethyl melamine, hexamethylol melamine, or mixtures thereof, hexamethoxyethyl melamine , Hexaacyloxymethyl melamine, compounds obtained by acyloxymethylating 1 to 6 methylol groups of hexamethylol melamine, and mixtures thereof.

상기 구아나민 화합물의 구체예로는, 예를 들어, 테트라메틸올구아나민, 테트라메톡시메틸구아나민, 테트라메틸올구아나민의 1~4개의 메틸올기가 메톡시메틸화한 화합물 또는 그의 혼합물, 테트라메톡시에틸구아나민, 테트라아실옥시구아나민, 테트라메틸올구아나민의 1~4개의 메틸올기가 아실옥시메틸화한 화합물 또는 그의 혼합물 등을 들 수 있다.Specific examples of the above-mentioned guanamine compound include compounds obtained by methoxymethylating 1 to 4 methylol groups of tetramethylolguanamine, tetramethoxymethylguanamine, tetramethylolguanamine, or a mixture thereof, tetra A compound obtained by acyloxymethylating 1 to 4 methylol groups of methoxyethylguanamine, tetraacyloxyguanamine and tetramethylolguanamine, or a mixture thereof.

상기 글리콜우릴 화합물의 구체예로는, 예를 들어, 테트라메틸올글리콜우릴, 테트라메톡시글리콜우릴, 테트라메톡시메틸글리콜우릴, 테트라메틸올글리콜우릴의 메틸올기의 1~4개가 메톡시메틸화한 화합물 또는 그의 혼합물, 테트라메틸올글리콜우릴의 메틸올기의 1~4개가 아실옥시메틸화한 화합물 또는 그의 혼합물 등을 들 수 있다.Specific examples of the glycoluril compound include, for example, methoxymethylated 1 to 4 methylol groups of tetramethylolglycoluril, tetramethoxyglycoluril, tetramethoxymethylglycoluril, tetramethylolglycoluril, A compound or a mixture thereof, a compound obtained by acyloxymethylating 1 to 4 methylol groups of tetramethylolglycoluril, or a mixture thereof.

상기 우레아 화합물의 구체예로는, 예를 들어, 테트라메틸올우레아, 테트라메톡시메틸우레아, 테트라메틸올우레아의 1~4개의 메틸올기가 메톡시메틸화한 화합물 또는 그의 혼합물, 테트라메톡시에틸우레아 등을 들 수 있다.Specific examples of the urea compound include, for example, a compound obtained by methoxymethylating 1 to 4 methylol groups of tetramethylol urea, tetramethoxymethyl urea, tetramethylol urea, or a mixture thereof, tetramethoxyethylurea And the like.

또한, 본 실시형태에 있어서는, 가교성 향상의 관점으로부터, 적어도 1개의 알릴기를 갖는 가교제를 이용할 수도 있다. 적어도 1개의 알릴기를 갖는 가교제의 구체예로는, 2,2-비스(3-알릴-4-하이드록시페닐)프로판, 1,1,1,3,3,3-헥사플루오로-2,2-비스(3-알릴-4-하이드록시페닐)프로판, 비스(3-알릴-4-하이드록시페닐)설폰, 비스(3-알릴-4-하이드록시페닐)설파이드, 비스(3-알릴-4-하이드록시페닐)에테르 등의 알릴페놀류, 2,2-비스(3-알릴-4-시아나토페닐)프로판, 1,1,1,3,3,3-헥사플루오로-2,2-비스(3-알릴-4-시아나토페닐)프로판, 비스(3-알릴-4-시아나토시페닐)설폰, 비스(3-알릴-4-시아나토페닐)설파이드, 비스(3-알릴-4-시아나토페닐)에테르 등의 알릴시아네이트류, 디알릴프탈레이트, 디알릴이소프탈레이트, 디알릴테레프탈레이트, 트리알릴이소시아누레이트, 트리메틸올프로판디알릴에테르, 펜타에리스리톨알릴에테르 등을 들 수 있는데, 이들 예시된 것으로 한정되는 것은 아니다. 이들은 단독일 수도, 2종류 이상의 혼합물일 수도 있다. 이들 중에서도, 비스말레이미드 화합물 및/또는 부가중합형 말레이미드 수지와의 상용성이 우수하다는 관점으로부터, 2,2-비스(3-알릴-4-하이드록시페닐)프로판, 1,1,1,3,3,3-헥사플루오로-2,2-비스(3-알릴-4-하이드록시페닐)프로판, 비스(3-알릴-4-하이드록시페닐)설폰, 비스(3-알릴-4-하이드록시페닐)설파이드, 비스(3-알릴-4-하이드록시페닐)에테르 등의 알릴페놀류가 바람직하다.In the present embodiment, a crosslinking agent having at least one allyl group may also be used from the viewpoint of improving the crosslinkability. Specific examples of the crosslinking agent having at least one allyl group include 2,2-bis (3-allyl-4-hydroxyphenyl) propane, 1,1,1,3,3,3-hexafluoro-2,2 Bis (3-allyl-4-hydroxyphenyl) propane, bis (3-allyl-4-hydroxyphenyl) sulfone, bis Allyl phenols such as 2,2-bis (3-allyl-4-cyanatophenyl) propane, 1,1,1,3,3,3-hexafluoro-2,2-bis (3-allyl-4-cyanatophenyl) sulfone, bis (3-allyl-4-cyanatophenyl) Diallyl phthalate, diallyl terephthalate, triallyl isocyanurate, trimethylolpropane diallyl ether, pentaerythritol allyl ether, and the like can be given. Among these, allyl cyanates such as diallyl phthalate, diallyl isophthalate, diallyl terephthalate, The present invention is not limited thereto. These may be used singly or as a mixture of two or more kinds. Among them, 2,2-bis (3-allyl-4-hydroxyphenyl) propane, 1,1, 1, 2, (3-allyl-4-hydroxyphenyl) propane, bis (3-allyl-4-hydroxyphenyl) sulfone, bis Hydroxyphenyl) sulfide, and bis (3-allyl-4-hydroxyphenyl) ether.

하층막 형성재료 중의 가교제의 함유량은, 특별히 한정되지 않으나, 하층막 형성재료 100질량부에 대해, 0.1~100질량부인 것이 바람직하고, 5~50질량부인 것이 보다 바람직하고, 더욱 바람직하게는 10~40질량부이다. 가교제의 함유량을 상기 범위로 함으로써, 레지스트층과의 믹싱현상의 발생이 억제되는 경향이 있고, 또한, 반사방지효과가 높아지고, 가교 후의 막 형성성이 높아지는 경향이 있다.The content of the crosslinking agent in the lower layer film forming material is not particularly limited, but is preferably 0.1 to 100 parts by mass, more preferably 5 to 50 parts by mass, further preferably 10 to 100 parts by mass, 40 parts by mass. When the content of the crosslinking agent is within the above range, the occurrence of the mixing phenomenon with the resist layer tends to be suppressed, the antireflection effect tends to increase, and the film formability after crosslinking tends to increase.

[가교촉진제][Crosslinking accelerator]

본 실시형태의 하층막 형성재료에는, 필요에 따라 가교, 경화반응을 촉진시키기 위한 가교촉진제를 이용할 수 있다.As the lower layer film forming material of the present embodiment, a cross-linking accelerator for promoting cross-linking and curing reaction may be used if necessary.

상기 가교촉진제로는, 가교, 경화반응을 촉진시키는 것이면, 특별히 한정되지 않으나, 예를 들어, 아민류, 이미다졸류, 유기 포스핀류, 루이스산 등을 들 수 있다. 이들 가교촉진제는, 1종을 단독으로, 혹은 2종 이상을 조합하여 이용할 수 있다. 이들 중에서도 이미다졸류 또는 유기 포스핀류가 바람직하고, 가교온도의 저온화의 관점으로부터, 이미다졸류가 보다 바람직하다.Examples of the crosslinking accelerator include amines, imidazoles, organic phosphines, and Lewis acids, as long as they facilitate crosslinking and curing reactions. These crosslinking accelerators may be used singly or in combination of two or more. Of these, imidazoles or organic phosphines are preferable, and imidazoles are more preferable from the viewpoint of lowering the crosslinking temperature.

상기 가교촉진제로는, 이하로 한정되지 않으나, 예를 들어, 1,8-디아자비시클로(5,4,0)운데센-7, 트리에틸렌디아민, 벤질디메틸아민, 트리에탄올아민, 디메틸아미노에탄올, 트리스(디메틸아미노메틸)페놀 등의 3급아민, 2-메틸이미다졸, 2-페닐이미다졸, 2-에틸-4-메틸이미다졸, 2-페닐-4-메틸이미다졸, 2-헵타데실이미다졸, 2,4,5-트리페닐이미다졸 등의 이미다졸류, 트리부틸포스핀, 메틸디페닐포스핀, 트리페닐포스핀, 디페닐포스핀, 페닐포스핀 등의 유기 포스핀류, 테트라페닐포스포늄·테트라페닐보레이트, 테트라페닐포스포늄·에틸트리페닐보레이트, 테트라부틸포스포늄·테트라부틸보레이트 등의 테트라치환포스포늄·테트라치환보레이트, 2-에틸-4-메틸이미다졸·테트라페닐보레이트, N-메틸모르폴린·테트라페닐보레이트 등의 테트라페닐보론염 등을 들 수 있다.Examples of the crosslinking accelerator include, but are not limited to, 1,8-diazabicyclo (5,4,0) undecene-7, triethylenediamine, benzyldimethylamine, triethanolamine, dimethylaminoethanol, 2-phenylimidazole, 2-phenyl-4-methylimidazole, 2-phenyl-4-methylimidazole, 2-methylimidazole, Imidazoles such as heptadecylimidazole and 2,4,5-triphenylimidazole, and imidazoles such as tributylphosphine, methyldiphenylphosphine, triphenylphosphine, diphenylphosphine, phenylphosphine and the like Tetra-substituted phosphonium tetra-substituted borates such as tetraphenylphosphonium tetraphenylborate, tetraphenylphosphonium tetraphenylborate, tetraphenylphosphonium ethyltriphenylborate, tetrabutylphosphonium tetrabutylborate and the like, 2-ethyl- And tetraphenylboron salts such as N-methylmorpholine, tetraphenylborate and the like, .

가교촉진제의 배합량으로는, 통상, 하층막 형성재료 전체를 100질량부로 한 경우에, 바람직하게는 0.1~10질량부이고, 보다 바람직하게는, 제어의 용이함 및 경제성의 관점으로부터 0.1~5질량부이고, 더욱 바람직하게는 0.1~3질량부이다.The blending amount of the crosslinking accelerator is usually from 0.1 to 10 parts by mass, preferably from 0.1 to 5 parts by mass, more preferably from 0.1 to 5 parts by mass from the viewpoints of control easiness and economical efficiency when the total amount of the lower layer film forming material is 100 parts by mass More preferably 0.1 to 3 parts by mass.

[라디칼 중합개시제][Radical polymerization initiator]

본 실시형태의 하층막 형성재료에는, 필요에 따라 라디칼 중합개시제를 배합할 수 있다. 라디칼 중합개시제로는, 광에 의해 라디칼중합을 개시시키는 광중합개시제일 수도 있고, 열에 의해 라디칼중합을 개시시키는 열중합개시제일 수도 있다.The lower layer film forming material of the present embodiment may contain a radical polymerization initiator if necessary. The radical polymerization initiator may be a photopolymerization initiator that initiates radical polymerization by light, or may be a thermal polymerization initiator that initiates radical polymerization by heat.

이러한 라디칼 중합개시제로는, 특별히 제한되지 않고, 종래 이용되고 있는 것을 적당히 채용할 수 있다. 예를 들어, 1-하이드록시시클로헥실페닐케톤, 벤질디메틸케탈, 2-하이드록시-2-메틸-1-페닐프로판-1-온, 1-[4-(2-하이드록시에톡시)-페닐]-2-하이드록시-2-메틸-1-프로판-1-온, 2-하이드록시-1-{4-[4-(2-하이드록시-2-메틸-프로피오닐)-벤질]페닐}-2-메틸프로판-1-온, 2,4,6-트리메틸벤조일-디페닐-포스핀옥사이드, 비스(2,4,6-트리메틸벤조일)-페닐포스핀옥사이드 등의 케톤계 광중합개시제, 메틸에틸케톤퍼옥사이드, 시클로헥사논퍼옥사이드, 메틸시클로헥사논퍼옥사이드, 메틸아세토아세테이트퍼옥사이드, 아세틸아세테이트퍼옥사이드, 1,1-비스(t-헥실퍼옥시)-3,3,5-트리메틸시클로헥산, 1,1-비스(t-헥실퍼옥시)-시클로헥산, 1,1-비스(t-부틸퍼옥시)-3,3,5-트리메틸시클로헥산, 1,1-비스(t-부틸퍼옥시)-2-메틸시클로헥산, 1,1-비스(t-부틸퍼옥시)-시클로헥산, 1,1-비스(t-부틸퍼옥시)시클로도데칸, 1,1-비스(t-부틸퍼옥시)부탄, 2,2-비스(4,4-디-t-부틸퍼옥시시클로헥실)프로판, p-멘탄하이드로퍼옥사이드, 디이소프로필벤젠하이드로퍼옥사이드, 1,1,3,3-테트라메틸부틸하이드로퍼옥사이드, 큐멘하이드로퍼옥사이드, t-헥실하이드로퍼옥사이드, t-부틸하이드로퍼옥사이드, α,α’-비스(t-부틸퍼옥시)디이소프로필벤젠, 디큐밀퍼옥사이드, 2,5-디메틸-2,5-비스(t-부틸퍼옥시)헥산, t-부틸큐밀퍼옥사이드, 디-t-부틸퍼옥사이드, 2,5-디메틸-2,5-비스(t-부틸퍼옥시)헥신-3, 이소부티릴퍼옥사이드, 3,5,5-트리메틸헥사노일퍼옥사이드, 옥타노일퍼옥사이드, 라우로일퍼옥사이드, 스테아로일퍼옥사이드, 석신산퍼옥사이드, m-톨루오일벤조일퍼옥사이드, 벤조일퍼옥사이드, 디-n-프로필퍼옥시디카보네이트, 디이소프로필퍼옥시디카보네이트, 비스(4-t-부틸시클로헥실)퍼옥시디카보네이트, 디-2-에톡시에틸퍼옥시디카보네이트, 디-2-에톡시헥실퍼옥시디카보네이트, 디-3-메톡시부틸퍼옥시디카보네이트, 디-s-부틸퍼옥시디카보네이트, 디(3-메틸-3-메톡시부틸)퍼옥시디카보네이트, α,α’-비스(네오데카노일퍼옥시)디이소프로필벤젠, 큐밀퍼옥시네오데카노에이트, 1,1,3,3-테트라메틸부틸퍼옥시네오데카노에이트, 1-시클로헥실-1-메틸에틸퍼옥시네오데카노에이트, t-헥실퍼옥시네오데카노에이트, t-부틸퍼옥시네오데카노에이트, t-헥실퍼옥시피발레이트, t-부틸퍼옥시피발레이트, 1,1,3,3-테트라메틸부틸퍼옥시-2-에틸헥사노에이트(ヘキサノオエ-ト), 2,5-디메틸-2,5-비스(2-에틸헥사노일퍼옥시)헥사노에이트(ヘキサノエ-ト), 1-시클로헥실-1-메틸에틸퍼옥시-2-에틸헥사노에이트, t-헥실퍼옥시-2-에틸헥사노에이트, t-부틸퍼옥시-2-에틸헥사노에이트, t-헥실퍼옥시이소프로필모노카보네이트, t-부틸퍼옥시이소부티레이트, t-부틸퍼옥시말레이트, t-부틸퍼옥시-3,5,5-트리메트르헥사노에이트, t-부틸퍼옥시라우레이트, t-부틸퍼옥시이소프로필모노카보네이트, t-부틸퍼옥시-2-에틸헥실모노카보네이트, t-부틸퍼옥시아세테이트, t-부틸퍼옥시-m-톨루일벤조에이트, t-부틸퍼옥시벤조에이트, 비스(t-부틸퍼옥시)이소프탈레이트, 2,5-디메틸-2,5-비스(m-톨루일퍼옥시)헥산, t-헥실퍼옥시벤조에이트, 2,5-디메틸-2,5-비스(벤조일퍼옥시)헥산, t-부틸퍼옥시알릴모노카보네이트, t-부틸트리메틸실릴퍼옥사이드, 3,3’,4,4’-테트라(t-부틸퍼옥시카르보닐)벤조페논, 2,3-디메틸-2,3-디페닐부탄 등의 유기 과산화물계 중합개시제를 들 수 있다.Such a radical polymerization initiator is not particularly limited, and any conventionally used radical polymerization initiator can be suitably employed. For example, 1-hydroxycyclohexyl phenyl ketone, benzyldimethyl ketal, 2-hydroxy-2-methyl-1-phenylpropan-1-one, 1- [4- (2-hydroxyethoxy) 2-methyl-1-propan-1-one, 2-hydroxy-1- {4- [4- (2- Ketone-based photopolymerization initiators such as 2,4,6-trimethylbenzoyl-diphenyl-phosphine oxide and bis (2,4,6-trimethylbenzoyl) -phenylphosphine oxide; Ethylhexyl ketone peroxide, ethyl ketone peroxide, cyclohexanone peroxide, methylcyclohexanone peroxide, methyl acetoacetate peroxide, acetylacetate peroxide, 1,1-bis (t-hexylperoxy) -3,3,5-trimethylcyclohexane, (T-butylperoxy) -cyclohexane, 1,1-bis (t-butylperoxy) -3,3,5-trimethylcyclohexane, 1,1- ) -2-methylcyclohexane, 1,1-bis (t-butylperoxy) -cyclohexane, 1,1- Bis (4,4-di-t-butylperoxycyclohexyl) propane, p-menthol hydroperoxide, di Butyl hydroperoxide, t-butyl hydroperoxide, t-butyl hydroperoxide, t-butyl hydroperoxide, 1,1,3,3-tetramethyl butyl hydroperoxide, cumene hydroperoxide, t- Butylperoxy) diisopropylbenzene, dicumylperoxide, 2,5-dimethyl-2,5-bis (t-butylperoxy) hexane, Dimethyl-2,5-bis (t-butylperoxy) hexyne-3, isobutyryl peroxide, 3,5,5-trimethylhexanoyl peroxide, octanoyl peroxide, lauroyl peroxide, Di-n-propyl peroxydicarbonate, diisopropyl peroxydicarbonate, bis (4-t-butyl) propyl peroxydicarbonate, Cyclohexyl) peroxydicarbonate, di-2-ethoxyethyl peroxydicarbonate, di-2-ethoxyhexyl peroxydicarbonate, di-3-methoxybutyl peroxydicarbonate, di- (3-methyl-3-methoxybutyl) peroxydicarbonate, α, α'-bis (neodecanoylperoxy) diisopropylbenzene, cumylperoxy neodecanoate, 1,1,3,3-tetra Methyl butyl peroxyneodecanoate, 1-cyclohexyl-1-methyl ethyl peroxyneodecanoate, t-hexyl peroxyneodecanoate, t-butyl peroxyneodecanoate, t-hexyl peroxy Butyl peroxypivalate, 1,1,3,3-tetramethylbutylperoxy-2-ethylhexanoate (hexanooate), 2,5-dimethyl-2,5-bis (2 Ethylhexanoylperoxy) hexanoate (hexanoate), 1-cyclohexyl-1-methylethylperoxy-2-ethylhexanoate, t-hexylperoxy-2-ethylhexanoate, t- part T-butylperoxy-2-ethylhexanoate, t-hexylperoxyisopropylmonocarbonate, t-butylperoxyisobutyrate, t-butylperoxymaleate, t-butylperoxy- T-butyl peroxyacetate, t-butyl peroxy-t-butyl peroxyacetate, t-butyl peroxyacetate, t-butyl peroxyacetate, Butyl peroxybenzoate, bis (t-butylperoxy) isophthalate, 2,5-dimethyl-2,5-bis (m-toluylperoxy) hexane, t- Butyl peroxyallyl monocarbonate, t-butyltrimethylsilyl peroxide, 3,3 ', 4,4'-tetra ((2,2,2,2- t-butyl peroxycarbonyl) benzophenone, and 2,3-dimethyl-2,3-diphenylbutane.

또한, 2-페닐아조-4-메톡시-2,4-디메틸발레로니트릴, 1-[(1-시아노-1-메틸에틸)아조]포름아미드, 1,1’-아조비스(시클로헥산-1-카르보니트릴), 2,2’-아조비스(2-메틸부티로니트릴), 2,2’-아조비스이소부티로니트릴, 2,2’-아조비스(2,4-디메틸발레로니트릴), 2,2’-아조비스(2-메틸프로피온아미딘)디하이드로클로라이드, 2,2’-아조비스(2-메틸-N-페닐프로피온아미딘)디하이드로클로라이드, 2,2’-아조비스[N-(4-클로로페닐)-2-메틸프로피온아미딘]디하이드라이드클로라이드, 2,2’-아조비스[N-(4-하이드로페닐)-2-메틸프로피온아미딘]디하이드로클로라이드, 2,2’-아조비스[2-메틸-N-(페닐메틸)프로피온아미딘]디하이드로클로라이드, 2,2’-아조비스[2-메틸-N-(2-프로페닐)프로피온아미딘]디하이드로클로라이드, 2,2’-아조비스[N-(2-하이드록시에틸)-2-메틸프로피온아미딘]디하이드로클로라이드, 2,2’-아조비스[2-(5-메틸-2-이미다졸린-2-일)프로판]디하이드로클로라이드, 2,2’-아조비스[2-(2-이미다졸린-2-일)프로판]디하이드로클로라이드, 2,2’-아조비스[2-(4,5,6,7-테트라하이드로-1H-1,3-디아제핀-2-일)프로판]디하이드로클로라이드, 2,2’-아조비스[2-(3,4,5,6-테트라하이드로피리미딘-2-일)프로판]디하이드로클로라이드, 2,2’-아조비스[2-(5-하이드록시-3,4,5,6-테트라하이드로피리미딘-2-일)프로판]디하이드로클로라이드, 2,2’-아조비스[2-[1-(2-하이드록시에틸)-2-이미다졸린-2-일]프로판]디하이드로클로라이드, 2,2’-아조비스[2-(2-이미다졸린-2-일)프로판], 2,2’-아조비스[2-메틸-N-[1,1-비스(하이드록시메틸)-2-하이드록시에틸]프로피온아미드], 2,2’-아조비스[2-메틸-N-[1,1-비스(하이드록시메틸)에틸]프로피온아미드], 2,2’-아조비스[2-메틸-N-(2-하이드록시에틸)프로피온아미드], 2,2’-아조비스(2-메틸프로피온아미드), 2,2’-아조비스(2,4,4-트리메틸펜탄), 2,2’-아조비스(2-메틸프로판), 디메틸-2,2-아조비스(2-메틸프로피오네이트), 4,4’-아조비스(4-시아노펜탄산), 2,2’-아조비스[2-(하이드록시메틸)프로피오니트릴] 등의 아조계 중합개시제도 들 수 있다. 본 실시형태에 있어서의 라디칼 중합개시제로는, 이들 중 1종을 단독으로 이용할 수도 2종 이상을 조합하여 이용할 수도 있고, 다른 공지의 중합개시제를 추가로 조합하여 이용할 수도 있다.Also, examples of the azo compounds include 2-phenylazo-4-methoxy-2,4-dimethylvaleronitrile, 1 - [(1-cyano- Azobis (2-methylbutyronitrile), 2,2'-azobisisobutyronitrile, 2,2'-azobis (2,4-dimethylvalero Aziridine), 2,2'-azobis (2-methylpropionamidine) dihydrochloride, 2,2'-azobis (2-methyl-N- phenylpropionamidine) dihydrochloride, 2,2'- Azobis [N- (4-chlorophenyl) -2-methylpropionamidine] dihydrochloride, 2,2'-azobis [N- Azobis [2-methyl-N- (2-propenyl) propionamidine] dihydrochloride, 2,2'- Dihydro] dihydrochloride, 2,2'-azobis [N- (2-hydroxyethyl) -2-methylpropionamidine] Azo compounds such as 2,2'-azobis [2- (5-methyl-2-imidazolin-2-yl) propane] dihydrochloride, 2,2'- Dihydrochloride, 2,2'-azobis [2- (4,5,6,7-tetrahydro-1H-1,3-diazepin-2-yl) propane] dihydrochloride Azo [2- (3,4,5,6-tetrahydropyrimidin-2-yl) propane] dihydrochloride, 2,2'-azobis [2- Dihydrochloride, 2,2'-azobis [2- [1- (2-hydroxyethyl) -2-imide 2-yl] propane] dihydrochloride, 2,2'-azobis [2- (2-imidazolin- Bis [(hydroxymethyl) ethyl] propionamide], 2,2'-azobis [2-methyl-N- [1,1- Amide], 2,2'-azobis [2-methyl-N- ( Azobis (2-methylpropionamide), 2,2'-azobis (2,4,4-trimethylpentane), 2,2'-azobis (2-methylpropionate), 4,4'-azobis (4-cyanopentanoic acid), 2,2'-azobis [2- Hydroxymethyl) propionitrile], and the like. As the radical polymerization initiator in the present embodiment, one of these radical polymerization initiators may be used alone or in combination of two or more, and other known polymerization initiators may be further used in combination.

상기 라디칼 중합개시제의 함유량으로는, 화학양론적으로 필요한 양이면 되는데, 상기 하층막 형성재료를 100질량부로 한 경우에 0.05~25질량부인 것이 바람직하고, 0.1~10질량부인 것이 보다 바람직하다. 라디칼 중합개시제의 함유량이 0.05질량부 이상인 경우에는, 경화가 불충분해지는 것을 방지할 수 있는 경향이 있고, 한편, 라디칼 중합개시제의 함유량이 25질량부 이하인 경우에는, 하층막 형성재료의 실온에서의 장기보존안정성이 손상되는 것을 방지할 수 있는 경향이 있다.The radical polymerization initiator may be contained in an amount that is stoichiometrically required. When the amount of the lower layer film forming material is 100 parts by mass, the content is preferably 0.05 to 25 parts by mass, more preferably 0.1 to 10 parts by mass. When the content of the radical polymerization initiator is 0.05 parts by mass or more, insufficient curing tends to be prevented. On the other hand, when the content of the radical polymerization initiator is 25 parts by mass or less, The storage stability tends to be prevented from being impaired.

[산발생제][Acid generator]

본 실시형태의 하층막 형성재료는, 열에 의한 가교반응을 더욱 촉진시키는 등의 관점으로부터, 필요에 따라 산발생제를 함유하고 있을 수도 있다. 산발생제로는, 열분해에 의해 산을 발생하는 것, 광조사에 의해 산을 발생하는 것 등이 알려져 있는데, 어느 것이나 사용할 수 있다. 예를 들어, 국제공개 제2013/024779호에 기재된 것을 이용할 수 있다.The lower layer film forming material of the present embodiment may contain an acid generator if necessary from the viewpoint of further promoting the crosslinking reaction by heat or the like. As the acid generating agent, it is known that an acid is generated by thermal decomposition and an acid is generated by light irradiation, and any of them can be used. For example, those described in International Publication No. 2013/024779 can be used.

본 실시형태의 하층막 형성재료에 있어서, 산발생제의 함유량은, 특별히 한정되지 않으나, 하층막 형성재료 100질량부에 대해, 0.1~50질량부인 것이 바람직하고, 보다 바람직하게는 0.5~40질량부이다. 상기 바람직한 범위로 함으로써, 산발생량이 많아져 가교반응이 높아지는 경향이 있고, 또한, 레지스트층과의 믹싱현상의 발생이 억제되는 경향이 있다.In the lower layer film forming material of the present embodiment, the content of the acid generating agent is not particularly limited, but is preferably 0.1 to 50 parts by mass, more preferably 0.5 to 40 parts by mass with respect to 100 parts by mass of the lower layer film forming material Wealth. By setting the above-mentioned range to the above range, the amount of acid generated is increased, the crosslinking reaction tends to be enhanced, and the occurrence of the mixing phenomenon with the resist layer tends to be suppressed.

[염기성 화합물][Basic compound]

나아가, 본 실시형태의 하층막 형성재료는, 보존안정성을 향상시키는 등의 관점으로부터, 염기성 화합물을 함유하고 있을 수도 있다.Further, the lower layer film forming material of the present embodiment may contain a basic compound from the viewpoint of improving storage stability and the like.

염기성 화합물은, 산발생제로부터 미량 발생한 산이 가교반응을 진행시키는 것을 방지하기 위한, 산에 대한 ?차의 역할을 한다. 이러한 염기성 화합물로는, 특별히 한정되지 않으나, 예를 들어, 국제공개 제2013/024779호에 기재된 것을 들 수 있다.The basic compound plays a role of an acid to prevent an acid generated from a small amount of an acid from proceeding a crosslinking reaction. Such a basic compound is not particularly limited, and examples thereof include those described in International Publication No. 2013/024779.

본 실시형태에 있어서의 하층막 형성재료에 있어서, 염기성 화합물의 함유량은, 특별히 한정되지 않으나, 하층막 형성재료 100질량부에 대해, 0.001~2질량부인 것이 바람직하고, 보다 바람직하게는 0.01~1질량부이다. 상기 바람직한 범위로 함으로써, 가교반응을 과도하게 손상시키는 일 없이 보존안정성이 높아지는 경향이 있다.The content of the basic compound in the lower layer film forming material in the present embodiment is not particularly limited, but is preferably 0.001 to 2 parts by mass, more preferably 0.01 to 1 part by mass with respect to 100 parts by mass of the lower layer film forming material Mass part. By setting the amount in the above-mentioned preferable range, the storage stability tends to be enhanced without excessively damaging the crosslinking reaction.

[기타 첨가제][Other additives]

또한, 본 실시형태에 있어서의 하층막 형성재료는, 열이나 광에 의한 경화성의 부여나 흡광도를 컨트롤할 목적으로, 다른 수지 및/또는 화합물을 함유하고 있을 수도 있다. 이러한 다른 수지 및/또는 화합물로는, 나프톨 수지, 자일렌 수지 나프톨변성 수지, 나프탈렌 수지의 페놀변성 수지, 폴리하이드록시스티렌, 디시클로펜타디엔 수지, (메트)아크릴레이트, 디메타크릴레이트, 트리메타크릴레이트, 테트라메타크릴레이트, 비닐나프탈렌, 폴리아세나프틸렌 등의 나프탈렌환, 페난트렌퀴논, 플루오렌 등의 비페닐환, 티오펜, 인덴 등의 헤테로원자를 갖는 복소환을 포함하는 수지나 방향족환을 포함하지 않는 수지; 로진계 수지, 시클로덱스트린, 아다만탄(폴리)올, 트리시클로데칸(폴리)올 및 이들의 유도체 등의 지환구조를 포함하는 수지 또는 화합물 등을 들 수 있는데, 이것들로 특별히 한정되지 않는다. 나아가, 본 실시형태에 있어서의 하층막 형성재료는, 공지의 첨가제를 함유하고 있을 수도 있다. 상기 공지의 첨가제로는, 이하로 한정되지 않으나, 예를 들어, 열 및/또는 광경화촉매, 중합금지제, 난연제, 충전제, 커플링제, 열경화성 수지, 광경화성 수지, 염료, 안료, 증점제, 활제, 소포제, 레벨링제, 자외선흡수제, 계면활성제, 착색제, 비이온계 계면활성제 등을 들 수 있다.The lower layer film forming material in this embodiment may contain other resins and / or compounds for the purpose of imparting curability by heat or light and controlling the absorbance. Examples of other resins and / or compounds include naphthol resins, xylene resin naphthol-modified resins, phenol-modified resins of naphthalene resins, polyhydroxystyrene, dicyclopentadiene resins, (meth) acrylates, dimethacrylates, Naphthalene rings such as methacrylate, tetramethacrylate, vinylnaphthalene and polyacenaphthylene, biphenyl rings such as phenanthrenequinone and fluorene, and heterocyclic rings having hetero atoms such as thiophene and indene. A resin not containing an aromatic ring; A resin or a compound containing an alicyclic structure such as a rosin resin, a cyclodextrin, an adamantane (poly) ol, a tricyclodecane (poly) ol or a derivative thereof, and the like. Furthermore, the lower layer film forming material in this embodiment mode may contain known additives. The known additives include, but are not limited to, for example, thermal and / or photocurable catalysts, polymerization inhibitors, flame retardants, fillers, coupling agents, thermosetting resins, photocurable resins, dyes, pigments, thickeners, , A defoaming agent, a leveling agent, an ultraviolet absorber, a surfactant, a colorant, and a nonionic surfactant.

[리소그래피용 하층막 및 다층 레지스트패턴의 형성방법][Lower layer film for lithography and method of forming multi-layer resist pattern]

본 실시형태에 있어서의 리소그래피용 하층막은, 상기 하층막 형성재료로부터 형성된다.The lower layer film for lithography in this embodiment is formed from the lower layer film forming material.

또한, 본 실시형태의 레지스트패턴 형성방법은, 상기 조성물을 이용하여 기판 상에 하층막을 형성하고, 이 하층막 상에, 적어도 1층의 포토레지스트층을 형성한 후, 이 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상을 행하는 공정을 포함한다. 보다 상세하게는, 기판 상에, 본 실시형태의 하층막 형성재료를 이용하여 하층막을 형성하는 공정(A-1)과, 상기 하층막 상에, 적어도 1층의 포토레지스트층을 형성하는 공정(A-2)과, 상기 (A-2)공정 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상을 행하는 공정(A-3)을 갖는다.The resist pattern forming method of the present embodiment is a method for forming a resist pattern by forming a lower layer film on a substrate using the composition and forming at least one photoresist layer on the lower layer film, Irradiating the region with radiation, and performing development. (A-1) forming a lower layer film using the lower layer film forming material of the present embodiment on a substrate, a step of forming at least one photoresist layer on the lower layer film And (A-2) a step of irradiating a predetermined region of the photoresist layer with radiation after the step (A-2), and performing development.

나아가, 본 실시형태의 회로패턴 형성방법은, 상기 조성물을 이용하여 기판 상에 하층막을 형성하고, 이 하층막 상에 레지스트 중간층막재료를 이용하여 중간층막을 형성하고, 이 중간층막 상에, 적어도 1층의 포토레지스트층을 형성하는 공정, 해당 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상하여 레지스트패턴을 형성하는 공정, 해당 레지스트패턴을 마스크로 하여 상기 중간층막을 에칭하고, 얻어진 중간층막패턴을 에칭마스크로 하여 상기 하층막을 에칭하고, 얻어진 하층막패턴을 에칭마스크로 하여 기판을 에칭함으로써 기판에 패턴을 형성하는 공정을 포함한다.Further, the circuit pattern forming method of the present embodiment is a method of forming a circuit pattern by forming a lower layer film on a substrate by using the above composition, forming an intermediate layer film on the lower layer film by using a resist interlayer film material, A step of forming a photoresist layer of the photoresist layer, a step of irradiating a predetermined region of the photoresist layer with radiation and developing to form a resist pattern, the intermediate layer film is etched using the resist pattern as a mask, And etching the substrate using the obtained lower layer film pattern as an etching mask to form a pattern on the substrate.

보다 상세하게는, 기판 상에, 본 실시형태의 하층막 형성재료를 이용하여 하층막을 형성하는 공정(B-1)과, 상기 하층막 상에, 규소원자를 함유하는 레지스트 중간층막재료를 이용하여 중간층막을 형성하는 공정(B-2)과, 상기 중간층막 상에, 적어도 1층의 포토레지스트층을 형성하는 공정(B-3)과, 상기 공정(B-3) 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상하여 레지스트패턴을 형성하는 공정(B-4)과, 상기 공정(B-4) 후, 상기 레지스트패턴을 마스크로 하여 상기 중간층막을 에칭하고, 얻어진 중간층막패턴을 에칭마스크로 하여 상기 하층막을 에칭하고, 얻어진 하층막패턴을 에칭마스크로 하여 기판을 에칭함으로써 기판에 패턴을 형성하는 공정(B-5)을 갖는다.(B-1) of forming a lower layer film using the lower layer film forming material of the present embodiment on a substrate and a step (B-1) of forming a lower layer film on the lower layer film using a resist interlayer film material containing silicon atoms A step (B-3) of forming at least one photoresist layer on the intermediate layer film; and a step (B-2) of forming a photoresist layer after the step (B-3) A step (B-4) of forming a resist pattern by irradiating a predetermined region with radiation and developing the resist pattern; and (B-4) a step of etching the intermediate layer film using the resist pattern as a mask, (B-5) of forming a pattern on the substrate by etching the lower layer film using the lower layer film as an etching mask and etching the substrate using the obtained lower layer film pattern as an etching mask.

본 실시형태에 있어서의 리소그래피용 하층막은, 본 실시형태의 하층막 형성재료로부터 형성되는 것이면, 그 형성방법은 특별히 한정되지 않고, 공지의 수법을 적용할 수 있다. 예를 들어, 본 실시형태의 하층막재료를 스핀코트나 스크린인쇄 등의 공지의 도포법 혹은 인쇄법 등으로 기판 상에 부여한 후, 유기용매를 휘발시키거나 하여 제거하고, 이어서, 공지의 방법으로 가교, 경화시켜, 본 실시형태의 리소그래피용 하층막을 형성할 수 있다. 가교방법으로는, 열경화, 광경화 등의 수법을 들 수 있다.The forming method of the lower layer film for lithography in the present embodiment is not particularly limited as long as it is formed from the lower layer film forming material of the present embodiment, and a known method can be applied. For example, the lower layer film material of the present embodiment is applied on a substrate by a known coating method such as spin coating or screen printing, printing or the like, and then the organic solvent is removed by volatilization, And the lower layer film for lithography of the present embodiment can be formed. Examples of the crosslinking method include thermosetting and photo-curing.

하층막의 형성시에는, 상층 레지스트와의 믹싱현상의 발생을 억제함과 함께 가교반응을 촉진시키기 위해, 베이크를 실시하는 것이 바람직하다. 이 경우, 베이크온도는, 특별히 한정되지 않으나, 80~450℃의 범위 내인 것이 바람직하고, 보다 바람직하게는 200~400℃이다. 또한, 베이크시간도, 특별히 한정되지 않으나, 10~300초의 범위 내인 것이 바람직하다. 한편, 하층막의 두께는, 요구성능에 따라 적당히 선정할 수 있고, 특별히 한정되지 않으나, 통상, 30~20000nm 정도인 것이 바람직하고, 보다 바람직하게는 50~15000nm이다.At the time of forming the lower layer film, it is preferable to perform baking in order to suppress the occurrence of the mixing phenomenon with the upper layer resist and accelerate the crosslinking reaction. In this case, the bake temperature is not particularly limited, but is preferably in the range of 80 to 450 占 폚, more preferably 200 to 400 占 폚. The baking time is not particularly limited, but is preferably in the range of 10 to 300 seconds. On the other hand, the thickness of the lower layer film can be appropriately selected in accordance with the required performance, and is not particularly limited, but is usually about 30 to 20,000 nm, more preferably 50 to 15,000 nm.

하층막을 제작한 후, 2층 프로세스의 경우는 그 위에 규소함유 레지스트층, 혹은 통상의 탄화수소로 이루어지는 단층 레지스트, 3층 프로세스의 경우는 그 위에 규소함유 중간층, 다시 그 위에 규소를 포함하지 않는 단층 레지스트층을 제작하는 것이 바람직하다. 이 경우, 이 레지스트층을 형성하기 위한 포토레지스트재료로는 공지의 것을 사용할 수 있다.In the case of a two-layer process, a silicon-containing resist layer or a single-layer resist made of ordinary hydrocarbon, a silicon-containing intermediate layer on the three-layer process, and a silicon- It is preferable to produce a layer. In this case, known photoresist materials for forming the resist layer can be used.

기판 상에 하층막을 제작한 후, 2층 프로세스의 경우는 그 하층막 상에 규소함유 레지스트층 혹은 통상의 탄화수소로 이루어지는 단층 레지스트를 제작할 수 있다. 3층 프로세스의 경우는 그 하층막 상에 규소함유 중간층, 다시 그 규소함유 중간층 상에 규소를 포함하지 않는 단층 레지스트층을 제작할 수 있다. 이들 경우에 있어서, 레지스트층을 형성하기 위한 포토레지스트재료는, 공지의 것으로부터 적당히 선택하여 사용할 수 있고, 특별히 한정되지 않는다.A lower layer film is formed on a substrate, and in the case of a two-layer process, a silicon-containing resist layer or a normal single-layer resist composed of hydrocarbon can be formed on the lower layer film. In the case of the three-layer process, a silicon-containing intermediate layer on the lower layer film and a single-layer resist layer not containing silicon on the silicon-containing intermediate layer can be produced. In these cases, the photoresist material for forming the resist layer can be appropriately selected from known ones and is not particularly limited.

2층 프로세스용의 규소함유 레지스트재료로는, 산소가스에칭내성의 관점으로부터, 베이스폴리머로서 폴리실세스퀴옥산 유도체 또는 비닐실란 유도체 등의 규소원자함유 폴리머를 사용하고, 추가로 유기용매, 산발생제, 필요에 따라 염기성 화합물 등을 포함하는 포지티브형의 포토레지스트재료가 바람직하게 이용된다. 여기서 규소원자함유 폴리머로는, 이러한 종류의 레지스트재료에 있어서 이용되고 있는 공지의 폴리머를 사용할 수 있다.As a silicon-containing resist material for a two-layer process, a silicon-containing polymer such as a polysilsesquioxane derivative or a vinylsilane derivative is used as a base polymer from the viewpoint of oxygen gas etching resistance, and further, an organic solvent, And a positive type photoresist material including a basic compound, if necessary, is preferably used. As the silicon atom-containing polymer, known polymers used in resist materials of this kind can be used.

3층 프로세스용의 규소함유 중간층으로는 폴리실세스퀴옥산베이스의 중간층이 바람직하게 이용된다. 중간층에 반사방지막으로서 효과를 갖게 함으로써, 효과적으로 반사를 억제할 수 있는 경향이 있다. 예를 들어, 193nm노광용 프로세스에 있어서, 하층막으로서 방향족기를 많이 포함하고 기판에칭내성이 높은 재료를 이용하면, k값이 높아지고, 기판반사가 높아지는 경향이 있는데, 중간층으로 반사를 억제함으로써, 기판반사를 0.5% 이하로 할 수 있다. 이러한 반사방지효과가 있는 중간층으로는, 이하로 한정되지 않으나, 193nm 노광용으로는 페닐기 또는 규소-규소결합을 갖는 흡광기가 도입된, 산 혹은 열로 가교하는 폴리실세스퀴옥산이 바람직하게 이용된다.As the silicon-containing intermediate layer for the three-layer process, an intermediate layer of a polysilsesquioxane base is preferably used. Reflection layer on the intermediate layer, there is a tendency that the reflection can be effectively suppressed. For example, in a process for 193 nm exposure, when a material containing a large amount of aromatic groups as a lower layer film and having high substrate etching resistance is used, the k value tends to be high and substrate reflection tends to be high. By suppressing reflection to the intermediate layer, To 0.5% or less. The intermediate layer having such an antireflection effect is preferably, but not limited to, an acid or thermally crosslinked polysilsesquioxane having a phenyl group or a light-absorbing group having a silicon-silicon bond introduced therein for 193 nm exposure.

또한, Chemical Vapour Deposition(CVD)법으로 형성한 중간층을 이용할 수도 있다. CVD법으로 제작한 반사방지막으로서의 효과가 높은 중간층으로는, 이하로 한정되지 않으나, 예를 들어, SiON막이 알려져 있다. 일반적으로는, CVD법보다 스핀코트법이나 스크린인쇄 등의 습식 프로세스에 의한 중간층의 형성인 것이, 간편하고 비용적인 메리트가 있다. 한편, 3층 프로세스에 있어서의 상층 레지스트는, 포지티브형이어도 네거티브형이어도 어느 것이어도 되며, 또한, 통상 이용되고 있는 단층 레지스트와 동일한 것을 이용할 수 있다.An intermediate layer formed by a chemical vapor deposition (CVD) method may also be used. The intermediate layer which is highly effective as an antireflection film produced by the CVD method is not limited to the following, but for example, a SiON film is known. In general, the intermediate layer is formed by a wet process such as a spin coating method or a screen printing method rather than the CVD method, which is advantageous in terms of simplicity and cost. On the other hand, the upper-layer resist in the three-layer process may be either positive or negative, and may be the same as a commonly used single-layer resist.

나아가, 본 실시형태에 있어서의 하층막은, 통상의 단층 레지스트용의 반사방지막 혹은 패턴무너짐 억제를 위한 하지재로서 이용할 수도 있다. 본 실시형태의 하층막은, 하지가공을 위한 에칭내성이 우수하므로, 하지가공을 위한 하드마스크로서의 기능도 기대할 수 있다.Furthermore, the lower layer film in the present embodiment can be used as a general antireflection film for single-layer resist or as a foundation material for suppressing pattern collapse. Since the lower layer film of the present embodiment is excellent in etching resistance for undercut processing, a function as a hard mask for undercut processing can also be expected.

상기 포토레지스트재료에 의해 레지스트층을 형성하는 경우에 있어서는, 상기 하층막을 형성하는 경우와 마찬가지로, 스핀코트법이나 스크린인쇄 등의 습식 프로세스가 바람직하게 이용된다. 또한, 레지스트재료를 스핀코트법 등으로 도포한 후, 통상, 프리베이크가 행해지는데, 이 프리베이크는, 80~180℃에서 10~300초의 범위에서 행하는 것이 바람직하다. 그 후, 상법에 따라서, 노광을 행하고, 포스트 익스포저 베이크(PEB), 현상을 행함으로써, 레지스트패턴을 얻을 수 있다. 한편, 레지스트막의 두께는 특별히 제한되지 않으나, 일반적으로는, 30~500nm가 바람직하고, 보다 바람직하게는 50~400nm이다.In the case of forming the resist layer by the photoresist material, a wet process such as spin coating or screen printing is preferably used as in the case of forming the lower layer film. After the resist material is applied by spin coating or the like, prebaking is usually carried out. It is preferable that the prebaking is performed at 80 to 180 DEG C for 10 to 300 seconds. Thereafter, a resist pattern is obtained by performing exposure in accordance with a conventional method, and performing post exposure bake (PEB) and development. On the other hand, the thickness of the resist film is not particularly limited, but is generally from 30 to 500 nm, more preferably from 50 to 400 nm.

또한, 노광광은, 사용하는 포토레지스트재료에 따라 적당히 선택하여 이용하면 된다. 일반적으로는, 파장 300nm 이하의 고에너지선, 구체적으로는 248nm, 193nm, 157nm의 엑시머 레이저, 3~20nm의 연X선, 전자빔, X선 등을 들 수 있다.The exposure light may be suitably selected in accordance with the photoresist material to be used. Generally, a high energy ray having a wavelength of 300 nm or less, specifically, an excimer laser having a wavelength of 248 nm, 193 nm or 157 nm, a soft X-ray having a wavelength of 3 to 20 nm, an electron beam or an X-ray can be given.

상기 방법에 의해 형성되는 레지스트패턴은, 본 실시형태에 있어서의 하층막에 의해 패턴무너짐이 억제된 것이 된다. 이에 따라, 본 실시형태에 있어서의 하층막을 이용함으로써, 보다 미세한 패턴을 얻을 수 있고, 또한, 그 레지스트패턴을 얻기 위해 필요한 노광량을 저하시킬 수 있다.The resist pattern formed by the above method has pattern collapse suppressed by the lower layer film in the present embodiment. Thus, by using the lower layer film in the present embodiment, a finer pattern can be obtained, and the amount of exposure necessary for obtaining the resist pattern can be lowered.

다음에, 얻어진 레지스트패턴을 마스크로 하여 에칭을 행한다. 2층 프로세스에 있어서의 하층막의 에칭으로는, 가스에칭이 바람직하게 이용된다. 가스에칭으로는, 산소가스를 이용한 에칭이 호적하다. 산소가스에 더하여, He, Ar 등의 불활성가스나, CO, CO2, NH3, SO2, N2, NO2, H2가스를 첨가하는 것도 가능하다. 또한, 산소가스를 이용하지 않고, CO, CO2, NH3, N2, NO2, H2가스만으로 가스에칭을 행할 수도 있다. 특히 후자의 가스는, 패턴측벽의 언더컷 방지를 위한 측벽보호를 위해 바람직하게 이용된다.Next, etching is performed using the obtained resist pattern as a mask. As the etching of the lower layer film in the two-layer process, gas etching is preferably used. As gas etching, etching using oxygen gas is favorable. It is also possible to add an inert gas such as He or Ar or CO, CO 2 , NH 3 , SO 2 , N 2 , NO 2 or H 2 gas in addition to the oxygen gas. Further, gas etching can be performed using only CO, CO 2 , NH 3 , N 2 , NO 2 , and H 2 gas without using oxygen gas. Particularly, the latter gas is preferably used for side wall protection for preventing undercut of the pattern side wall.

한편, 3층 프로세스에 있어서의 중간층의 에칭에 있어서도, 가스에칭이 바람직하게 이용된다. 가스에칭으로는, 상기 2층 프로세스에 있어서 설명한 것과 동일한 것을 적용할 수 있다. 특히, 3층 프로세스에 있어서의 중간층의 가공은, 프론계의 가스를 이용하여 레지스트패턴을 마스크로 하여 행하는 것이 바람직하다. 그 후, 상기 서술한 바와 같이 중간층패턴을 마스크로 하여, 예를 들어 산소가스에칭을 행함으로써, 하층막의 가공을 행할 수 있다.On the other hand, also in the etching of the intermediate layer in the three-layer process, gas etching is preferably used. As the gas etching, the same process as described in the two-layer process can be applied. Particularly, it is preferable that the processing of the intermediate layer in the three-layer process is carried out using a resist pattern as a mask using a fluorine-based gas. Thereafter, the lower layer film can be processed by performing, for example, oxygen gas etching using the intermediate layer pattern as a mask as described above.

여기서, 중간층으로서 무기 하드 마스크 중간층막을 형성하는 경우는, CVD법이나 ALD법 등으로, 규소산화막, 규소질화막, 규소산화질화막(SiON막)이 형성된다. 질화막의 형성방법으로는, 이하로 한정되지 않으나, 예를 들어, 일본특허공개 2002-334869호 공보(특허문헌 6), WO2004/066377(특허문헌 7)에 기재된 방법을 이용할 수 있다. 이러한 중간층막 상에 직접 포토레지스트막을 형성할 수 있으나, 중간층막 상에 유기 반사방지막(BARC)을 스핀코트로 형성하여, 그 위에 포토레지스트막을 형성할 수도 있다.Here, when an inorganic hard mask intermediate layer film is formed as an intermediate layer, a silicon oxide film, a silicon nitride film, and a silicon oxynitride film (SiON film) are formed by a CVD method, an ALD method, or the like. The method for forming the nitride film is not limited to the following method, but the method described in Japanese Patent Application Laid-Open No. 2002-334869 (Patent Document 6) and WO2004 / 066377 (Patent Document 7) can be used. Although a photoresist film can be directly formed on such an interlayer film, an organic antireflection film (BARC) may be formed on the interlayer film by spin coating and a photoresist film may be formed thereon.

중간층으로서, 폴리실세스퀴옥산베이스의 중간층도 바람직하게 이용된다. 레지스트 중간층막에 반사방지막으로서 효과를 갖게 함으로써, 효과적으로 반사를 억제할 수 있는 경향이 있다. 폴리실세스퀴옥산베이스의 중간층의 구체적인 재료에 대해서는, 이하로 한정되지 않으나, 예를 들어, 일본특허공개 2007-226170호(특허문헌 8), 일본특허공개 2007-226204호(특허문헌 9)에 기재된 것을 이용할 수 있다.As the intermediate layer, an intermediate layer of a polysilsesquioxane base is also preferably used. By making the resist interlayer film serve as an antireflection film, there is a tendency to effectively suppress reflection. Specific materials of the intermediate layer of the polysilsesquioxane base are not limited to the following examples, but examples thereof include those described in Japanese Patent Application Laid-Open No. 2007-226170 (Patent Document 8) and Japanese Patent Application Laid-Open No. 2007-226204 (Patent Document 9) May be used.

또한, 다음 기판의 에칭도, 상법에 따라 행할 수 있고, 예를 들어, 기판이 SiO2, SiN이면 프론계 가스를 주체로 한 에칭, p-Si나 Al, W이면 염소계, 브롬계 가스를 주체로 한 에칭을 행할 수 있다. 기판을 프론계 가스로 에칭하는 경우, 2층 레지스트 프로세스의 규소함유 레지스트와 3층 프로세스의 규소함유 중간층은, 기판가공과 동시에 박리된다. 한편, 염소계 혹은 브롬계 가스로 기판을 에칭한 경우는, 규소함유 레지스트층 또는 규소함유 중간층의 박리가 별도로 행해지고, 일반적으로는, 기판가공 후에 프론계 가스에 의한 드라이에칭 박리가 행해진다.For example, when the substrate is made of SiO 2 or SiN, it is possible to etch the next substrate mainly by using a fluorine-based gas as the etching gas, p-Si or Al as the etching gas, or chlorine or bromine gas as the source of W, Etching can be performed. When the substrate is etched with a fluorine-based gas, the silicon-containing resist of the two-layer resist process and the silicon-containing intermediate layer of the three-layer process are peeled off simultaneously with the substrate processing. On the other hand, when the substrate is etched with a chlorine-based or bromine-based gas, the silicon-containing resist layer or the silicon-containing intermediate layer is peeled off separately, and dry etching peeling is generally performed with a fluorine-based gas after processing the substrate.

본 실시형태에 있어서의 하층막은, 이들 기판의 에칭내성이 우수한 특징이 있다. 한편, 기판은, 공지의 것을 적당히 선택하여 사용할 수 있고, 특별히 한정되지 않으나, Si, α-Si, p-Si, SiO2, SiN, SiON, W, TiN, Al 등을 들 수 있다. 또한, 기판은, 기재(지지체) 상에 피가공막(피가공기판)을 갖는 적층체일 수도 있다. 이러한 피가공막으로는, Si, SiO2, SiON, SiN, p-Si, α-Si, W, W-Si, Al, Cu, Al-Si 등 여러가지 Low-k막 및 그의 스토퍼막 등을 들 수 있고, 통상, 기재(지지체)와는 상이한 재질의 것이 이용된다. 한편, 가공대상이 되는 기판 혹은 피가공막의 두께는, 특별히 한정되지 않으나, 통상, 50~10,000nm 정도인 것이 바람직하고, 보다 바람직하게는 75~5000nm이다.The lower layer film in the present embodiment is characterized by excellent etching resistance of these substrates. Si, p-Si, SiO 2 , SiN, SiON, W, TiN, Al, and the like can be given as examples of the substrate to which a known substrate can be suitably selected. Further, the substrate may be a laminate having a workpiece (substrate to be processed) on a substrate (support). Various films such as Si, SiO 2 , SiON, SiN, p-Si, α-Si, W, W-Si, Al, Cu and Al- And usually a material different from that of the substrate (support) is used. On the other hand, the thickness of the substrate or the workpiece to be processed is not particularly limited, but is usually about 50 to 10,000 nm, more preferably about 75 to 5,000 nm.

본 실시형태에 있어서의 조성물을 도포하여 이루어지는 레지스트 영구막은, 필요에 따라 레지스트패턴을 형성한 후, 최종제품에도 잔존하는 영구막으로서 호적하다. 영구막의 구체예로는, 반도체 디바이스 관련에서는, 솔더레지스트, 패키지재, 언더필재, 회로소자 등의 패키지접착층이나 집적회로소자와 회로기판의 접착층, 박형 디스플레이 관련에서는, 박막트랜지스터보호막, 액정컬러필터보호막, 블랙매트릭스, 스페이서 등을 들 수 있다. 특히, 본 실시형태에 있어서의 조성물로 이루어지는 영구막은, 내열성이나 내습성이 우수한데다가 승화성분에 의한 오염성이 적다는 매우 우수한 이점도 갖는다. 특히 표시재료에 있어서, 중요한 오염에 의한 화질열화가 적은 고감도, 고내열, 흡습신뢰성을 겸비한 재료가 된다.The resist permanent film formed by applying the composition according to the present embodiment is suitable as a permanent film remaining after the resist pattern is formed, if necessary, in the final product. Specific examples of the permanent film include a thin film transistor protective film, a liquid crystal color filter protective film, and a thin film transistor protective film in connection with a package adhesive layer such as a solder resist, a package material, an underfill material, a circuit element, , A black matrix, a spacer, and the like. Particularly, the permanent film made of the composition according to the present embodiment has a very excellent advantage of being excellent in heat resistance and moisture resistance, and being less contaminated by sublimation components. In particular, it becomes a material having high sensitivity, high heat resistance, and hygroscopic reliability with less deterioration of image quality due to significant contamination in a display material.

본 실시형태에 있어서의 조성물을 레지스트 영구막 용도에 이용하는 경우에는, 경화제 이외에, 추가로 필요에 따라 기타 수지, 계면활성제나 염료, 충전제, 가교제, 용해촉진제 등의 각종 첨가제를 추가하고, 유기용제에 용해함으로써, 레지스트 영구막용 조성물로 할 수 있다.When the composition of the present embodiment is used for a resist permanent film application, various additives such as other resins, surfactants, dyes, fillers, crosslinking agents and dissolution accelerators are added in addition to the curing agent, To prepare a composition for a resist permanent film.

본 실시형태에 있어서의 리소그래피용 막형성 조성물이나 레지스트 영구막용 조성물은 상기 각 성분을 배합하고, 교반기 등을 이용하여 혼합함으로써 조제할 수 있다. 또한, 본 실시형태에 있어서의 레지스트 하층막용 조성물이나 레지스트 영구막용 조성물이 충전제나 안료를 함유하는 경우에는, 디졸바, 호모게나이저, 3본 롤밀 등의 분산장치를 이용하여 분산 혹은 혼합하여 조제할 수 있다.The composition for forming a film for lithography and the composition for a resist permanent film in the present embodiment can be prepared by mixing the components described above and mixing using a stirrer or the like. When the composition for a resist underlayer film or the composition for a resist permanent film in the present embodiment contains a filler or a pigment, it is dispersed or mixed using a dispersing device such as a dissolver, a homogenizer or a triple roll mill .

실시예Example

이하, 본 실시형태를 합성예 및 실시예를 통해 더욱 상세하게 설명하는데, 본 실시형태는, 이들 예로 한정되는 것은 전혀 아니다.Hereinafter, the present embodiment will be described in more detail with reference to synthesis examples and examples, but the present embodiment is by no means limited to these examples.

(탄소농도 및 산소농도)(Carbon concentration and oxygen concentration)

하기 장치를 이용하여 유기원소분석에 의해 탄소농도 및 산소농도(질량%)를 측정하였다.The carbon concentration and oxygen concentration (mass%) were measured by organic element analysis using the following apparatus.

장치: CHN코더MT-6(야나코분석공업(주)제)Apparatus: CHN coder MT-6 (manufactured by Yanako Analytical Industry Co., Ltd.)

(분자량)(Molecular Weight)

화합물의 분자량은, LC-MS분석에 의해, Water사제 Acquity UPLC/MALDI-Synapt HDMS를 이용하여 측정하였다.The molecular weight of the compound was measured by LC-MS analysis using Acquity UPLC / MALDI-Synapt HDMS manufactured by Water.

또한, 이하의 조건으로 겔침투 크로마토그래피(GPC)분석을 행하고, 폴리스티렌 환산의 중량평균분자량(Mw), 수평균분자량(Mn), 및 분산도(Mw/Mn)를 구하였다.Gel permeation chromatography (GPC) analysis was carried out under the following conditions to determine the weight average molecular weight (Mw), the number average molecular weight (Mn) and the degree of dispersion (Mw / Mn) in terms of polystyrene.

장치: Shodex GPC-101형(쇼와덴코(주)제)Device: Shodex GPC-101 (manufactured by Showa Denko K.K.)

컬럼: KF-80M×3Column: KF-80M x 3

용리액: THF 1mL/minEluent: THF 1 mL / min

온도: 40℃Temperature: 40 ° C

(용해성)(Solubility)

23℃에서, 화합물을 프로필렌글리콜모노메틸에테르(PGME), 시클로헥사논(CHN), 유산에틸(EL), 메틸아밀케톤(MAK) 또는 테트라메틸우레아(TMU)에 대해 3질량%용액이 되도록 교반하여 용해시킨 후, 1주간 후의 결과를 이하의 기준으로 평가하였다.(3) mass% solution of propylene glycol monomethyl ether (PGME), cyclohexanone (CHN), ethyl lactate (EL), methyl amyl ketone (MAK) or tetramethylurea And the results after one week were evaluated by the following criteria.

평가 A: 육안으로 어느 하나의 용매로 석출물이 없는 것을 확인하였다.Evaluation A: It was confirmed by naked eyes that there was no precipitate in any one of the solvents.

평가 C: 육안으로 어느 하나의 용매로 석출물이 있는 것을 확인하였다.Evaluation C: It was confirmed by naked eyes that there was a precipitate in any one of the solvents.

[화합물의 구조][Structure of Compound]

화합물의 구조는, Bruker사제 「Advance600II spectrometer」를 이용하여, 이하의 조건으로, 1H-NMR측정을 행하고, 확인하였다.The structure of the compound was confirmed by carrying out < 1 > H-NMR measurement under the following conditions using an Advance 600II spectrometer manufactured by Bruker.

주파수: 400MHzFrequency: 400MHz

용매: d6-DMSOSolvent: d6-DMSO

내부표준: TMSInternal standard: TMS

측정온도: 23℃Measuring temperature: 23 ° C

<합성예 1> XBisN-1의 합성Synthesis Example 1 Synthesis of XBisN-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 2,6-나프탈렌디올(시그마-알드리치사제 시약) 3.20g(20mmol)과 4-비페닐카르복시알데히드(미쯔비시가스화학사제) 1.82g(10mmol)을 30mL메틸이소부틸케톤에 투입하고, 95%의 황산 5mL을 첨가하여, 반응액을 100℃에서 6시간 교반하여 반응을 행하였다. 다음에 반응액을 농축하고, 순수 50g을 첨가하여 반응생성물을 석출시키고, 실온까지 냉각한 후, 여과를 행하여 분리하였다. 얻어진 고형물을 여과하고, 건조시킨 후, 컬럼크로마토에 의한 분리정제를 행하여, 하기 식(XBisN-1)로 표시되는 목적 화합물이 3.05g 얻어졌다. 400MHz-1H-NMR에 의해 하기 식(XBisN-1)의 화학구조를 갖는 것을 확인하였다.(20 mmol) of 2,6-naphthalene diol (reagent manufactured by Sigma-Aldrich) and 1.82 g (10 mmol) of 4-biphenylcarboxyaldehyde (manufactured by Mitsubishi Gas Chemical Company) were placed in an internal volume of 100 mL equipped with a stirrer, ) Was added to 30 mL of methyl isobutyl ketone, 5 mL of 95% sulfuric acid was added, and the reaction solution was stirred at 100 DEG C for 6 hours to carry out the reaction. Next, the reaction solution was concentrated, and 50 g of pure water was added to precipitate the reaction product. The reaction product was cooled to room temperature, filtered, and separated. The resulting solid was filtered, dried and then separated and purified by column chromatography to obtain 3.05 g of the target compound represented by the following formula (XBisN-1). It was confirmed by 400 MHz- 1 H-NMR that the compound had the chemical structure of the formula (XBisN-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 9.7(2H,O-H), 7.2~8.5(19H,Ph-H), 6.6(1H,C-H)(ppm) 9.7 (2H, O-H), 7.2-8.5 (19H, Ph-H), 6.6

한편, 2,6-나프탈렌디올의 치환위치가 1위(位)인 것은, 3위와 4위의 프로톤의 시그널이 더블릿인 것으로부터 확인하였다.On the other hand, the substitution position of 2,6-naphthalenediol was found to be the first position because the signal of the third and fourth protons was doublet.

[화학식 359]&Lt; EMI ID =

Figure pct00359
Figure pct00359

(XBisN-1)(XBisN-1)

<합성예 1A> E-XBisN-1의 합성Synthesis Example 1A Synthesis of E-XBisN-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 식(XBisN-1)로 표시되는 화합물 10g(21mmol)과 탄산칼륨 14.8g(107mmol)을 50mL 디메틸포름아미드에 투입하고, 아세트산-2-클로로에틸 6.56g(54mmol)을 첨가하여, 반응액을 90℃에서 12시간 교반하여 반응을 행하였다. 다음에 반응액을 빙욕에서 냉각하여 결정을 석출시키고, 여과를 행하여 분리하였다. 이어서 교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 결정 40g, 메탄올 40g, THF 100g 및 24%수산화나트륨수용액을 투입하고, 반응액을 환류하에서 4시간 교반하여 반응을 행하였다. 그 후, 빙욕에서 냉각하고, 반응액을 농축하여 석출한 고형물을 여과하고, 건조시킨 후, 컬럼크로마토에 의한 분리정제를 행하여, 하기 식(E-XBisN-1)로 표시되는 목적 화합물이 5.9g 얻어졌다. 400MHz-1H-NMR에 의해 하기 식(E-XBisN-1)의 화학구조를 갖는 것을 확인하였다.10 g (21 mmol) of the compound represented by the above formula (XBisN-1) and 14.8 g (107 mmol) of potassium carbonate were added to 50 ml of dimethylformamide in an inner volume of 100 ml equipped with a stirrer, a cooling tube and a burette, -Chloroethyl (6.56 g, 54 mmol) were added, and the reaction solution was stirred at 90 占 폚 for 12 hours to carry out the reaction. Next, the reaction solution was cooled in an ice bath to precipitate crystals, which were separated by filtration. Then, 40 g of the above crystals, 40 g of methanol, 100 g of THF, and 24% aqueous sodium hydroxide solution were added to a container of 100 mL in an internal volume equipped with a stirrer, a cooling tube and a burette, and the reaction solution was stirred under reflux for 4 hours to carry out the reaction. Thereafter, the reaction mixture was cooled in an ice bath and the reaction mixture was concentrated. The precipitated solid was filtered, dried and purified by column chromatography to obtain 5.9 g of the target compound represented by the following formula (E-XBisN-1) . It was confirmed by 400 MHz- 1 H-NMR that the compound had the chemical structure of the following formula (E-XBisN-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 8.6(2H,O-H), 7.2~7.8(19H,Ph-H), 6.7(1H,C-H), 4.0(4H,-O-CH2-), 3.8(4H,-CH2-OH)δ (ppm) 8.6 (2H, OH), 7.2 ~ 7.8 (19H, Ph-H), 6.7 (1H, CH), 4.0 (4H, -O-CH 2 -), 3.8 (4H, -CH 2 -OH )

[화학식 360](360)

Figure pct00360
Figure pct00360

(E-XBisN-1)(E-XBisN-1)

<합성예 2> BisF-1의 합성Synthesis Example 2 Synthesis of BisF-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 200mL의 용기를 준비하였다. 이 용기에, 4,4-비페놀(도쿄화성사제 시약) 30g(161mmol)과, 4-비페닐알데히드(미쯔비시가스화학사제) 15g(82mmol)과, 아세트산부틸 100mL를 투입하고, p-톨루엔설폰산(칸토화학사제 시약) 3.9g(21mmol)을 첨가하여, 반응액을 조제하였다. 이 반응액을 90℃에서 3시간 교반하여 반응을 행하였다. 다음에, 반응액을 농축하고, 헵탄 50g을 첨가하여 반응생성물을 석출시키고, 실온까지 냉각한 후, 여과를 행하여 분리하였다. 여과에 의해 얻어진 고형물을 건조시킨 후, 컬럼크로마토에 의한 분리정제를 행함으로써, 하기 식(BisF-1)로 표시되는 목적 화합물 5.8g을 얻었다. 400MHz-1H-NMR에 의해 이하의 피크가 발견되었으며, 하기 식(BisF-1)의 화학구조를 갖는 것을 확인하였다.An internal 200 mL vessel equipped with a stirrer, a cooling tube and a burette was prepared. 30 g (161 mmol) of 4,4-biphenol (reagent manufactured by Tokyo Chemical Industry Co., Ltd.), 15 g (82 mmol) of 4-biphenylaldehyde (manufactured by Mitsubishi Gas Chemical Co.) and 100 mL of butyl acetate were fed into the vessel, 3.9 g (21 mmol) of p-toluenesulfonic acid (reagent manufactured by Kanto Chemical Co., Ltd.) was added thereto to prepare a reaction solution. The reaction solution was stirred at 90 캜 for 3 hours to carry out the reaction. Next, the reaction solution was concentrated, and 50 g of heptane was added to precipitate a reaction product. The reaction product was cooled to room temperature, filtered, and separated. The solids obtained by filtration were dried and then separated and purified by column chromatography to obtain 5.8 g of the target compound represented by the following formula (BisF-1). The following peaks were found by 400 MHz- 1 H-NMR, and it was confirmed that it had the chemical structure of the following formula (BisF-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 9.4(4H,O-H), 6.8~7.8(22H,Ph-H), 6.2(1H,C-H)? (ppm) 9.4 (4H, O-H), 6.8-7.8 (22H, Ph-

또한, 얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 536이었다.Further, the molecular weight of the obtained compound was measured by the above-mentioned method and found to be 536. [

[화학식 361]&Lt; EMI ID =

Figure pct00361
Figure pct00361

(BisF-1)(BisF-1)

<합성예 2A> E-BisF-1의 합성Synthesis Example 2A Synthesis of E-BisF-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 식(BisF-1)로 표시되는 화합물 11.2g(21mmol)과 탄산칼륨 14.8g(107mmol)을 50mL디메틸포름아미드에 투입하고, 아세트산-2-클로로에틸 6.56g(54mmol)을 첨가하여, 반응액을 90℃에서 12시간 교반하여 반응을 행하였다. 다음에 반응액을 빙욕에서 냉각하여 결정을 석출시키고, 여과를 행하여 분리하였다. 이어서 교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 결정 40g, 메탄올 40g, THF 100g 및 24%수산화나트륨수용액을 투입하고, 반응액을 환류하에서 4시간 교반하여 반응을 행하였다. 그 후, 빙욕에서 냉각하고, 반응액을 농축하여 석출한 고형물을 여과하고, 건조시킨 후, 컬럼크로마토에 의한 분리정제를 행하여, 하기 식(E-BisF-1)로 표시되는 목적 화합물이 5.9g 얻어졌다. 400MHz-1H-NMR에 의해, 하기 식(E-BisF-1)의 화학구조를 갖는 것을 확인하였다.11.2 g (21 mmol) of the compound represented by the formula (BisF-1) and 14.8 g (107 mmol) of potassium carbonate were added to 50 mL of dimethylformamide in a container of 100 mL in an internal volume equipped with a stirrer, a cooling tube and a burette, 6.56 g (54 mmol) of 2-chloroethyl was added, and the reaction solution was stirred at 90 캜 for 12 hours to carry out the reaction. Next, the reaction solution was cooled in an ice bath to precipitate crystals, which were separated by filtration. Then, 40 g of the above crystals, 40 g of methanol, 100 g of THF, and 24% aqueous sodium hydroxide solution were added to a container of 100 mL in an internal volume equipped with a stirrer, a cooling tube and a burette, and the reaction solution was stirred under reflux for 4 hours to carry out the reaction. Thereafter, the reaction mixture was cooled in an ice bath and the reaction solution was concentrated. The precipitated solid was filtered and dried, and then separated and purified by column chromatography to obtain 5.9 g of the target compound represented by the following formula (E-BisF-1) . It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (E-BisF-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 8.6(4H,O-H), 6.8~7.8(22H,Ph-H), 6.2(1H,C-H), 4.0(8H,-O-CH2-), 3.8(8H,-CH2-OH)δ (ppm) 8.6 (4H, OH), 6.8 ~ 7.8 (22H, Ph-H), 6.2 (1H, CH), 4.0 (8H, -O-CH 2 -), 3.8 (8H, -CH 2 -OH )

또한, 얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 712였다.Further, the molecular weight of the obtained compound was measured by the above-mentioned method and found to be 712.

[화학식 362]&Lt; EMI ID =

Figure pct00362
Figure pct00362

(E-BisF-1)(E-BisF-1)

<합성실시예 1-1> UaXBisN-1의 합성<Synthesis Example 1-1> Synthesis of UaXBisN-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 식(XBisN-1)로 표시되는 화합물 10.0g(21mmol), 2-이소시아나토에틸메타크릴레이트 6.1g, 트리에틸아민 0.5g, p-메톡시페놀 0.05g을 50mL메틸이소부틸케톤에 투입하고, 80℃로 가온하여 교반한 상태로, 24시간 교반하여 반응을 행하였다. 50℃까지 냉각하고, 반응액을 순수 중에 적하하여 석출한 고형물을 여과하고, 건조시킨 후, 컬럼크로마토에 의한 분리정제를 행하여, 하기 식(UaXBisN-1)로 표시되는 목적 화합물이 3.0g 얻어졌다. 400MHz-1H-NMR에 의해, 하기 식(UaXBisN-1)의 화학구조를 갖는 것을 확인하였다.10.0 g (21 mmol) of the compound represented by the above formula (XBisN-1), 6.1 g of 2-isocyanatoethyl methacrylate, 0.5 g of triethylamine, 0.05 g of p-methoxyphenol was added to 50 mL of methyl isobutyl ketone, and the mixture was stirred for 24 hours while being heated to 80 DEG C and stirred. The reaction mixture was cooled to 50 DEG C and the reaction solution was added dropwise to pure water. The precipitated solid was filtered, dried and then separated and purified by column chromatography to obtain 3.0 g of the target compound represented by the following formula (UaXBisN-1) . It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (UaXBisN-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 7.2~7.8(19H,Ph-H), 6.8(2H, NH), 6.7(1H,C-H), 6.5(4H,=CH2), 3.1~4.6(8H,-O-CH2-CH2-N-), 2.0(6H,-CH3)δ (ppm) 7.2 ~ 7.8 ( 19H, Ph-H), 6.8 (2H, NH), 6.7 (1H, CH), 6.5 (4H, = CH 2), 3.1 ~ 4.6 (8H, -O-CH 2 - CH 2 -N-), 2.0 (6H, -CH 3 )

또한, 얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 776이었다.Further, the molecular weight of the obtained compound was measured by the above-mentioned method and found to be 776.

열분해온도는 370℃, 유리전이점은 90℃, 융점은 200℃이고, 고내열성이 확인되었다.The thermal decomposition temperature was 370 占 폚, the glass transition point was 90 占 폚, and the melting point was 200 占 폚, and high heat resistance was confirmed.

[화학식 363][363]

Figure pct00363
Figure pct00363

(UaXBisN-1)(UaXBisN-1)

<합성실시예 1-2> UaE-XBisN-1의 합성<Synthesis Example 1-2> Synthesis of UaE-XBisN-1

상기 식(XBisN-1)로 표시되는 화합물 대신에, 상기 식(E-XBisN-1)로 표시되는 화합물을 이용한 것을 제외하고는, 합성실시예 1과 동일하게 반응시켜, 하기 식(UaE-XBisN-1)로 표시되는 목적 화합물이 3.2g 얻어졌다. 400MHz-1H-NMR에 의해, 하기 식(UaE-XBisN-1)의 화학구조를 갖는 것을 확인하였다.Except that the compound represented by the above formula (E-XBisN-1) was used instead of the compound represented by the above formula (XBisN-1) to obtain a compound represented by the following formula (UaE-XBisN -1) was obtained. It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (UaE-XBisN-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

7.2~7.8(19H,Ph-H), 6.8(2H, NH), 6.7(1H,C-H), 6.5(4H,=CH2), 3.1~4.6(16H,-O-CH2-CH2-O-, -O-CH2-CH2-N-), 2.0(6H,-CH3)CH 2 ), 3.1 to 4.6 (16H, -O-CH 2 -CH 2 -O (CH 3 ) 2 ) -, -O-CH 2 -CH 2 -N-), 2.0 (6H, -CH 3)

또한, 얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 864였다.Further, the molecular weight of the obtained compound was measured by the above-mentioned method and found to be 864.

열분해온도는 360℃, 유리전이점은 85℃, 융점은 195℃이고, 고내열성이 확인되었다.The thermal decomposition temperature was 360 占 폚, the glass transition point was 85 占 폚, and the melting point was 195 占 폚, and high heat resistance was confirmed.

[화학식 364]&Lt; EMI ID =

Figure pct00364
Figure pct00364

(UaE-XBisN-1)(UaE-XBisN-1)

<합성실시예 2-1> UaBisF-1의 합성<Synthesis Example 2-1> Synthesis of UaBisF-1

상기 식(XBisN-1)로 표시되는 화합물 대신에, 상기 식(BisF-1)로 표시되는 화합물을 이용한 것을 제외하고는, 합성실시예 1-1과 동일하게 반응시켜, 하기 식(UaBisF-1)로 표시되는 목적 화합물이 2.5g 얻어졌다. 400MHz-1H-NMR에 의해, 하기 식(UaBisF-1)의 화학구조를 갖는 것을 확인하였다.Except that the compound represented by the above formula (BisF-1) was used instead of the compound represented by the above formula (XBisN-1) to obtain a compound represented by the following formula (UaBisF-1 ) Was obtained in an amount of 2.5 g. It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (UaBisF-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 6.8~7.8(22H,Ph-H), 6.5(8H,=CH2), 6.2(1H,C-H), 4.1~4.7(16H,-O-CH2-CH2-N-), 2.0(12H,-CH3) 또한, 얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 1156이었다.δ (ppm) 6.8 ~ 7.8 ( 22H, Ph-H), 6.5 (8H, = CH 2), 6.2 (1H, CH), 4.1 ~ 4.7 (16H, -O-CH 2 -CH 2 -N-), 2.0 (12H, -CH 3 ) Further, the molecular weight of the obtained compound was measured by the above-mentioned method and found to be 1156.

열분해온도는 365℃, 유리전이점은 65℃, 융점은 185℃이고, 고내열성이 확인되었다.The thermal decomposition temperature was 365 DEG C, the glass transition point was 65 DEG C, and the melting point was 185 DEG C, and high heat resistance was confirmed.

[화학식 365][365]

Figure pct00365
Figure pct00365

(UaBisF-1)(UaBisF-1)

<합성실시예 2-2> UaE-BisF-1의 합성<Synthesis Example 2-2> Synthesis of UaE-BisF-1

상기 식(XBisN-1)로 표시되는 화합물 대신에, 상기 식(E-BisF-1)로 표시되는 화합물을 이용한 것을 제외하고는, 합성실시예 1-2와 동일하게 반응시켜, 하기 식(UaE-BisF-1)로 표시되는 목적 화합물이 2.6g 얻어졌다. 400MHz-1H-NMR에 의해, 하기 식(UaE-BisF-1)의 화학구조를 갖는 것을 확인하였다.Except that the compound represented by the above formula (E-BisF-1) was used instead of the compound represented by the above formula (XBisN-1) to obtain a compound represented by the following formula (UaE -BisF-1) was obtained. It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (UaE-BisF-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 6.8~7.8(22H,Ph-H), 6.5(8H,=CH2), 6.2(1H,C-H), 4.1~4.7(32H,-O-CH2-CH2-O-, -O-CH2-CH2-N-), 2.0(12H,-CH3)δ (ppm) 6.8 ~ 7.8 ( 22H, Ph-H), 6.5 (8H, = CH 2), 6.2 (1H, CH), 4.1 ~ 4.7 (32H, -O-CH 2 -CH 2 -O-, - O-CH 2 -CH 2 -N-) , 2.0 (12H, -CH 3)

또한, 얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 1133이었다.The molecular weight of the resulting compound was measured by the above-mentioned method and found to be 1133.

열분해온도는 355℃, 유리전이점은 60℃, 융점은 175℃이고, 고내열성이 확인되었다.The thermal decomposition temperature was 355 占 폚, the glass transition point was 60 占 폚, and the melting point was 175 占 폚, and high heat resistance was confirmed.

[화학식 366][366]

Figure pct00366
Figure pct00366

(UaE-BisF-1)(UaE-BisF-1)

<합성예 3>BiN-1의 합성Synthesis Example 3 Synthesis of BiN-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 300mL의 용기에 있어서, 2-나프톨(시그마-알드리치사제 시약) 10g(69.0mmol)을 120℃에서 용융 후, 황산 0.27g을 투입하고, 4-아세틸비페닐(시그마-알드리치사제 시약) 2.7g(13.8mmol)을 첨가하여, 내용물을 120℃에서 6시간 교반하여 반응을 행하여 반응액을 얻었다. 다음에 반응액에 N-메틸-2-피롤리돈(칸토화학주식회사제) 100mL, 순수 50mL를 첨가한 후, 아세트산에틸에 의해 추출하였다. 다음에 순수를 첨가하여 중성이 될 때까지 분액 후, 농축을 행하여 용액을 얻었다.10 g (69.0 mmol) of 2-naphthol (reagent manufactured by Sigma-Aldrich) was melted at 120 DEG C in an inner volume of 300 mL equipped with a stirrer, a cooling tube and a buret. Then 0.27 g of sulfuric acid was added, 2.7 g (13.8 mmol) of phenyl (Sigma-Aldrich reagent) was added, and the contents were stirred at 120 ° C for 6 hours to carry out the reaction to obtain a reaction solution. Next, 100 mL of N-methyl-2-pyrrolidone (manufactured by KANTO CHEMICAL Co., Ltd.) and 50 mL of pure water were added to the reaction solution, followed by extraction with ethyl acetate. Next, pure water was added to the mixture to separate it until it became neutral, and then concentrated to obtain a solution.

얻어진 용액을, 컬럼크로마토에 의한 분리 후, 하기 식(BiN-1)로 표시되는 목적 화합물(BiN-1)이 1.0g 얻어졌다.After separation of the obtained solution by column chromatography, 1.0 g of a target compound (BiN-1) represented by the following formula (BiN-1) was obtained.

얻어진 화합물(BiN-1)에 대하여, 상기 서술한 방법에 의해 분자량을 측정한 결과, 466이었다.The obtained compound (BiN-1) was found to have a molecular weight of 466 by the above-mentioned method.

얻어진 화합물(BiN-1)에 대하여, 상기 서술한 측정조건으로, NMR측정을 행한 결과, 이하의 피크가 발견되었으며, 하기 식(BiN-1)의 화학구조를 갖는 것을 확인하였다.The obtained compound (BiN-1) was subjected to NMR measurement under the above-described measurement conditions, and the following peaks were found and it was confirmed that the compound had the chemical structure of the following formula (BiN-1).

δ(ppm) 9.69(2H,O-H), 7.01~7.67(21H,Ph-H), 2.28(3H,C-H)(ppm) 9.69 (2H, O-H), 7.01-7.67 (21H, Ph-H), 2.28

[화학식 367]&Lt; EMI ID =

Figure pct00367
Figure pct00367

(BiN-1)(BiN-1)

<합성예 3A> E-BiN-1의 합성<Synthesis Example 3A> Synthesis of E-BiN-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 식으로 표시되는 화합물(BisN-1) 10.5g(21mmol)과 탄산칼륨 14.8g(107mmol)을 50mL디메틸포름아미드에 투입하고, 아세트산-2-클로로에틸 6.56g(54mmol)을 첨가하여, 반응액을 90℃에서 12시간 교반하여 반응을 행하였다. 다음에 반응액을 빙욕에서 냉각하여 결정을 석출시키고, 여과를 행하여 분리하였다. 이어서 교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 결정 40g, 메탄올 40g, THF 100g 및 24%수산화나트륨수용액을 투입하고, 반응액을 환류하에서 5시간 교반하여 반응을 행하였다. 그 후, 빙욕에서 냉각하고, 반응액을 농축하여 석출한 고형물을 여과하고, 건조시킨 후, 컬럼크로마토그래프에 의한 분리정제를 행하여, 하기 식으로 표시되는 목적 화합물을 4.6g 얻었다. 400MHz-1H-NMR에 의해 하기 식의 화학구조를 갖는 것을 확인하였다.10.5 g (21 mmol) of the compound (BisN-1) represented by the above formula and 14.8 g (107 mmol) of potassium carbonate were added to 50 mL of dimethylformamide in an internal volume of 100 mL equipped with a stirrer, a cooling tube and a burette, 6.56 g (54 mmol) of 2-chloroethyl was added, and the reaction solution was stirred at 90 캜 for 12 hours to carry out the reaction. Next, the reaction solution was cooled in an ice bath to precipitate crystals, which were separated by filtration. Subsequently, 40 g of the above crystals, 40 g of methanol, 100 g of THF, and 24% aqueous sodium hydroxide solution were added to a container of 100 mL in an internal volume equipped with a stirrer, a cooling tube and a burette, and the reaction solution was stirred under reflux for 5 hours to carry out the reaction. Thereafter, the reaction mixture was cooled in an ice bath, and the reaction solution was concentrated. The precipitated solid was filtered and dried, and then separated and purified by column chromatography to obtain 4.6 g of the target compound represented by the following formula. It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula.

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 8.6(2H,O-H), 7.2~7.8(19H,Ph-H), 6.7(1H,C-H), 4.0(4H,-O-CH2-), 3.8(4H,-CH2-OH)δ (ppm) 8.6 (2H, OH), 7.2 ~ 7.8 (19H, Ph-H), 6.7 (1H, CH), 4.0 (4H, -O-CH 2 -), 3.8 (4H, -CH 2 -OH )

[화학식 368]&Lt; EMI ID =

Figure pct00368
Figure pct00368

(E-BiN-1)(E-BiN-1)

<합성실시예 3-1> UaBiN-1의 합성<Synthesis Example 3-1> Synthesis of UaBiN-1

상기 식(XBisN-1)로 표시되는 화합물 대신에, 상기 식(BiN-1)로 표시되는 화합물을 이용한 것 이외에는 합성실시예 1-1과 동일하게 반응시켜, 하기 식(UaBiN-1)로 표시되는 목적 화합물 3.5g을 얻었다.(UaBiN-1) was obtained in the same manner as in Synthesis Example 1-1 except that the compound represented by the formula (BiN-1) was used instead of the compound represented by the formula (XBisN-1) 3.5 g of the desired compound was obtained.

400MHz-1H-NMR에 의해, 하기 식(UaBiN-1)의 화학구조를 갖는 것을 확인하였다.It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (UaBiN-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 7.2~7.8(21H,Ph-H), 6.8(2H, NH), 6.7(1H,C-H), 6.5(4H,=CH2), 3.1~4.6(16H,-O-CH2-CH2-O-, -O-CH2-CH2-N-), 2.3(3H,-CH3), 2.0(6H,-CH3)δ (ppm) 7.2 ~ 7.8 ( 21H, Ph-H), 6.8 (2H, NH), 6.7 (1H, CH), 6.5 (4H, = CH 2), 3.1 ~ 4.6 (16H, -O-CH 2 - CH 2 -O-, -O-CH 2 -CH 2 -N-), 2.3 (3H, -CH 3 ), 2.0 (6H,

[화학식 369]&Lt; EMI ID =

Figure pct00369
Figure pct00369

(UaBiN-1)(UaBiN-1)

얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 776이었다.The molecular weight of the obtained compound was measured by the above-mentioned method and found to be 776.

열분해온도는 390℃, 유리전이점은 72℃, 융점은 224℃이고, 고내열성을 갖는 것이 확인되었다.It was confirmed that the thermal decomposition temperature was 390 占 폚, the glass transition point was 72 占 폚, and the melting point was 224 占 폚 and that it had high heat resistance.

<합성실시예 3-2> UaE-BiN-1의 합성<Synthesis Example 3-2> Synthesis of UaE-BiN-1

상기 식(XBisN-1)로 표시되는 화합물 대신에, 상기 식(E-BiN-1)로 표시되는 화합물을 이용한 것 이외에는, 합성실시예 1-2와 동일하게 반응시켜, 하기 식(UaE-BiN-1)로 표시되는 목적 화합물 3.9g을 얻었다.BiN-1) was used in place of the compound represented by the above formula (XBisN-1) to obtain a compound represented by the following formula (UaE-BiN -1) was obtained.

400MHz-1H-NMR에 의해, 하기 식(UaE-BiN-1)의 화학구조를 갖는 것을 확인하였다.It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (UaE-BiN-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 7.2~7.8(21H,Ph-H), 6.8(2H, NH), 6.7(1H,C-H), 6.5(4H,=CH2), 3.1~4.6(16H,-O-CH2-CH2-O-, -O-CH2-CH2-N-), 2.3(3H,-CH3), 2.0(6H,-CH3)δ (ppm) 7.2 ~ 7.8 ( 21H, Ph-H), 6.8 (2H, NH), 6.7 (1H, CH), 6.5 (4H, = CH 2), 3.1 ~ 4.6 (16H, -O-CH 2 - CH 2 -O-, -O-CH 2 -CH 2 -N-), 2.3 (3H, -CH 3 ), 2.0 (6H,

[화학식 370][370]

Figure pct00370
Figure pct00370

(UaE-BiN-1)(UaE-BiN-1)

얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 864였다.The molecular weight of the obtained compound was measured by the above-mentioned method and found to be 864.

열분해온도는 362℃, 유리전이점은 70℃, 융점은 226℃이고, 고내열성을 갖는 것이 확인되었다.It was confirmed that the thermal decomposition temperature was 362 占 폚, the glass transition point was 70 占 폚, and the melting point was 226 占 폚 and had high heat resistance.

<합성예 4> BiP-1의 합성Synthesis Example 4 Synthesis of BiP-1

2-나프톨 대신에, o-페닐페놀을 사용하는 것 이외에는 합성예 1과 동일하게 반응시켜, 하기 식(BiP-1)로 표시되는 목적 화합물이 1.0g 얻어졌다.1.0 g of the aimed compound represented by the following formula (BiP-1) was obtained by carrying out the reaction in the same manner as in Synthesis Example 1 except that o-phenylphenol was used instead of 2-naphthol.

얻어진 화합물(BiP-1)에 대하여, 상기 서술한 방법에 의해 분자량을 측정한 결과, 466이었다.The obtained compound (BiP-1) was found to have a molecular weight of 466 by the above-mentioned method.

얻어진 화합물(BiP-1)에 대하여, 상기 서술한 측정조건으로, NMR측정을 행한 결과, 이하의 피크가 발견되었으며, 하기 식(BiP-1)의 화학구조를 갖는 것을 확인하였다.The obtained compound (BiP-1) was subjected to NMR measurement under the above-described measurement conditions, and the following peaks were found, and it was confirmed that the compound had the chemical structure of the following formula (BiP-1).

δ(ppm) 9.67(2H,O-H), 6.98~7.60(25H,Ph-H), 2.25(3H,C-H)? (ppm) 9.67 (2H, O-H), 6.98-7.60 (25H, Ph-

[화학식 371]&Lt; EMI ID =

Figure pct00371
Figure pct00371

(BiP-1)(BiP-1)

<합성예 4A> E-BiP-1의 합성Synthesis Example 4A Synthesis of E-BiP-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 100ml의 용기에 상기 식(BiP-1)로 표시되는 화합물 11.2g(21mmol)과 탄산칼륨 14.8g(107mmol)을 50mL디메틸포름아미드에 투입하고, 아세트산-2-클로로에틸 6.56g(54mmol)을 첨가하여, 반응액을 90℃에서 12시간 교반하여 반응을 행하였다. 다음에 반응액을 빙욕에서 냉각하여 결정을 석출시키고, 여과를 행하여 분리하였다. 이어서 교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 결정 40g, 메탄올 40g, THF 100g 및 24%수산화나트륨수용액을 투입하고, 반응액을 환류하에서 4시간 교반하여 반응을 행하였다. 그 후, 빙욕에서 냉각하고, 반응액을 농축하여 석출한 고형물을 여과하고, 건조시킨 후, 컬럼크로마토그래프에 의한 분리정제를 행하여, 하기 식(E-BisF-1)로 표시되는 목적 화합물 5.9g을 얻었다.11.2 g (21 mmol) of the compound represented by the formula (BiP-1) and 14.8 g (107 mmol) of potassium carbonate were added to 50 ml of dimethylformamide in a container of 100 ml in an internal volume equipped with a stirrer, a cooling tube and a burette, 6.56 g (54 mmol) of 2-chloroethyl was added, and the reaction solution was stirred at 90 캜 for 12 hours to carry out the reaction. Next, the reaction solution was cooled in an ice bath to precipitate crystals, which were separated by filtration. Then, 40 g of the above crystals, 40 g of methanol, 100 g of THF, and 24% aqueous sodium hydroxide solution were added to a container of 100 mL in an internal volume equipped with a stirrer, a cooling tube and a burette, and the reaction solution was stirred under reflux for 4 hours to carry out the reaction. Thereafter, the reaction mixture was cooled in an ice bath, and the reaction mixture was concentrated. The precipitated solid was filtered, dried and then separated and purified by column chromatography to obtain 5.9 g of the desired compound represented by the following formula (E-BisF-1) &Lt; / RTI &gt;

400MHz-1H-NMR에 의해, 하기 식(E-BiP-1)의 화학구조를 갖는 것을 확인하였다.It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (E-BiP-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 8.6(4H,O-H), 6.8~7.6(25H,Ph-H), 4.0(4H,-O-CH2-), 3.8(4H,-CH2-OH), 2.2(3H,C-H)δ (ppm) 8.6 (4H, OH), 6.8 ~ 7.6 (25H, Ph-H), 4.0 (4H, -O-CH 2 -), 3.8 (4H, -CH 2 -OH), 2.2 (3H, CH )

얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 606이었다.The molecular weight of the compound thus obtained was measured by the above-mentioned method. As a result, it was found to be 606.

[화학식 372][372]

Figure pct00372
Figure pct00372

(E-BiP-1)(E-BiP-1)

<합성실시예 4-1> UaBiP-1의 합성<Synthesis Example 4-1> Synthesis of UaBiP-1

상기 식(XBisN-1)로 표시되는 화합물 대신에, 상기 식(BiP-1)로 표시되는 화합물을 이용한 것 이외에는 합성실시예 1-2와 동일하게 반응시켜, 하기 식(UaBiP-1)로 표시되는 목적 화합물 6.6g을 얻었다.(UaBiP-1) was obtained in the same manner as in Synthesis Example 1-2, except that the compound represented by the formula (BiP-1) was used instead of the compound represented by the formula (XBisN-1) 6.6 g of the desired compound was obtained.

400MHz-1H-NMR에 의해, 하기 식(UaBiP-1)의 화학구조를 갖는 것을 확인하였다.It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (UaBiP-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 6.8~7.8(25H,Ph-H), 6.5(4H,=CH2), 4.1~4.7(8H,-O-CH2-CH2-N-), 2.3(3H,-CH3), 2.0(6H,-CH3)δ (ppm) 6.8 ~ 7.8 ( 25H, Ph-H), 6.5 (4H, = CH 2), 4.1 ~ 4.7 (8H, -O-CH 2 -CH 2 -N-), 2.3 (3H, -CH3) , 2.0 (6H, -CH 3)

열분해온도는 371℃, 유리전이점은 84℃, 융점은 232℃이고, 고내열성을 갖는 것이 확인되었다.The thermal decomposition temperature was 371 占 폚, the glass transition point was 84 占 폚, and the melting point was 232 占 폚.

[화학식 373][373]

Figure pct00373
Figure pct00373

(UaBiP-1)(UaBiP-1)

얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 828이었다.The molecular weight of the resulting compound was measured by the above-mentioned method and found to be 828.

<합성실시예 4-2> UaE-BiP-1의 합성<Synthesis Example 4-2> Synthesis of UaE-BiP-1

상기 식(XBisN-1)로 표시되는 화합물 대신에, 상기 식(E-BiP-1)로 표시되는 화합물을 이용한 것 이외에는, 합성실시예 1-2와 동일하게 반응시켜, 하기 식(UaE-BiP-1)로 표시되는 목적 화합물 4.6g을 얻었다.(Ea-BiP-1) was obtained in the same manner as in Synthesis Example 1-2 except that the compound represented by the formula (E-BiP-1) was used instead of the compound represented by the formula (XBisN- -1) was obtained.

400MHz-1H-NMR에 의해, 하기 식(UaE-BiP-1)의 화학구조를 갖는 것을 확인하였다.It was confirmed by 400 MHz - &lt; 1 &gt; H-NMR to have the chemical structure of the following formula (UaE-BiP-1).

1H-NMR: (d-DMSO, 내부표준TMS)&Lt; 1 &gt; H-NMR: (d-DMSO, internal standard TMS)

δ(ppm) 6.8~7.8(25H,Ph-H), 6.8(2H, NH), 6.5(4H,=CH2), 3.1~4.6(16H,-O-CH2-CH2-O-, -O-CH2-CH2-N-), 2.3(3H,-CH3) 2.0(6H,-CH3)δ (ppm) 6.8 ~ 7.8 ( 25H, Ph-H), 6.8 (2H, NH), 6.5 (4H, = CH 2), 3.1 ~ 4.6 (16H, -O-CH 2 -CH 2 -O-, - O-CH 2 -CH 2 -N-), 2.3 (3H, -CH 3 ) 2.0 (6H, -CH 3 )

[화학식 374]&Lt; EMI ID =

Figure pct00374
Figure pct00374

(UaE-BiP-1)(UaE-BiP-1)

얻어진 화합물에 대하여, 상기 방법에 의해 분자량을 측정한 결과, 916이었다.The molecular weight of the obtained compound was measured by the above-mentioned method and found to be 916.

열분해온도는 372℃, 유리전이점은 70℃, 융점은 210℃이고, 고내열성을 갖는 것이 확인되었다.The thermal decomposition temperature was 372 占 폚, the glass transition point was 70 占 폚, and the melting point was 210 占 폚.

(합성예 5~17)(Synthesis Examples 5 to 17)

합성예 3의 원료인 2-나프톨(원료 1) 및 4-아세틸비페닐(원료 2)을 표 1과 같이 변경하고, 그 밖에는 합성예 3과 동일하게 행하여, 각 목적물을 얻었다.2-naphthol (raw material 1) and 4-acetyl biphenyl (raw material 2), which were the raw materials of Synthesis Example 3, were changed as shown in Table 1, and otherwise, the same procedures as in Synthesis Example 3 were carried out.

각 목적 화합물은, 1H-NMR로 동정(同定)하였다(표 2).Each target compound was identified by 1 H-NMR (Table 2).

[표 1][Table 1]

Figure pct00375
Figure pct00375

[표 2][Table 2]

Figure pct00376
Figure pct00376

[화학식 375][375]

Figure pct00377
Figure pct00377

(BiN-2)(BiN-2)

[화학식 376][376]

Figure pct00378
Figure pct00378

(BiN-3)(BiN-3)

[화학식 377][377]

Figure pct00379
Figure pct00379

(BiN-4)(BiN-4)

[화학식 378][378]

Figure pct00380
Figure pct00380

(XBiN-1)(XBiN-1)

[화학식 379][379]

Figure pct00381
Figure pct00381

(XBiN-2)(XBiN-2)

[화학식 380](380)

Figure pct00382
Figure pct00382

(XBiN-3)(XBiN-3)

[화학식 381]&Lt; EMI ID =

Figure pct00383
Figure pct00383

(BiP-2)(BiP-2)

[화학식 382]&Lt; EMI ID =

Figure pct00384
Figure pct00384

(BiP-3)(BiP-3)

[화학식 383]&Lt; EMI ID =

Figure pct00385
Figure pct00385

(BiP-4)(BiP-4)

[화학식 384]&Lt; EMI ID =

Figure pct00386
Figure pct00386

(P-1)(P-1)

[화학식 385]&Lt; EMI ID =

Figure pct00387
Figure pct00387

(P-2)(P-2)

[화학식 386][386]

Figure pct00388
Figure pct00388

(P-3)(P-3)

[화학식 387]&Lt; EMI ID =

Figure pct00389
Figure pct00389

(P-4)(P-4)

(합성예 18~20)(Synthesis Examples 18 to 20)

합성실시예 1의 원료인 4-비페닐알데히드(원료 2)를 표 3과 같이 변경하고, 그 밖에는 합성실시예 3과 동일하게 행하여, 각 목적 화합물을 얻었다.Biphenylaldehyde (raw material 2) as a raw material of Synthesis Example 1 was changed as shown in Table 3, and otherwise, the same procedure as in Synthesis Example 3 was carried out to obtain respective target compounds.

각 목적 화합물은, 1H-NMR로 동정하였다(표 4).Each target compound was identified by 1 H-NMR (Table 4).

[표 3][Table 3]

Figure pct00390
Figure pct00390

[표 4][Table 4]

Figure pct00391
Figure pct00391

[화학식 388](388)

Figure pct00392
Figure pct00392

(XBisN-2)(XBisN-2)

[화학식 389]&Lt; EMI ID =

Figure pct00393
Figure pct00393

(XBisN-3)(XBisN-3)

[화학식 390][390]

Figure pct00394
Figure pct00394

(XBisN-4)(XBisN-4)

(합성예 21~22)(Synthesis Examples 21 to 22)

합성예 3의 원료인 2-나프톨(원료 1) 및 4-아세틸비페닐(원료 2)을 표 5와 같이 변경하고, 물 1.5mL, 도데실메르캅탄 73mg(0.35mmol), 37%염산 2.3g(22mmol)을 첨가하고, 반응온도를 55℃로 변경하고, 그 밖에는 합성실시예 3과 동일하게 행하여, 각 목적 화합물을 얻었다.2-naphthol (raw material 1) and 4-acetyl biphenyl (raw material 2) as raw materials of Synthesis Example 3 were changed as shown in Table 5, and 1.5 mL of water, 73 mg (0.35 mmol) of dodecylmercaptan, 2.3 g (22 mmol) were added, and the reaction temperature was changed to 55 캜. Otherwise, each of the objective compounds was obtained in the same manner as in Synthesis Example 3.

각 목적 화합물은, 1H-NMR로 동정하였다(표 6).The respective target compounds were identified by 1 H-NMR (Table 6).

[표 5][Table 5]

Figure pct00395
Figure pct00395

[표 6][Table 6]

Figure pct00396
Figure pct00396

[화학식 391][391]

Figure pct00397
Figure pct00397

(P-5)(P-5)

[화학식 392][392]

Figure pct00398
Figure pct00398

(P-6)(P-6)

(합성예 5A~22A)(Synthesis Examples 5A to 22A)

합성예 3A의 원료인 상기 식(BiN-1)로 표시되는 화합물을 표 7과 같이 변경하고, 그 밖에는 합성예 3A와 동일한 조건으로 합성을 행하여, 각각, 목적 화합물을 얻었다. 각 목적 화합물의 구조는 400MHz-1H-NMR(d-DMSO, 내부표준TMS) 및 LC-MS로 분자량을 확인함으로써, 동정하였다.The synthesis was carried out under the same conditions as in Synthesis Example 3A except that the compound represented by the formula (BiN-1) as the raw material of Synthesis Example 3A was changed as shown in Table 7, and the desired compound was obtained, respectively. The structure of each target compound was identified by confirming the molecular weight by 400 MHz - 1 H-NMR (d-DMSO, internal standard TMS) and LC-MS.

(합성실시예 5-1~22-1)(Synthesis Examples 5-1 to 22-1)

합성실시예 3-1의 원료인 상기 식(E-BiN-1)로 표시되는 화합물을 표 7과 같이 변경하고, 그 밖에는 합성실시예 3-1과 동일한 조건으로 합성을 행하여, 각각, 목적 화합물을 얻었다. 각 목적 화합물의 구조는 400MHz-1H-NMR(d-DMSO, 내부표준TMS) 및 LC-MS로 분자량을 확인함으로써, 동정하였다.Synthesis was carried out under the same conditions as in Synthesis Example 3-1 except that the compound represented by the formula (E-BiN-1) as the raw material of Synthesis Example 3-1 was changed as shown in Table 7, &Lt; / RTI &gt; The structure of each target compound was identified by confirming the molecular weight by 400 MHz - 1 H-NMR (d-DMSO, internal standard TMS) and LC-MS.

(합성실시예 5-2~22-2)(Synthesis Examples 5-2 to 22-2)

합성실시예 3-2의 원료인 상기 식(E-BiN-1)로 표시되는 화합물을 표 7과 같이 변경하고, 그 밖에는 합성실시예 3-2와 동일한 조건으로 합성을 행하여, 각각, 각 목적 화합물을 얻었다. 각 목적 화합물의 구조는 400MHz-1H-NMR(d-DMSO, 내부표준TMS) 및 LC-MS로 분자량을 확인함으로써, 동정하였다.Synthesis was carried out under the same conditions as in Synthesis Example 3-2 except that the compound represented by the formula (E-BiN-1) as the raw material of Synthesis Example 3-2 was changed as shown in Table 7, Compound. The structure of each target compound was identified by confirming the molecular weight by 400 MHz - 1 H-NMR (d-DMSO, internal standard TMS) and LC-MS.

[표 7][Table 7]

Figure pct00399
Figure pct00399

[화학식 393][393]

Figure pct00400
Figure pct00400

(E-BiN-2)(E-BiN-2)

[화학식 394][394]

Figure pct00401
Figure pct00401

(UaBiN-2)(UaBiN-2)

[화학식 395][395]

Figure pct00402
Figure pct00402

(UaE-BiN-2)(UaE-BiN-2)

[화학식 396][396]

Figure pct00403
Figure pct00403

(E-BiN-3)(E-BiN-3)

[화학식 397][397]

Figure pct00404
Figure pct00404

(UaBiN-3)(UaBiN-3)

[화학식 398][398]

Figure pct00405
Figure pct00405

(UaE-BiN-3)(UaE-BiN-3)

[화학식 399][399]

Figure pct00406
Figure pct00406

(E-BiN-4)(E-BiN-4)

[화학식 400](400)

Figure pct00407
Figure pct00407

(UaBiN-4)(UaBiN-4)

[화학식 401](401)

Figure pct00408
Figure pct00408

(UaE-BiN-4)(UaE-BiN-4)

[화학식 402](402)

Figure pct00409
Figure pct00409

(E-BiP-2)(E-BiP-2)

[화학식 403]&Lt; EMI ID =

Figure pct00410
Figure pct00410

(UaBiP-2)(UaBiP-2)

[화학식 404](404)

Figure pct00411
Figure pct00411

(UaE-BiP-2)(UaE-BiP-2)

[화학식 405]&Lt; EMI ID =

Figure pct00412
Figure pct00412

(E-BiP-3)(E-BiP-3)

[화학식 406]&Lt; EMI ID =

Figure pct00413
Figure pct00413

(UaBiP-3)(UaBiP-3)

[화학식 407]&Lt; EMI ID =

Figure pct00414
Figure pct00414

(UaE-BiP-3)(UaE-BiP-3)

[화학식 408]&Lt; EMI ID =

Figure pct00415
Figure pct00415

(E-BiP-4)(E-BiP-4)

[화학식 409]&Lt; EMI ID =

Figure pct00416
Figure pct00416

(UaBiP-4)(UaBiP-4)

[화학식 410](410)

Figure pct00417
Figure pct00417

(UaE-BiP-4)(UaE-BiP-4)

[화학식 411][411]

Figure pct00418
Figure pct00418

(E-P-1)(E-P-1)

[화학식 412][412]

Figure pct00419
Figure pct00419

(UaP-1)(UaP-1)

[화학식 413]&Lt; EMI ID =

Figure pct00420
Figure pct00420

(UaE-P-1)(UaE-P-1)

[화학식 414]&Lt; EMI ID =

Figure pct00421
Figure pct00421

(E-P-2)(E-P-2)

[화학식 415]&Lt; EMI ID =

Figure pct00422
Figure pct00422

(UaP-2)(UaP-2)

[화학식 416]&Lt; EMI ID =

Figure pct00423
Figure pct00423

(UaE-P-2)(UaE-P-2)

[화학식 417]&Lt; EMI ID =

Figure pct00424
Figure pct00424

(E-BisN-1)(E-BisN-1)

[화학식 418]&Lt; EMI ID =

Figure pct00425
Figure pct00425

(UaBisN-1)(UaBisN-1)

[화학식 419]&Lt; EMI ID =

Figure pct00426
Figure pct00426

(UaE-BisN-1)(UaE-BisN-1)

[화학식 420](420)

Figure pct00427
Figure pct00427

(E-XBiN-2)(E-XBiN-2)

[화학식 421][421]

Figure pct00428
Figure pct00428

(UaXBiN-2)(UaXBiN-2)

[화학식 422](422)

Figure pct00429
Figure pct00429

(UaE-XBiN-2)(UaE-XBiN-2)

[화학식 423][423]

Figure pct00430
Figure pct00430

(E-XBiN-3)(E-XBiN-3)

[화학식 424][424]

Figure pct00431
Figure pct00431

(UaXBiN-3)(UaXBiN-3)

[화학식 425][425]

Figure pct00432
Figure pct00432

(UaE-XBiN-3)(UaE-XBiN-3)

[화학식 426][426]

Figure pct00433
Figure pct00433

(E-P-3)(E-P-3)

[화학식 427][427]

Figure pct00434
Figure pct00434

(UaP-3)(UaP-3)

[화학식 428][428]

Figure pct00435
Figure pct00435

(UaE-P-3)(UaE-P-3)

[화학식 429][429]

Figure pct00436
Figure pct00436

(E-P-4)(E-P-4)

[화학식 430][430]

Figure pct00437
Figure pct00437

(UaP-4)(UaP-4)

[화학식 431][431]

Figure pct00438
Figure pct00438

(UaE-P-4)(UaE-P-4)

[화학식 432][432]

Figure pct00439
Figure pct00439

(E-XBisN-2)(E-XBisN-2)

[화학식 433][433]

Figure pct00440
Figure pct00440

(UaXBisN-2)(UaXBisN-2)

[화학식 434][434]

Figure pct00441
Figure pct00441

(UaE-XBisN-2)(UaE-XBisN-2)

[화학식 435][435]

Figure pct00442
Figure pct00442

(E-XBisN-3)(E-XBisN-3)

[화학식 436][436]

Figure pct00443
Figure pct00443

(UaXBisN-3)(UaXBisN-3)

[화학식 437][Chemical Formula 437]

Figure pct00444
Figure pct00444

(UaE-XBisN-3)(UaE-XBisN-3)

[화학식 438][438]

Figure pct00445
Figure pct00445

(E-XBisN-4)(E-XBisN-4)

[화학식 439][439]

Figure pct00446
Figure pct00446

(UaXBisN-4)(UaXBisN-4)

[화학식 440][440]

Figure pct00447
Figure pct00447

(UaE-XBisN-4)(UaE-XBisN-4)

[화학식 441]&Lt; EMI ID =

Figure pct00448
Figure pct00448

(E-P-5)(E-P-5)

[화학식 442][442]

Figure pct00449
Figure pct00449

(UaP-5)(UaP-5)

[화학식 443]443]

Figure pct00450
Figure pct00450

(UaE-P-5)(UaE-P-5)

[화학식 444]444]

Figure pct00451
Figure pct00451

(E-P-6)(E-P-6)

[화학식 445][445]

Figure pct00452
Figure pct00452

(UaP-6)(UaP-6)

[화학식 446][446]

Figure pct00453
Figure pct00453

(UaE-P-6)(UaE-P-6)

(합성예 23) 수지(R1-XBisN-1)의 합성(Synthesis Example 23) Synthesis of Resin (R1-XBisN-1)

딤로스냉각관, 온도계 및 교반날개를 구비한, 바닥 탈부착이 가능한 내용적 1L의 4개구 플라스크를 준비하였다. 이 4개구 플라스크에, 질소기류중, 합성예 1에서 얻어진 화합물(XBisN-1)을 32.6g(70mmol, 미쯔비시가스화학(주)제), 40질량%포르말린 수용액 21.0g(포름알데히드로서 280mmol, 미쯔비시가스화학(주)제) 및 98질량% 황산(칸토화학(주)제) 0.97mL를 투입하고, 상압하, 100℃에서 환류시키면서 7시간 반응시켰다. 그 후, 희석용매로서 오르토자일렌(와코순약공업(주)제 시약특급) 180.0g을 반응액에 첨가하고, 정치 후, 하상(下相)의 수상을 제거하였다. 다시, 중화 및 수세를 행하고, 오르토자일렌을 감압하에서 유거함으로써, 갈색고체의 수지(R1-XBisN-1) 34.1g을 얻었다.A four liter flask with an internal volume of 1 L, equipped with a Dimros cooling tube, a thermometer and a stirrer, was prepared. 32.0 g (70 mmol, manufactured by Mitsubishi Gas Chemical Co., Ltd.) of the compound (XBisN-1) obtained in Synthetic Example 1 and 21.0 g of a 40 mass% formalin aqueous solution (280 mmol as formaldehyde, (Manufactured by Kagaku Kagaku Kogyo Co., Ltd.) and 98% by mass sulfuric acid (manufactured by Kanto Chemical Co., Ltd.) were charged and reacted for 7 hours while refluxing at 100 ° C under atmospheric pressure. Thereafter, 180.0 g of ortho xylene (Wako Pure Chemical Industries, Ltd.) as a diluting solvent was added to the reaction solution, and after standing, the water phase of the lower phase was removed. Again, neutralization and washing were carried out, and ortho-xylene was distilled off under reduced pressure to obtain 34.1 g of a brown solid resin (R1-XBisN-1).

얻어진 수지(R1-XBisN-1)는, Mn: 1975, Mw: 3650, Mw/Mn: 1.84였다.The obtained resin (R1-XBisN-1) had Mn: 1975, Mw: 3650, and Mw / Mn: 1.84.

(합성예 24) 수지(R2-XBisN-1)의 합성(Synthesis Example 24) Synthesis of Resin (R2-XBisN-1)

딤로스냉각관, 온도계 및 교반날개를 구비한, 바닥 탈부착이 가능한 내용적 1L의 4개구 플라스크를 준비하였다. 이 4개구 플라스크에, 질소기류중, 합성예 1에서 얻어진 화합물(XBisN-1)을 32.6g(70mmol, 미쯔비시가스화학(주)제), 4-비페닐알데히드 50.9g(280mmol, 미쯔비시가스화학(주)제), 아니솔(칸토화학(주)제) 100mL 및 옥살산이수화물(칸토화학(주)제) 10mL를 투입하고, 상압하, 100℃에서 환류시키면서 7시간 반응시켰다. 그 후, 희석용매로서 오르토자일렌(와코순약공업(주)제 시약특급) 180.0g을 반응액에 첨가하고, 정치 후, 하상의 수상을 제거하였다. 다시, 중화 및 수세를 행하고, 유기상의 용매 및 미반응의 4-비페닐알데히드를 감압하에서 유거함으로써, 갈색고체의 수지(R2-XBisN-1) 34.7g을 얻었다.A four liter flask with an internal volume of 1 L, equipped with a Dimros cooling tube, a thermometer and a stirrer, was prepared. (70 mmol, manufactured by Mitsubishi Gas Chemical Co., Ltd.), 50.9 g (280 mmol, manufactured by Mitsubishi Gas Chemical Co., Ltd.) of the compound (XBisN-1) obtained in Synthesis Example 1 100 mL of anisole (manufactured by Kanto Chemical Co., Ltd.) and 10 mL of oxalic acid dihydrate (manufactured by Kanto Chemical Co., Ltd.) were charged and reacted for 7 hours while refluxing at 100 DEG C under normal pressure. Thereafter, 180.0 g of ortho xylene (Wako Pure Chemical Industries, Ltd.) as a diluting solvent was added to the reaction solution, and after standing, the water phase was removed. The organic phase solvent and the unreacted 4-biphenylaldehyde were distilled off under reduced pressure to obtain 34.7 g of a brown solid resin (R2-XBisN-1).

얻어진 수지(R2-XBisN-1)는, Mn: 1610, Mw: 2567, Mw/Mn: 1.59였다.The obtained resin (R2-XBisN-1) had Mn: 1610, Mw: 2567, and Mw / Mn: 1.59.

<합성예 23A> E-R1-XBisN-1의 합성Synthesis Example 23A Synthesis of E-R1-XBisN-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 500ml의 용기에 합성예 23에서 얻어진 수지(R1-XBisN-1) 30g과 탄산칼륨 29.6g(214mmol)을 100ml디메틸포름아미드에 투입하고, 아세트산-2-클로로에틸 13.12g(108mmol)을 첨가하여, 반응액을 90℃에서 12시간 교반하여 반응을 행하였다. 다음에 반응액을 빙욕에서 냉각하여 결정을 석출시키고, 여과를 행하여 분리하였다. 이어서 교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 결정 40g, 메탄올 80g, THF 100g 및 24%수산화나트륨수용액을 투입하고, 반응액을 환류하에서 4시간 교반하여 반응을 행하였다. 그 후, 빙욕에서 냉각하고, 반응액을 농축하여 석출한 고형물을 여과하고, 건조시킴으로써, 갈색고체의 수지(E-R1-XBisN-1) 26.5g을 얻었다.30 g of the resin (R1-XBisN-1) obtained in Synthesis Example 23 and 29.6 g (214 mmol) of potassium carbonate were added to 100 ml of dimethylformamide in a container having an internal volume of 500 ml equipped with a stirrer, a cooling tube and a burette, 13.12 g (108 mmol) of chloroethyl was added, and the reaction solution was stirred at 90 캜 for 12 hours to carry out the reaction. Next, the reaction solution was cooled in an ice bath to precipitate crystals, which were separated by filtration. Subsequently, 40 g of the above crystals, 80 g of methanol, 100 g of THF, and 24% aqueous sodium hydroxide solution were added to a container of 100 mL in an internal volume equipped with a stirrer, a cooling tube and a burette, and the reaction solution was stirred under reflux for 4 hours for reaction. Thereafter, the solution was cooled in an ice bath, and the reaction solution was concentrated. The precipitated solid was filtered and dried to obtain 26.5 g of a brown solid resin (E-R1-XBisN-1).

얻어진 수지(E-R1-XBisN-1)은, Mn: 2176, Mw: 3540, Mw/Mn: 1.62였다.The obtained resin (E-R1-XBisN-1) had Mn: 2176, Mw: 3540, and Mw / Mn: 1.62.

<합성실시예 23-1> UaR1-XBisN-1의 합성<Synthesis Example 23-1> Synthesis of UaR1-XBisN-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 500mL의 용기에 상기 식(R1-XBisN-1)로 표시되는 수지 20.0g, 2-이소시아나토에틸메타크릴레이트 12.2g, 트리에틸아민 1.0g, p-메톡시페놀 0.1g을 100mL메틸이소부틸케톤에 투입하고, 80℃로 가온하여 교반한 상태로, 24시간 교반하여 반응을 행하였다. 50℃까지 냉각하고, 반응액을 순수 중에 적하하여 석출한 고형물을 여과하고, 건조시킨 후, 갈색고체의 (UaR1-XBisN-1)로 표시되는 수지 23.6g을 얻었다.20.0 g of a resin represented by the above formula (R1-XBisN-1), 12.2 g of 2-isocyanatoethyl methacrylate, 1.0 g of triethylamine, 1.0 g of p -Methoxyphenol were added to 100 mL of methyl isobutyl ketone, and the mixture was stirred for 24 hours while heating at 80 DEG C and the reaction was carried out. The reaction solution was cooled to 50 deg. C and the reaction solution was added dropwise to pure water. The precipitated solid was filtered and dried to obtain 23.6 g of a brown solid (UaR1-XBisN-1) resin.

얻어진 수지(UaR1-XBisN-1)는, Mn: 2130, Mw: 3590, Mw/Mn: 1.55였다.The obtained resin (UaR1-XBisN-1) had Mn: 2130, Mw: 3590, and Mw / Mn: 1.55.

<합성실시예 23-2> UaE-R1-XBisN-1의 합성<Synthesis Example 23-2> Synthesis of UaE-R1-XBisN-1

합성예 23에서 얻어진 상기 식(R1-XBisN-1)로 표시되는 수지 대신에, 합성예 23A에서 얻어진 상기 식(E-R1-XBisN-1)을 이용한 것 이외에는 합성실시예 23-1과 동일하게 반응시켜, 갈색고체의 (UaE-R1-XBisN-1)로 표시되는 수지 25.0g을 얻었다.(E-R1-XBisN-1) obtained in Synthesis Example 23A was used instead of the resin represented by the formula (R1-XBisN-1) obtained in Synthesis Example 23 in the same manner as in Synthesis Example 23-1 To obtain 25.0 g of a resin represented by (UaE-R1-XBisN-1) as a brown solid.

얻어진 수지(UaE-R1-XBisN-1)는, Mn: 2371, Mw: 4240, Mw/Mn: 1.79였다.The obtained resin (UaE-R1-XBisN-1) had Mn: 2371, Mw: 4240, and Mw / Mn: 1.79.

<합성예 24A> E-R2-XBisN-1의 합성Synthesis Example 24A Synthesis of E-R2-XBisN-1

교반기, 냉각관 및 뷰렛을 구비한 내용적 500mL의 용기에 상기 서술한 수지(R2-XBisN-1) 30g과 탄산칼륨 29.6g(214mmol)을 100mL디메틸포름아미드에 투입하고, 아세트산-2-클로로에틸 13.12g(108mmol)을 첨가하여, 반응액을 90℃에서 12시간 교반하여 반응을 행하였다. 다음에 반응액을 빙욕에서 냉각하여 결정을 석출시키고, 여과를 행하여 분리하였다. 이어서 교반기, 냉각관 및 뷰렛을 구비한 내용적 100mL의 용기에 상기 결정 40g, 메탄올 80g, THF 100g 및 24%수산화나트륨수용액을 투입하고, 반응액을 환류하에서 4시간 교반하여 반응을 행하였다. 그 후, 빙욕에서 냉각하고, 반응액을 농축하여 석출한 고형물을 여과하고, 건조시킴으로써, 갈색고체의 수지(E-R2-XBisN-1) 22.3g을 얻었다.30 g of the above-mentioned resin (R2-XBisN-1) and 29.6 g (214 mmol) of potassium carbonate were added to 100 ml of dimethylformamide in a container having an internal volume of 500 ml equipped with a stirrer, a cooling tube and a burette, (108 mmol) were added, and the reaction solution was stirred at 90 占 폚 for 12 hours to carry out the reaction. Next, the reaction solution was cooled in an ice bath to precipitate crystals, which were separated by filtration. Subsequently, 40 g of the above crystals, 80 g of methanol, 100 g of THF, and 24% aqueous sodium hydroxide solution were added to a container of 100 mL in an internal volume equipped with a stirrer, a cooling tube and a burette, and the reaction solution was stirred under reflux for 4 hours for reaction. Thereafter, the mixture was cooled in an ice bath, and the reaction solution was concentrated. The precipitated solid was filtered and dried to obtain 22.3 g of a brown solid resin (E-R2-XBisN-1).

얻어진 수지(E-R2-XBisN-1)는, Mn: 2516, Mw: 3960, Mw/Mn: 1.62였다.The obtained resin (E-R2-XBisN-1) had Mn of 2516, Mw of 3960 and Mw / Mn of 1.62.

<합성실시예 24-1> UaR2-XBisN-1의 합성<Synthesis Example 24-1> Synthesis of UaR2-XBisN-1

합성예 23에서 얻어진 상기 식(R1-XBisN-1) 대신에, 합성예 24에서 얻어진 상기 식(R2-XBisN-1)로 표시되는 화합물 33.2g을 사용한 것 이외에는 합성실시예 23-1과 동일하게 반응시켜, 갈색고체의 (UaR2-XBisN-1)로 표시되는 수지 40.1g을 얻었다.Except that 33.2 g of the compound represented by the formula (R2-XBisN-1) obtained in Synthesis Example 24 was used in place of the compound represented by the formula (R1-XBisN-1) obtained in Synthesis Example 23 To obtain 40.1 g of a resin represented by (UaR2-XBisN-1) as a brown solid.

얻어진 수지(UaR2-XBisN-1)는, Mn: 2446, Mw: 4510, Mw/Mn: 1.84였다.The obtained resin (UaR2-XBisN-1) had Mn: 2446, Mw: 4510, and Mw / Mn: 1.84.

<합성실시예 24-2> UaE-R2-XBisN-1의 합성<Synthesis Example 24-2> Synthesis of UaE-R2-XBisN-1

합성예 23에서 얻어진 상기 식(R1-XBisN-1)로 표시되는 수지 대신에, 합성예 24A에서 얻어진 상기 식(E-R2-XBisN-1)을 이용한 것 이외에는 합성실시예 23-1과 동일하게 반응시켜, 갈색고체의 (UaE-R2-XBisN-1)로 표시되는 수지 29.0g을 얻었다.(E-R2-XBisN-1) obtained in Synthesis Example 24A was used instead of the resin represented by the formula (R1-XBisN-1) obtained in Synthesis Example 23, To obtain 29.0 g of a resin represented by (UaE-R2-XBisN-1) as a brown solid.

얻어진 수지(UaE-R2-XBisN-1)는, Mn: 2679, Mw: 4830, Mw/Mn: 1.80이었다.The obtained resin (UaE-R2-XBisN-1) had Mn: 2679, Mw: 4830, and Mw / Mn: 1.80.

(합성비교예 1)(Synthetic Comparative Example 1)

딤로스냉각관, 온도계 및 교반날개를 구비한, 바닥 탈부착이 가능한 내용적 10L의 4개구 플라스크를 준비하였다. 이 4개구 플라스크에, 질소기류중, 1,5-디메틸나프탈렌 1.09kg(7mol, 미쯔비시가스화학(주)제), 40질량%포르말린 수용액 2.1kg(포름알데히드로서 28mol, 미쯔비시가스화학(주)제) 및 98질량% 황산(칸토화학(주)제) 0.97mL를 투입하고, 상압하, 100℃에서 환류시키면서 7시간 반응시켰다. 그 후, 희석용매로서 에틸벤젠(와코순약공업(주)제 시약특급) 1.8kg을 반응액에 첨가하고, 정치 후, 하상의 수상을 제거하였다. 다시, 중화 및 수세를 행하고, 에틸벤젠 및 미반응의 1,5-디메틸나프탈렌을 감압하에서 유거함으로써, 담갈색고체의 디메틸나프탈렌포름알데히드 수지 1.25kg을 얻었다. 얻어진 디메틸나프탈렌포름알데히드의 분자량은, Mn: 562였다.A four-necked flask with an inner volume of 10 L was provided with a bottom-detachable flask equipped with a Dimros cooling tube, a thermometer and a stirrer. To this four-necked flask, 1.09 kg (7 mol, manufactured by Mitsubishi Gas Chemical Co., Ltd.) of 1,5-dimethylnaphthalene, 2.1 kg (40 mol% formaldehyde solution: 28 mol, manufactured by Mitsubishi Gas Chemical Co., ) And 0.97 mL of 98 mass% sulfuric acid (manufactured by Kanto Kagaku K.K.), and the mixture was reacted for 7 hours while refluxing at 100 ° C under atmospheric pressure. Thereafter, 1.8 kg of ethylbenzene (a reagent grade manufactured by Wako Pure Chemical Industries, Ltd.) as a diluting solvent was added to the reaction solution, and after standing, the water phase of the bed was removed. Again, neutralization and washing were carried out, and ethylbenzene and unreacted 1,5-dimethylnaphthalene were distilled off under reduced pressure to obtain 1.25 kg of a dimethylnaphthalene formaldehyde resin having a pale brown solid. The molecular weight of the obtained dimethylnaphthalene formaldehyde was Mn: 562.

이어서, 딤로스냉각관, 온도계 및 교반날개를 구비한 내용적 0.5L의 4개구 플라스크를 준비하였다. 이 4개구 플라스크에, 질소기류하에서, 상기와 같이 하여 얻어진 디메틸나프탈렌포름알데히드 수지 100g(0.51mol)과 파라톨루엔설폰산 0.05g을 투입하고, 190℃까지 승온시켜 2시간 가열한 후, 교반하였다. 그 후 다시, 1-나프톨 52.0g(0.36mol)을 첨가하고, 추가로 220℃까지 승온시켜 2시간 반응시켰다. 용제희석 후, 중화 및 수세를 행하고, 용제를 감압하에서 제거함으로써, 흑갈색고체의 변성 수지(CR-1) 126.1g을 얻었다. 얻어진 수지(CR-1)는, Mn: 885, Mw: 2220, Mw/Mn: 4.17이었다.Then, an inner 0.5 L four-necked flask equipped with a Dimros cooling tube, a thermometer and a stirring blade was prepared. 100 g (0.51 mol) of the dimethyl naphthalene formaldehyde resin obtained as described above and 0.05 g of paratoluene sulfonic acid were introduced into the four-necked flask under a nitrogen stream. The mixture was heated to 190 DEG C and heated for 2 hours and stirred. Thereafter, again 52.0 g (0.36 mol) of 1-naphthol was added, and further the temperature was raised to 220 ° C and the reaction was carried out for 2 hours. After solvent dilution, neutralization and washing were carried out, and the solvent was removed under reduced pressure to obtain 126.1 g of a modified resin (CR-1) as a dark brown solid. The obtained resin (CR-1) had Mn of 885, Mw of 2220 and Mw / Mn of 4.17.

(실시예 1-1~24-2, 비교예 1)(Examples 1-1 to 24-2, Comparative Example 1)

상기 합성실시예 1-1~24-2에 기재된 화합물 혹은 수지, 합성비교예 1에 기재된 CR-1을 이용하여 용해도시험을 행하였다. 결과를 표 8에 나타낸다.The solubility test was carried out using the compound or resin described in Synthesis Examples 1-1 to 24-2 and CR-1 described in Synthesis Comparative Example 1. The results are shown in Table 8.

또한, 표 8에 나타내는 조성의 리소그래피용 하층막 형성재료를 각각 조제하였다.Further, the lower layer film forming material for lithography having the composition shown in Table 8 was prepared.

다음에, 이들 리소그래피용 하층막 형성재료를 실리콘기판 상에 회전도포하고, 그 후, 240℃에서 60초간, 다시 400℃에서 120초간 베이크하여, 막두께 200nm의 하층막을 각각 제작하였다. 산발생제, 가교제 및 유기용매에 대해서는 이하의 것을 이용하였다.Subsequently, these lower layer film forming materials for lithography were spin-coated on the silicon substrate, and then baked at 240 캜 for 60 seconds and then at 400 캜 for 120 seconds to prepare lower layer films each having a film thickness of 200 nm. The following acid generators, crosslinking agents and organic solvents were used.

산발생제: 미도리화학사제 디터셔리부틸디페닐요오드늄노나플루오로메탄설포네이트(DTDPI)Acid generator: di-tert-butyl diphenyl iodonium nonafluoromethane sulfonate (DTDPI) manufactured by Midori Kagaku Co.,

가교제: 산와케미칼사제 니카락MX270(니카락)Crosslinking agent: NIKARAK MX270 (NIKARAK) manufactured by SANWA CHEMICAL CO.

유기용매: 프로필렌글리콜모노메틸에테르아세테이트아세테이트(PGMEA)Organic solvent: Propylene glycol monomethyl ether acetate acetate (PGMEA)

(실시예 25~44)(Examples 25 to 44)

또한, 하기 표 9에 나타내는 조성의 리소그래피용 하층막 형성재료를 각각 조제하였다. 다음에, 이들 리소그래피용 하층막 형성재료를 실리콘기판 상에 회전도포하고, 그 후, 110℃에서 60초간 베이크하여 도막의 용매를 제거한 후, 고압수은램프에 의해, 적산노광량 600mJ/cm2, 조사시간 20초로 경화시켜 막두께 200nm의 하층막을 각각 제작하였다. 광라디칼 중합개시제, 가교제 및 유기용매에 대해서는 다음의 것을 이용하였다.Further, materials for forming a lower layer film for lithography having the compositions shown in Table 9 were prepared. Next, these coated lithographic rotate the lower layer film formation material for the silicon substrate, and thereafter, after removal of the solvent in the coating film by baking at 110 ℃ 60 seconds, with a high-pressure mercury lamp, the integrated exposure dose 600mJ / cm 2, irradiation And the time was 20 seconds to prepare a lower layer film having a film thickness of 200 nm. For the photo-radical polymerization initiator, crosslinking agent and organic solvent, the following were used.

라디칼 중합개시제: BASF사제 IRGACURE184Radical polymerization initiator: IRGACURE 184 manufactured by BASF

가교제:Crosslinking agent:

(1) 산와케미칼사제 니카락MX270(니카락)(1) NIKARAK MX270 manufactured by SANWA CHEMICAL Co., Ltd. (NIKARAK)

(2) 미쯔비시가스화학제 디알릴비스페놀A형 시아네이트(DABPA-CN)(2) Mitsubishi Gas Chemical Diallyl bisphenol A cyanate (DABPA-CN)

(3) 코니시화학공업제 디알릴비스페놀A(BPA-CA)(3) Diallyl bisphenol A (BPA-CA) manufactured by Konishi Chemical Industry Co.,

(4) 코니시화학공업제 벤조옥사진(BF-BXZ)(4) benzooxane photo (BF-BXZ) manufactured by Konishi Chemical Industry Co.,

(5) 일본화약제 비페닐아랄킬형 에폭시 수지(NC-3000-L)(5) Japanese Chemical Agent Biphenyl aralkyl type epoxy resin (NC-3000-L)

유기용매: 프로필렌글리콜모노메틸에테르아세테이트아세테이트(PGMEA)Organic solvent: Propylene glycol monomethyl ether acetate acetate (PGMEA)

상기 가교제의 구조를 하기 식으로 나타낸다.The structure of the crosslinking agent is represented by the following formula.

[화학식 447]447 &lt;

Figure pct00454
Figure pct00454

(DABPA-CN)(DABPA-CN)

[화학식 448][448]

Figure pct00455
Figure pct00455

(BPA-CA)(BPA-CA)

[화학식 449]&Lt; EMI ID =

Figure pct00456
Figure pct00456

(BF-BXZ)(BF-BXZ)

[화학식 450][Formula 450]

Figure pct00457
Figure pct00457

(NC-3000-L)(NC-3000-L)

(상기 식 중, n은 1~4의 정수이다.)(Wherein n is an integer of 1 to 4)

[에칭내성][Etching Resistance]

하기에 나타내는 조건으로 에칭시험을 행하고, 에칭내성을 평가하였다. 평가결과를 표 1 및 표 8 및 표 9에 나타낸다.An etching test was conducted under the conditions shown below to evaluate the etching resistance. The evaluation results are shown in Tables 1 and 8 and Table 9.

(에칭시험조건)(Etching test conditions)

에칭장치: 삼코인터내셔널사제 RIE-10NREtching apparatus: RIE-10NR manufactured by SAMCO INTERNATIONAL

출력: 50WOutput: 50W

압력: 20PaPressure: 20 Pa

시간: 2minTime: 2min

에칭가스Etching gas

Ar가스유량:CF4가스유량:O2가스유량=50:5:5(sccm)Ar gas flow rate: CF 4 gas flow rate: O 2 gas flow rate = 50: 5: 5 (sccm)

에칭내성의 평가는, 이하의 순서로 행하였다.The evaluation of the etching resistance was carried out in the following order.

우선, 실시예 1-1에 있어서 이용하는 화합물(UaXBisN-1) 대신에 노볼락(군에이화학사제 PSM4357)을 이용하는 것 이외에는, 실시예 1-1과 동일한 조건으로, 노볼락의 하층막을 제작하였다. 그리고, 이 노볼락의 하층막을 대상으로 하여, 상기 에칭시험을 행하고, 그때의 에칭레이트를 측정하였다.First, a novolac underlayer film was prepared under the same conditions as in Example 1-1, except that novolac (PSM4357, manufactured by Gene Chemical Co., Ltd.) was used instead of the compound (UaXBisN-1) used in Example 1-1. Then, the novolak underlayer film was subjected to the above etching test, and the etching rate at that time was measured.

다음에, 실시예 1-1 및 비교예 1의 하층막을 대상으로 하여, 상기 에칭시험을 동일하게 행하고, 그때의 에칭레이트를 측정하였다. 그리고, 노볼락의 하층막의 에칭레이트를 기준으로 하여, 이하의 평가기준으로 에칭내성을 평가하였다.Next, the lower layer films of Example 1-1 and Comparative Example 1 were subjected to the same etching test, and the etching rate at that time was measured. Then, with respect to the etching rate of the novolac underlayer film, the etching resistance was evaluated based on the following evaluation criteria.

[평가기준][Evaluation standard]

A: 노볼락의 하층막에 비해 에칭레이트가, -10% 미만A: The etching rate was less than -10% as compared with the novolak underlayer film

B: 노볼락의 하층막에 비해 에칭레이트가, -10% ~ +5%B: an etching rate of -10% to + 5%, as compared with the lower layer film of novolak;

C: 노볼락의 하층막에 비해 에칭레이트가, +5% 초과C: Etching rate exceeded + 5% as compared with the lower layer film of novolac

[표 8][Table 8]

Figure pct00458
Figure pct00458

[표 9][Table 9]

Figure pct00459
Figure pct00459

(실시예 45~48)(Examples 45 to 48)

다음에, UaXBisN-1, UaE-XBisN-1, UaBisF-1, 또는 UaE-BisF-1을 포함하는 리소그래피용 하층막 형성재료의 각 용액을 막두께 300nm의 SiO2기판 상에 도포하여, 240℃에서 60초간, 다시 400℃에서 120초간 베이크함으로써, 막두께 70nm의 하층막을 형성하였다. 이 하층막 상에, ArF용 레지스트용액을 도포하고, 130℃에서 60초간 베이크함으로써, 막두께 140nm의 포토레지스트층을 형성하였다. 한편, ArF레지스트용액으로는, 하기 식(11)의 화합물: 5질량부, 트리페닐설포늄노나플루오로메탄설포네이트: 1질량부, 트리부틸아민: 2질량부, 및 PGMEA: 92질량부를 배합하여 조제한 것을 이용하였다.Subsequently, each solution of the lower layer film forming material for lithography including UaXBisN-1, UaE-XBisN-1, UaBisF-1, or UaE-BisF-1 was applied on a SiO 2 substrate having a film thickness of 300 nm, For 60 seconds and again at 400 DEG C for 120 seconds to form a lower film having a film thickness of 70 nm. On this lower layer film, a resist solution for ArF was applied and baked at 130 캜 for 60 seconds to form a photoresist layer having a thickness of 140 nm. On the other hand, as the ArF resist solution, 5 parts by mass of the compound of the following formula (11), 1 part by mass of triphenylsulfonium nonafluoromethane sulfonate, 2 parts by mass of tributylamine, and 92 parts by mass of PGMEA Were used.

식(11)의 화합물은, 2-메틸-2-메타크릴로일옥시아다만탄 4.15g, 메타크릴로일옥시-γ-부티로락톤 3.00g, 3-하이드록시-1-아다만틸메타크릴레이트 2.08g, 아조비스이소부티로니트릴 0.38g을, 테트라하이드로푸란 80mL에 용해시켜 반응용액으로 하였다. 이 반응용액을, 질소분위기하, 반응온도를 63℃로 유지하여, 22시간 중합시킨 후, 반응용액을 400mL의 n-헥산중에 적하하였다. 이렇게 하여 얻어지는 생성 수지를 응고정제시키고, 생성된 백색분말을 여과하고, 감압하 40℃에서 하룻밤 건조시켜 얻었다.The compound of the formula (11) was prepared by reacting 4.15 g of 2-methyl-2-methacryloyloxyadamantane, 3.00 g of methacryloyloxy- gamma -butyrolactone, 3.00 g of 3-hydroxy- 2.08 g of the compound and 0.38 g of azobisisobutyronitrile were dissolved in 80 mL of tetrahydrofuran to prepare a reaction solution. The reaction solution was polymerized for 22 hours while maintaining the reaction temperature at 63 캜 in a nitrogen atmosphere, and then the reaction solution was added dropwise to 400 mL of n-hexane. The resulting resin thus obtained was coagulated and purified, and the resulting white powder was filtered and dried overnight at 40 캜 under reduced pressure.

[화학식 451][451]

Figure pct00460
Figure pct00460

(11)(11)

상기 식(11) 중, 「40」, 「40」, 「20」이라 되어 있는 것은, 각 구성단위의 비율을 나타내는 것이며, 블록공중합체를 나타내는 것은 아니다.In the above formula (11), "40", "40" and "20" represent the ratio of each constituent unit and do not represent a block copolymer.

이어서, 전자선 묘화장치(에리오닉스사제; ELS-7500, 50keV)를 이용하여, 포토레지스트층을 노광하고, 115℃에서 90초간 베이크(PEB)하고, 2.38질량%테트라메틸암모늄하이드록사이드(TMAH) 수용액으로 60초간 현상함으로써, 포지티브형의 레지스트패턴을 얻었다.Subsequently, the photoresist layer was exposed using an electron beam lithography apparatus (ELS-7500, 50 keV, manufactured by Erionix), baked at 115 캜 for 90 seconds (PEB), and immersed in 2.38 mass% tetramethylammonium hydroxide (TMAH) And then developed with an aqueous solution for 60 seconds to obtain a positive resist pattern.

얻어진 55nmL/S(1:1) 및 80nmL/S(1:1)의 레지스트패턴의 형상 및 결함을 (주)히타치제작소제 전자현미경(S-4800)을 이용하여 관찰하였다. 현상 후의 레지스트패턴의 형상에 대해서는, 패턴무너짐이 없고, 직사각형성이 양호한 것을 「양호」로 하고, 그 이외를 「불량」으로 하여 평가하였다. 또한, 상기 관찰의 결과, 패턴무너짐이 없고, 직사각형성이 양호한 최소의 선폭을 “해상성”으로 하여 평가의 지표로 하였다. 나아가, 양호한 패턴형상을 묘화가능한 최소의 전자선에너지량을 “감도”로 하여, 평가의 지표로 하였다. 그 결과를, 표 10에 나타낸다.The shapes and defects of the obtained resist patterns of 55 nm L / S (1: 1) and 80 nm L / S (1: 1) were observed using an electron microscope (S-4800) manufactured by Hitachi, Ltd. Regarding the shape of the resist pattern after development, evaluation was made for "no pattern collapse", "good" for good rectangularity and "poor" for other. As a result of the above observation, the minimum line width with no pattern collapse and good rectangularity was regarded as an index of evaluation as &quot; resolution. &Quot; Furthermore, the minimum amount of electron beam energy capable of drawing a good pattern shape was defined as &quot; sensitivity &quot; The results are shown in Table 10.

(비교예 2)(Comparative Example 2)

하층막의 형성을 행하지 않은 것 이외에는, 실시예 45와 동일하게 하여, 포토레지스트층을 SiO2기판 상에 직접 형성하고, 포지티브형의 레지스트패턴을 얻었다. 결과를 표 10에 나타낸다.A photoresist layer was directly formed on the SiO 2 substrate in the same manner as in Example 45 except that formation of the lower layer film was not performed, and a positive resist pattern was obtained. The results are shown in Table 10.

[표 10][Table 10]

Figure pct00461
Figure pct00461

표 10으로부터 분명한 바와 같이, 본 실시형태의 화합물인 UaXBisN-1, UaE-XBisN-1, UaBisF-1, UaE-BisF-1을 이용한 실시예에서는, 내열성, 용해도 및 에칭내성의 모든 점에서 양호한 것이 확인되었다. 한편, CR-1(페놀변성디메틸나프탈렌포름알데히드 수지)을 이용한 비교예 1에서는, 에칭내성이 불량하였다.As is evident from Table 10, the examples using UaXBisN-1, UaE-XBisN-1, UaBisF-1 and UaE-BisF-1, which are compounds of the present embodiment, are all excellent in heat resistance, solubility and etching resistance . On the other hand, in Comparative Example 1 using CR-1 (phenol-modified dimethylnaphthalene formaldehyde resin), the etching resistance was poor.

또한, 실시예 45~48에서는, 현상 후의 레지스트패턴형상이 양호하고, 결함도 보이지 않는 것이 확인되었다. 하층막의 형성을 생략한 비교예 2에 비해, 해상성 및 감도 모두 유의하게 우수한 것이 확인되었다.In Examples 45 to 48, it was confirmed that the resist pattern shape after development was satisfactory and no defects were seen. It was confirmed that both the resolution and the sensitivity were significantly superior to those of Comparative Example 2 in which formation of the lower layer film was omitted.

나아가, 현상 후의 레지스트패턴형상의 상이로부터, 실시예 45~48에 있어서 이용한 리소그래피용 하층막 형성재료는, 레지스트재료와의 밀착성이 높은 것이 나타났다.Furthermore, from the difference in shape of the resist pattern after development, it was found that the lower layer film forming material for lithography used in Examples 45 to 48 had a high adhesion to a resist material.

<실시예 49~52>&Lt; Examples 49 to 52 >

실시예 1-1~2-2에서 얻어진 리소그래피용 하층막 형성재료의 용액을 막두께 300nm의 SiO2기판 상에 도포하여, 240℃에서 60초간, 다시 400℃에서 120초간 베이크함으로써, 막두께 80nm의 하층막을 형성하였다. 이 하층막 상에, 규소함유 중간층재료를 도포하고, 200℃에서 60초간 베이크함으로써, 막두께 35nm의 중간층막을 형성하였다. 다시, 이 중간층막 상에, 상기 ArF용 레지스트용액을 도포하고, 130℃에서 60초간 베이크함으로써, 막두께 150nm의 포토레지스트층을 형성하였다. 한편, 규소함유 중간층재료로는, 일본특허공개 2007-226170호 공보 <합성예 1>에 기재된 규소원자함유 폴리머를 이용하였다.The solution of the lower layer film forming material for lithography obtained in Examples 1-1 and 2-2 was coated on a SiO 2 substrate having a film thickness of 300 nm and baked at 240 캜 for 60 seconds and again at 400 캜 for 120 seconds to form a film having a thickness of 80 nm Of the lower layer was formed. A silicon-containing intermediate layer material was coated on the lower layer film and baked at 200 캜 for 60 seconds to form an intermediate layer film having a film thickness of 35 nm. The resist solution for ArF was coated on the intermediate layer film and baked at 130 캜 for 60 seconds to form a photoresist layer having a film thickness of 150 nm. On the other hand, as the silicon-containing intermediate layer material, a silicon atom-containing polymer described in Synthesis Example 1 of JP-A-2007-226170 was used.

이어서, 전자선 묘화장치(에리오닉스사제; ELS-7500, 50keV)를 이용하여, 포토레지스트층을 마스크노광하고, 115℃에서 90초간 베이크(PEB)하고, 2.38질량%테트라메틸암모늄하이드록사이드(TMAH) 수용액으로 60초간 현상함으로써, 55nmL/S(1:1)의 포지티브형의 레지스트패턴을 얻었다.Subsequently, the photoresist layer was exposed to a mask using an electron beam lithography apparatus (ELS-7500, 50 keV, manufactured by Erionix), baked (PEB) at 115 DEG C for 90 seconds and then immersed in 2.38 mass% tetramethylammonium hydroxide ) Aqueous solution for 60 seconds to obtain a positive resist pattern of 55 nm L / S (1: 1).

그 후, 삼코인터내셔널사제 RIE-10NR을 이용하여, 얻어진 레지스트패턴을 마스크로 하여 규소함유 중간층막(SOG)의 드라이에칭가공을 행하고, 이어서, 얻어진 규소함유 중간층막패턴을 마스크로 한 하층막의 드라이에칭가공과, 얻어진 하층막패턴을 마스크로 한 SiO2막의 드라이에칭가공을 순차 행하였다.Thereafter, dry etching of the silicon-containing interlayer film (SOG) was performed using RIE-10NR manufactured by Sanko International Co., using the obtained resist pattern as a mask, and then dry etching of the lower layer film using the obtained silicon- And the dry etching process of the SiO 2 film using the obtained lower layer film pattern as a mask were sequentially performed.

각각의 에칭조건은, 하기에 나타내는 바와 같다.The respective etching conditions are as follows.

레지스트패턴의 레지스트 중간층막에 대한 에칭조건Etching conditions for the resist interlayer film of the resist pattern

출력: 50WOutput: 50W

압력: 20PaPressure: 20 Pa

시간: 1minTime: 1min

에칭가스Etching gas

Ar가스유량:CF4가스유량:O2가스유량=50:8:2(sccm)Ar gas flow rate: CF 4 gas flow rate: O 2 gas flow rate = 50: 8: 2 (sccm)

레지스트 중간막패턴의 레지스트 하층막에 대한 에칭조건Etching conditions for resist lower layer film of resist interlayer film pattern

출력: 50WOutput: 50W

압력: 20PaPressure: 20 Pa

시간: 2minTime: 2min

에칭가스Etching gas

Ar가스유량:CF4가스유량:O2가스유량=50:5:5(sccm)Ar gas flow rate: CF 4 gas flow rate: O 2 gas flow rate = 50: 5: 5 (sccm)

레지스트 하층막패턴의 SiOThe resist underlayer film pattern SiO 22 막에 대한 에칭조건Etching conditions for the film

출력: 50WOutput: 50W

압력: 20PaPressure: 20 Pa

시간: 2minTime: 2min

에칭가스Etching gas

Ar가스유량:C5F12가스유량:C2F6가스유량:O2가스유량=50:4:3:1(sccm)Ar gas flow rate: C 5 F 12 gas flow rate: C 2 F 6 gas flow rate: O 2 gas flow rate = 50: 4: 3: 1 (sccm)

[평가][evaluation]

상기와 같이 하여 얻어진 패턴단면(에칭 후의 SiO2막의 형상)을, (주)히타치제작소제 전자현미경(S-4800)을 이용하여 관찰한 결과, 본 실시형태의 하층막을 이용한 실시예는, 다층 레지스트가공에 있어서의 에칭 후의 SiO2막의 형상은 직사각형이고, 결함도 보이지 않고 양호한 것이 확인되었다.As a result of observing the pattern end face thus obtained (the shape of the SiO 2 film after etching) using an electron microscope (S-4800) manufactured by Hitachi, Ltd., the example using the lower layer film of this embodiment, It was confirmed that the shape of the SiO 2 film after etching in processing was rectangular, and no defects were seen, and that it was good.

[실시예 53~56][Examples 53 to 56]

상기 합성실시예에서 합성한 각 화합물을 이용하여, 하기 표 11에 나타내는 배합으로 광학부품 형성 조성물을 조제하였다. 한편, 표 11 중의 광학부품 형성 조성물의 각 성분 중, 산발생제, 가교제, 산확산억제제, 및 용매에 대해서는, 이하의 것을 이용하였다.Using the respective compounds synthesized in the above Synthesis Examples, optical component forming compositions were prepared in the formulations shown in Table 11 below. On the other hand, the following components were used for the acid generator, the crosslinking agent, the acid diffusion inhibitor and the solvent in the respective components of the optical component forming composition in Table 11.

산발생제: 미도리화학사제 디터셔리부틸디페닐요오드늄노나플루오로메탄설포네이트(DTDPI)Acid generator: di-tert-butyl diphenyl iodonium nonafluoromethane sulfonate (DTDPI) manufactured by Midori Kagaku Co.,

가교제: 산와케미칼사제 니카락MX270(니카락)Crosslinking agent: NIKARAK MX270 (NIKARAK) manufactured by SANWA CHEMICAL CO.

유기용매: 프로필렌글리콜모노메틸에테르아세테이트아세테이트(PGMEA)Organic solvent: Propylene glycol monomethyl ether acetate acetate (PGMEA)

[막 형성의 평가][Evaluation of film formation]

균일상태의 광학부품 형성 조성물을 청정한 실리콘 웨이퍼 상에 회전도포한 후, 110℃의 오븐 중에서 프리베이크(prebake: PB)하여, 두께 1μm의 광학부품 형성막을 형성하였다. 조제한 광학부품 형성 조성물에 대하여, 막 형성이 양호한 경우에는 「A」, 형성한 막에 결함이 있는 경우에는 「C」로 평가하였다.The optical component-forming composition in a uniform state was spin-coated on a clean silicon wafer and then prebaked (PB) in an oven at 110 캜 to form an optical component-forming film having a thickness of 1 탆. The prepared optical component-forming composition was evaluated as "A" when the film formation was good and "C" when the film was defective.

[굴절률 및 투과율의 평가][Evaluation of refractive index and transmittance]

균일한 광학부품 형성 조성물을 청정한 실리콘 웨이퍼 상에 회전도포한 후, 110℃의 오븐 중에서 PB하여, 두께 1μm의 막을 형성하였다. 그 막에 대해, 제이·에이·울람제 다입사각 분광엘립소미터VASE로, 25℃에 있어서의 굴절률(λ=589.3nm)을 측정하였다. 조제한 막에 대하여, 굴절률이 1.6 이상인 경우에는 「A」, 1.55 이상 1.6 미만인 경우에는 「B」, 1.55 미만인 경우에는 「C」로 평가하였다. 또한 투과율(λ=632.8nm)이 90% 이상인 경우에는 「A」, 90% 미만인 경우에는 「C」로 평가하였다.A uniform optical component-forming composition was spin-coated on a clean silicon wafer, and then the film was baked in an oven at 110 캜 to form a film having a thickness of 1 탆. For the film, the refractive index (? = 589.3 nm) at 25 占 폚 was measured with a spectroscopic ellipsometer VASE of the? When the refractive index was 1.6 or more, the prepared film was evaluated as "A". When the refractive index was 1.55 or more and 1.6 or less, the film was evaluated as "B". When the refractive index was less than 1.55, the film was evaluated as "C". When the transmittance (? = 632.8 nm) was 90% or more, it was evaluated as "A" and when it was less than 90%, it was evaluated as "C".

[표 11][Table 11]

Figure pct00462
Figure pct00462

[실시예 57~60][Examples 57 to 60]

상기 합성실시예에서 합성한 각 화합물을 이용하여, 하기 표 12에 나타내는 배합으로 레지스트 조성물을 조제하였다. 한편, 표 12 중의 레지스트 조성물의 각 성분 중, 라디칼발생제, 라디칼확산억제제, 및 용매에 대해서는, 이하의 것을 이용하였다.Using the respective compounds synthesized in the above Synthesis Examples, resist compositions were prepared in the formulations shown in Table 12 below. On the other hand, of the components of the resist composition in Table 12, the following were used for the radical generator, the radical diffusion inhibitor, and the solvent.

라디칼발생제: BASF사제 IRGACURE 184Radical generator: IRGACURE 184 from BASF

라디칼확산제어제: BASF사제 IRGACURE 1010Radical Diffusion Control Agent: IRGACURE 1010 from BASF

유기용매: 프로필렌글리콜모노메틸에테르아세테이트아세테이트(PGMEA)Organic solvent: Propylene glycol monomethyl ether acetate acetate (PGMEA)

[평가방법][Assessment Methods]

(1) 레지스트 조성물의 보존안정성 및 박막 형성(1) Storage stability and thin film formation of resist composition

레지스트 조성물의 보존안정성은, 레지스트 조성물을 작성 후, 23℃ 50%RH로 3일간 정치하고, 석출의 유무를 육안으로 관찰함으로써 평가하였다. 3일간 정치 후의 레지스트 조성물에 있어서, 균일용액이고 석출이 없는 경우에는 A, 석출이 있는 경우는 C로 평가하였다. 또한, 균일상태의 레지스트 조성물을 청정한 실리콘 웨이퍼 상에 회전도포한 후, 110℃의 오븐 중에서 노광 전 베이크(PB)하여, 두께 40nm의 레지스트막을 형성하였다. 작성한 레지스트 조성물에 대하여, 박막 형성이 양호한 경우에는 A, 형성한 막에 결함이 있는 경우에는 C로 평가하였다.The storage stability of the resist composition was evaluated by observing the presence or absence of precipitation with naked eyes after the resist composition was prepared and allowed to stand at 23 캜 and 50% RH for 3 days. In the resist composition after standing for 3 days, the resist composition was evaluated as A in the case of uniform solution and no precipitation, and C in the case of precipitation. Further, the resist composition in a uniform state was spin-coated on a clean silicon wafer, and then baked (PB) in an oven at 110 캜 before exposure to form a resist film having a thickness of 40 nm. The prepared resist composition was evaluated as A when the film formation was good and C when the film formed was defective.

(2) 레지스트패턴의 패턴평가(2) Evaluation of pattern of resist pattern

균일한 레지스트 조성물을 청정한 실리콘 웨이퍼 상에 회전도포한 후, 110℃의 오븐 중에서 노광 전 베이크(PB)하여, 두께 60nm의 레지스트막을 형성하였다. 얻어진 레지스트막에 대해, 전자선 묘화장치(ELS-7500, (주)에리오닉스사제)를 이용하여, 50nm, 40nm 및 30nm 간격의 1:1의 라인앤스페이스 설정의 전자선을 조사하였다. 해당 조사 후에, 레지스트막을, 각각 소정의 온도에서, 90초간 가열하고, PGME에 60초간 침지하여 현상을 행하였다. 그 후, 레지스트막을, 초순수로 30초간 세정, 건조하여, 네거티브형의 레지스트패턴을 형성하였다. 형성된 레지스트패턴에 대하여, 라인앤스페이스를 주사형 전자현미경((주)히타치하이테크놀로지제 S-4800)에 의해 관찰하고, 레지스트 조성물의 전자선 조사에 의한 반응성을 평가하였다.A uniform resist composition was spin-coated on a clean silicon wafer, and then baked (PB) in an oven at 110 캜 before exposure to form a resist film having a thickness of 60 nm. The obtained resist film was irradiated with electron beams at a 1: 1 line-and-space setting of 50 nm, 40 nm, and 30 nm intervals using an electron beam drawing apparatus (ELS-7500, manufactured by Eriionix Co., Ltd.). After the irradiation, the resist film was heated at a predetermined temperature for 90 seconds and immersed in PGME for 60 seconds to carry out development. Thereafter, the resist film was rinsed with ultrapure water for 30 seconds and dried to form a negative resist pattern. The resist pattern thus formed was observed with a scanning electron microscope (S-4800, Hitachi High-Technologies Co., Ltd.) and the reactivity of the resist composition by electron beam irradiation was evaluated.

감도는, 패턴을 얻기 위해 필요한 단위면적당 최소의 에너지량으로 나타내고, 이하에 따라 평가하였다.The sensitivity was expressed by the minimum amount of energy per unit area required for obtaining the pattern, and evaluated according to the following.

A: 50μC/cm2 미만으로 패턴이 얻어진 경우A: When the pattern is obtained at less than 50 μC / cm 2

C: 50μC/cm2 이상으로 패턴이 얻어진 경우C: When a pattern is obtained at 50 μC / cm 2 or more

패턴 형성은, 얻어진 패턴형상을 SEM(주사형 전자현미경: Scanning Electron Microscope)으로 관찰하고, 이하에 따라 평가하였다.In the pattern formation, the obtained pattern shape was observed with an SEM (Scanning Electron Microscope) and evaluated according to the following.

A: 직사각형인 패턴이 얻어진 경우A: When a rectangular pattern is obtained

B: 거의 직사각형인 패턴이 얻어진 경우B: When a substantially rectangular pattern is obtained

C: 직사각형이 아닌 패턴이 얻어진 경우C: When a non-rectangular pattern is obtained

[표 12][Table 12]

Figure pct00463
Figure pct00463

상기 서술한 바와 같이, 본 발명은, 상기 실시형태 및 실시예로 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위 내에 있어서 적당히 변경하는 것이 가능하다.As described above, the present invention is not limited to the above-described embodiments and examples, and can be appropriately changed within a range not departing from the gist of the present invention.

본 실시형태의 화합물 및 수지는, 안전용매에 대한 용해성이 높고, 내열성 및 에칭내성이 양호하며, 본 실시형태의 레지스트 조성물은 양호한 레지스트패턴형상을 부여한다.The compound and resin of the present embodiment have high solubility in a safe solvent, good heat resistance and etching resistance, and the resist composition of the present embodiment gives a good resist pattern shape.

또한, 습식 프로세스를 적용할 수 있고, 내열성 및 에칭내성이 우수한 포토레지스트 하층막을 형성하기 위해 유용한 화합물, 수지 및 리소그래피용 막형성 조성물을 실현할 수 있다. 그리고, 이 리소그래피용 막형성 조성물은, 내열성이 높고, 용매용해성도 높은, 특정구조를 갖는 화합물 또는 수지를 이용하고 있으므로, 고온베이크시의 막의 열화가 억제되고, 산소플라즈마에칭 등에 대한 에칭내성도 우수한 레지스트 및 하층막을 형성할 수 있다. 더 나아가서는, 하층막을 형성한 경우, 레지스트층과의 밀착성도 우수하므로, 우수한 레지스트패턴을 형성할 수 있다.In addition, it is possible to realize a compound, a resin and a film forming composition for lithography that can apply a wet process and are useful for forming a photoresist underlayer film excellent in heat resistance and etching resistance. Since the film forming composition for lithography uses a compound or resin having a specific structure and high heat resistance and high solvent solubility, deterioration of the film at the time of high-temperature baking is suppressed and etching resistance against oxygen plasma etching and the like is also excellent A resist and a lower layer film can be formed. Furthermore, when a lower layer film is formed, adhesion with the resist layer is also excellent, so that an excellent resist pattern can be formed.

더 나아가 굴절률이 높고, 또한 저온~고온처리에 의해 착색이 억제되는 점에서, 각종 광학부품 형성 조성물로서도 유용하다.Further, it is useful as a composition for forming various optical parts in that the refractive index is high and the coloration is suppressed by the treatment at a low temperature to a high temperature.

따라서, 본 발명은, 예를 들어, 전기용 절연재료, 레지스트용 수지, 반도체용 봉지수지, 프린트배선판용 접착제, 전기기기·전자기기·산업기기 등에 탑재되는 전기용 적층판, 전기기기·전자기기·산업기기 등에 탑재되는 프리프레그의 매트릭스 수지, 빌드업 적층판재료, 섬유강화 플라스틱용 수지, 액정표시패널의 봉지용 수지, 도료, 각종 코팅제, 접착제, 반도체용의 코팅제, 반도체용의 레지스트용 수지, 하층막 형성용 수지, 필름상, 시트상으로 사용되는 것 이외에, 플라스틱렌즈(프리즘렌즈, 렌티큘러렌즈, 마이크로렌즈, 프레넬렌즈, 시야각 제어렌즈, 콘트라스트 향상렌즈 등), 위상차필름, 전자파실드용 필름, 프리즘, 광파이버, 플렉시블 프린트 배선용 솔더레지스트, 도금레지스트, 다층프린트 배선판용 층간절연막, 감광성 광도파로 등의 광학부품 등에 있어서, 널리 또한 유효하게 이용가능하다.Therefore, the present invention is applicable to, for example, electric insulating materials, resist resins, sealing resins for semiconductors, adhesives for printed wiring boards, electric laminated boards mounted on electric devices, Resin for resin for fiber reinforced plastic, resin for encapsulating liquid crystal display panel, coating material, coating agent, adhesive, coating material for semiconductor, resin for resist for semiconductor, lower layer of matrix resin, build-up laminate material, (Prism lens, lenticular lens, microlens, Fresnel lens, viewing angle control lens, contrast enhancement lens, etc.), a retardation film, a film for electromagnetic wave shielding, Prisms, optical fibers, solder resists for flexible printed wiring, plating resists, interlayer insulating films for multilayer printed wiring boards, and optical devices such as photosensitive optical waveguides In such products, it is possible to use widely available as well.

특히, 본 발명은 리소그래피용 레지스트, 리소그래피용 하층막 및 다층 레지스트용 하층막 및 광학부품의 분야에 있어서, 특히 유효하게 이용가능하다.Particularly, the present invention can be effectively used particularly in the field of a resist for lithography, a lower layer film for lithography, a lower layer film for a multilayer resist and an optical component.

본 출원은, 2016년 7월 21일에 일본특허청에 출원된 일본특허출원(특원 2016-143661호)에 기초하는 것으로, 이들 내용은 여기에 참조로서 편입된다.The present application is based on Japanese Patent Application (Japanese Patent Application No. 2016-143661) filed on July 21, 2016, filed with the Japanese Patent Office, the contents of which are incorporated herein by reference.

산업상 이용가능성Industrial availability

본 발명은, 리소그래피용 레지스트, 리소그래피용 하층막 및 다층 레지스트용 하층막 및 광학부품의 분야에 있어서의 산업상 이용가능성을 갖는다.INDUSTRIAL APPLICABILITY The present invention has industrial applicability in the fields of lithography resists, underlayers for lithography, underlayers for multilayer resists, and optical components.

Claims (28)

하기 식(0)으로 표시되는, 화합물.
[화학식 1]
Figure pct00464

(0)
(식(0) 중, RY는, 수소원자, 탄소수 1~30의 알킬기 또는 탄소수 6~30의 아릴기이고,
RZ는, 탄소수 1~60의 N가의 기 또는 단결합이고,
RT는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 하기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, RT의 적어도 1개는 하기 식(0-1)로 표시되는 기를 포함하고,
X는, 산소원자, 황원자 또는 무가교인 것을 나타내고,
m은, 각각 독립적으로 0~9의 정수이고, 여기서, m의 적어도 1개는 1~9의 정수이고,
N은, 1~4의 정수이고, N이 2 이상의 정수인 경우, N개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,
r은, 각각 독립적으로 0~2의 정수이다.)
[화학식 2]
Figure pct00465

(0-1)
(식(0-1) 중, RX는, 수소원자 또는 메틸기이다.)
(0). &Lt; / RTI &gt;
[Chemical Formula 1]
Figure pct00464

(0)
(In the formula (0), R Y is a hydrogen atom, an alkyl group having 1 to 30 carbon atoms or an aryl group having 6 to 30 carbon atoms,
R Z is an N-valent group or a single bond having 1 to 60 carbon atoms,
R T each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R T is a group represented by the following formula (0-1) Including,
X represents an oxygen atom, a sulfur atom or a non-
m is independently an integer of 0 to 9, provided that at least one of m is an integer of 1 to 9,
N is an integer of 1 to 4, and when N is an integer of 2 or more, the structural formulas within N [] may be the same or different,
r is independently an integer of 0 to 2.)
(2)
Figure pct00465

(0-1)
(In the formula (0-1), R X is a hydrogen atom or a methyl group.)
제1항에 있어서,
상기 식(0)으로 표시되는 화합물이 하기 식(1)로 표시되는 화합물인, 화합물.
[화학식 3]
Figure pct00466

(1)
(식(1) 중, R0은, 상기 RY와 동의이고,
R1은, 탄소수 1~60의 n가의 기 또는 단결합이고,
R2~R5는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2~R5의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,
m2 및 m3은, 각각 독립적으로, 0~8의 정수이고,
m4 및 m5는, 각각 독립적으로, 0~9의 정수이고,
단, m2, m3, m4 및 m5는 동시에 0이 되는 일이 없고,
n은 상기 N과 동의이고, 여기서, n이 2 이상의 정수인 경우, n개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,
p2~p5는, 상기 r과 동의이다.)
The method according to claim 1,
Wherein the compound represented by the formula (0) is a compound represented by the following formula (1).
(3)
Figure pct00466

(One)
(In the formula (1), R 0 is synonymous with R Y ,
R 1 is an n-valent group or a single bond having 1 to 60 carbon atoms,
Each of R 2 to R 5 independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms which may have a substituent, a halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group is substituted with a group represented by the formula (0-1) And the alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2 to R 5 is a group represented by the formula (0- 1), &lt; / RTI &gt;
m 2 and m 3 are each independently an integer of 0 to 8,
m 4 and m 5 are each independently an integer of 0 to 9,
Provided that m 2 , m 3 , m 4 and m 5 do not become 0 at the same time,
n is a synonym with the above N, wherein when n is an integer of 2 or more, the structural formulas within n [] may be the same or different,
and p 2 to p 5 are synonymous with r.
제1항에 있어서,
상기 식(0)으로 표시되는 화합물이 하기 식(2)로 표시되는 화합물인, 화합물.
[화학식 4]
Figure pct00467

(2)
(식(2) 중, R0A는, 상기 RY와 동의이고,
R1A는, 탄소수 1~60의 nA가의 기 또는 단결합이고,
R2A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2A의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,
nA는, 상기 N과 동의이고, 여기서, nA가 2 이상의 정수인 경우, nA개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,
XA는, 산소원자, 황원자 또는 무가교인 것을 나타내고,
m2A는, 각각 독립적으로, 0~7의 정수이고, 단, 적어도 1개의 m2A는 1~7의 정수이고,
qA는, 각각 독립적으로, 0 또는 1이다.)
The method according to claim 1,
Wherein the compound represented by the formula (0) is a compound represented by the following formula (2).
[Chemical Formula 4]
Figure pct00467

(2)
(In formula (2), R 0A is synonymous with R Y ,
R 1A is an n A -valent group or a single bond having 1 to 60 carbon atoms,
R 2A each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydrogen atom of a hydroxyl group is substituted with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2A is a group represented by the formula (0-1) Including,
n A is the same as the above N, wherein when n A is an integer of 2 or more, the structural formulas within n A [] may be the same or different,
X A represents an oxygen atom, a sulfur atom or a non-
m 2A is independently an integer of 0 to 7, provided that at least one of m 2A is an integer of 1 to 7,
q A are each independently 0 or 1.)
제2항에 있어서,
상기 식(1)로 표시되는 화합물이 하기 식(1-1)로 표시되는 화합물인, 화합물.
[화학식 5]
Figure pct00468

(1-1)
(식(1-1) 중, R0, R1, R4, R5, n, p2~p5, m4 및 m5는, 상기 식(1)에 있어서의 것과 동의이고,
R6~R7은, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기이고,
R10~R11은, 각각 독립적으로, 수소원자 또는 하기 식(0-2)로 표시되는 기이고,
여기서, R10~R11의 적어도 1개는 하기 식(0-2)로 표시되는 기이고,
m6 및 m7은, 각각 독립적으로, 0~7의 정수이고,
단, m4, m5, m6 및 m7은 동시에 0이 되는 일은 없다.)
[화학식 6]
Figure pct00469

(0-2)
(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)
3. The method of claim 2,
Wherein the compound represented by the formula (1) is a compound represented by the following formula (1-1).
[Chemical Formula 5]
Figure pct00468

(1-1)
(In the formula (1-1), R 0 , R 1 , R 4 , R 5 , n, p 2 to p 5 , m 4 and m 5 are the same as those in the formula (1)
R 6 to R 7 each independently represent an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms, A halogen atom, a nitro group, an amino group, a carboxylic acid group, and a thiol group,
R 10 to R 11 are each independently a hydrogen atom or a group represented by the following formula (0-2)
At least one of R 10 to R 11 is a group represented by the following formula (0-2)
m 6 and m 7 are each independently an integer of 0 to 7,
Provided that m 4 , m 5 , m 6 and m 7 do not become 0 at the same time.)
[Chemical Formula 6]
Figure pct00469

(0-2)
(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)
제4항에 있어서,
상기 식(1-1)로 표시되는 화합물이 하기 식(1-2)로 표시되는 화합물인, 화합물.
[화학식 7]
Figure pct00470

(1-2)
(식(1-2) 중, R0, R1, R6, R7, R10, R11, n, p2~p5, m6 및 m7은, 상기 식(1-1)에 있어서의 것과 동의이고,
R8~R9는, 상기 R6~R7과 동의이고,
R12~R13은, 상기 R10~R11과 동의이고,
m8 및 m9는, 각각 독립적으로, 0~8의 정수이고,
단, m6, m7, m8 및 m9는 동시에 0이 되는 일은 없다.)
5. The method of claim 4,
Wherein the compound represented by the formula (1-1) is a compound represented by the following formula (1-2).
(7)
Figure pct00470

(1-2)
(Wherein R 0 , R 1 , R 6 , R 7 , R 10 , R 11 , n, p 2 to p 5 , m 6 and m 7 in the formula (1-2) In agreement with what's in it,
R 8 to R 9 are as defined above for R 6 to R 7 ,
R 12 to R 13 are as defined above for R 10 to R 11 ,
m 8 and m 9 are each independently an integer of 0 to 8,
However, m 6, m 7, m 8 and m 9 is not at the same time work is zero.)
제3항에 있어서,
상기 식(2)로 표시되는 화합물이 하기 식(2-1)로 표시되는 화합물인, 화합물.
[화학식 8]
Figure pct00471

(2-1)
(식(2-1) 중, R0A, R1A, nA, qA 및 XA는, 상기 식(2)에 있어서의 것과 동의이고,
R3A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 직쇄상, 분지상 혹은 환상의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기이고,
R4A는, 각각 독립적으로, 수소원자 또는 하기 식(0-2)로 표시되는 기이고,
여기서, R4A의 적어도 1개는 하기 식(0-2)로 표시되는 기이고,
m6A는, 각각 독립적으로, 0~5의 정수이다.)
[화학식 9]
Figure pct00472

(0-2)
(식(0-2) 중, RX는, 상기 식(0-1)에 있어서의 것과 동의이고, s는, 0~30의 정수이다.)
The method of claim 3,
Wherein the compound represented by the formula (2) is a compound represented by the following formula (2-1).
[Chemical Formula 8]
Figure pct00471

(2-1)
(In the formula (2-1), R 0A , R 1 A , n A , q A and X A are the same as those in the formula (2)
R 3A each independently represents a linear, branched or cyclic alkyl group of 1 to 30 carbon atoms which may have a substituent, an aryl group of 6 to 30 carbon atoms which may have a substituent, a carbon number which may have a substituent A halogen atom, a nitro group, an amino group, a carboxylic acid group or a thiol group,
R 4A each independently represents a hydrogen atom or a group represented by the following formula (0-2)
Here, at least one of R 4A is a group represented by the following formula (0-2)
m 6A are each independently an integer of 0 to 5.)
[Chemical Formula 9]
Figure pct00472

(0-2)
(In the formula (0-2), R X is the same as that in the formula (0-1), and s is an integer of 0 to 30.)
제1항에 기재된 화합물을 모노머로 하여 얻어지는, 수지.A resin obtained by using the compound according to claim 1 as a monomer. 제7항에 있어서,
하기 식(3)으로 표시되는 구조를 갖는, 수지.
[화학식 10]
Figure pct00473

(3)
(식(3) 중, L은, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬렌기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴렌기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕실렌기 또는 단결합이고, 상기 알킬렌기, 상기 아릴렌기, 상기 알콕실렌기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고,
R0은, 상기 RY와 동의이고,
R1은, 탄소수 1~60의 n가의 기 또는 단결합이고,
R2~R5는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2~R5의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,
m2 및 m3은, 각각 독립적으로, 0~8의 정수이고,
m4 및 m5는, 각각 독립적으로, 0~9의 정수이고,
단, m2, m3, m4 및 m5는 동시에 0이 되는 일이 없고,
n은 상기 N과 동의이고, 여기서, n이 2 이상의 정수인 경우, n개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,
p2~p5는, 상기 r과 동의이다.)
8. The method of claim 7,
Having a structure represented by the following formula (3).
[Chemical formula 10]
Figure pct00473

(3)
(In the formula (3), L represents an alkylene group having 1 to 30 carbon atoms which may have a substituent, an arylene group having 6 to 30 carbon atoms which may have a substituent, an alkoxy group having 1 to 30 carbon atoms A silylene group or a single bond, and the alkylene group, the arylene group and the alkoxylene group may contain an ether bond, a ketone bond or an ester bond,
R 0 is synonymous with R Y ,
R 1 is an n-valent group or a single bond having 1 to 60 carbon atoms,
Each of R 2 to R 5 independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms An alkoxy group having 1 to 30 carbon atoms which may have a substituent, a halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydroxyl group is substituted with a group represented by the formula (0-1) And the alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2 to R 5 is a group represented by the formula (0- 1), &lt; / RTI &gt;
m 2 and m 3 are each independently an integer of 0 to 8,
m 4 and m 5 are each independently an integer of 0 to 9,
Provided that m 2 , m 3 , m 4 and m 5 do not become 0 at the same time,
n is a synonym with the above N, wherein when n is an integer of 2 or more, the structural formulas within n [] may be the same or different,
and p 2 to p 5 are synonymous with r.
제7항에 있어서,
하기 식(4)로 표시되는 구조를 갖는, 수지.
[화학식 11]
Figure pct00474

(4)
(식(4) 중, L은, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬렌기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴렌기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕실렌기 또는 단결합이고, 상기 알킬렌기, 상기 아릴렌기, 상기 알콕실렌기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고,
R0A는, 상기 RY와 동의이고,
R1A는, 탄소수 1~30의 nA가의 기 또는 단결합이고,
R2A는, 각각 독립적으로, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알킬기, 치환기를 갖고 있을 수도 있는 탄소수 6~30의 아릴기, 치환기를 갖고 있을 수도 있는 탄소수 2~30의 알케닐기, 치환기를 갖고 있을 수도 있는 탄소수 1~30의 알콕시기, 할로겐원자, 니트로기, 아미노기, 카르본산기, 티올기, 수산기 또는 수산기의 수소원자가 상기 식(0-1)로 표시되는 기로 치환된 기이고, 상기 알킬기, 상기 아릴기, 상기 알케닐기, 상기 알콕시기는, 에테르결합, 케톤결합 또는 에스테르결합을 포함하고 있을 수도 있고, 여기서, R2A의 적어도 1개는 상기 식(0-1)로 표시되는 기를 포함하고,
nA는, 상기 N과 동의이고, 여기서, nA가 2 이상의 정수인 경우, nA개의 [ ] 내의 구조식은 동일할 수도 상이할 수도 있고,
XA는, 산소원자, 황원자 또는 무가교인 것을 나타내고,
m2A는, 각각 독립적으로, 0~7의 정수이고, 단, 적어도 1개의 m2A는 1~6의 정수이고,
qA는, 각각 독립적으로, 0 또는 1이다.)
8. The method of claim 7,
Having a structure represented by the following formula (4).
(11)
Figure pct00474

(4)
(In the formula (4), L represents an alkylene group having 1 to 30 carbon atoms which may have a substituent, an arylene group having 6 to 30 carbon atoms which may have a substituent, an alkoxy group having 1 to 30 carbon atoms A silylene group or a single bond, and the alkylene group, the arylene group and the alkoxylene group may contain an ether bond, a ketone bond or an ester bond,
R 0A is synonymous with R Y ,
R 1A is an n A -valent group having 1 to 30 carbon atoms or a single bond,
R 2A each independently represents an alkyl group having 1 to 30 carbon atoms which may have a substituent, an aryl group having 6 to 30 carbon atoms which may have a substituent, an alkenyl group having 2 to 30 carbon atoms which may have a substituent, A halogen atom, a nitro group, an amino group, a carboxylic acid group, a thiol group, a hydroxyl group or a hydrogen atom of a hydroxyl group is substituted with a group represented by the formula (0-1) The alkyl group, the aryl group, the alkenyl group and the alkoxy group may include an ether bond, a ketone bond or an ester bond, wherein at least one of R 2A is a group represented by the formula (0-1) Including,
n A is the same as the above N, wherein when n A is an integer of 2 or more, the structural formulas within n A [] may be the same or different,
X A represents an oxygen atom, a sulfur atom or a non-
m 2A is independently an integer of 0 to 7, provided that at least one of m 2A is an integer of 1 to 6,
q A are each independently 0 or 1.)
제1항 내지 제6항 중 어느 한 항에 기재된 화합물 및 제7항 내지 제9항 중 어느 한 항에 기재된 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유하는, 조성물.A composition comprising at least one compound selected from the group consisting of the compound according to any one of claims 1 to 6 and the resin according to any one of claims 7 to 9. 제10항에 있어서,
용매를 추가로 함유하는, 조성물.
11. The method of claim 10,
Wherein the composition further comprises a solvent.
제10항 또는 제11항에 있어서,
산발생제를 추가로 함유하는, 조성물.
The method according to claim 10 or 11,
&Lt; / RTI &gt; further comprising an acid generator.
제10항 내지 제12항 중 어느 한 항에 있어서,
가교제를 추가로 함유하는, 조성물.
13. The method according to any one of claims 10 to 12,
Wherein the composition further comprises a crosslinking agent.
제13항에 있어서,
상기 가교제는, 페놀 화합물, 에폭시 화합물, 시아네이트 화합물, 아미노 화합물, 벤조옥사진 화합물, 멜라민 화합물, 구아나민 화합물, 글리콜우릴 화합물, 우레아 화합물, 이소시아네이트 화합물 및 아지드 화합물로 이루어지는 군으로부터 선택되는 적어도 1종인, 조성물.
14. The method of claim 13,
The crosslinking agent is at least one selected from the group consisting of a phenol compound, an epoxy compound, a cyanate compound, an amino compound, a benzoxazine compound, a melamine compound, a guanamine compound, a glycoluril compound, a urea compound, an isocyanate compound and an azide compound Lt; / RTI &gt;
제13항 또는 제14항에 있어서,
상기 가교제는, 적어도 1개의 알릴기를 갖는, 조성물.
The method according to claim 13 or 14,
Wherein the crosslinking agent has at least one allyl group.
제13항 내지 제15항 중 어느 한 항에 있어서,
상기 가교제의 함유비율이, 제1항 내지 제6항 중 어느 한 항에 기재된 화합물 및 제7항 내지 제9항 중 어느 한 항에 기재된 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유하는 조성물의 합계질량을 100질량부로 한 경우에, 0.1~100질량부인, 조성물.
16. The method according to any one of claims 13 to 15,
Wherein the content of the crosslinking agent is at least one kind selected from the group consisting of the compound according to any one of claims 1 to 6 and the resin according to any one of claims 7 to 9 And 0.1 to 100 parts by mass when the total mass is 100 parts by mass.
제13항 내지 제16항 중 어느 한 항에 있어서,
가교촉진제를 추가로 함유하는, 조성물.
17. The method according to any one of claims 13 to 16,
Wherein the composition further comprises a crosslinking accelerator.
제17항에 있어서,
상기 가교촉진제는, 아민류, 이미다졸류, 유기 포스핀류, 및 루이스산으로 이루어지는 군으로부터 선택되는 적어도 1종인, 조성물.
18. The method of claim 17,
Wherein the crosslinking accelerator is at least one selected from the group consisting of amines, imidazoles, organic phosphines, and Lewis acids.
제17항 또는 제18항에 있어서,
상기 가교촉진제의 함유비율이, 제1항 내지 제6항 중 어느 한 항에 기재된 화합물 및 제7항 내지 제9항 중 어느 한 항에 기재된 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유하는 조성물의 합계질량을 100질량부로 한 경우에, 0.1~5질량부인, 조성물.
The method according to claim 17 or 18,
Wherein the content of the crosslinking accelerator is at least one selected from the group consisting of the compound according to any one of claims 1 to 6 and the resin according to any one of claims 7 to 9 Is from 0.1 to 5 parts by mass based on 100 parts by mass of the total mass of the composition.
제10항 내지 제19항 중 어느 한 항에 있어서,
라디칼 중합개시제를 추가로 함유하는, 조성물.
20. The method according to any one of claims 10 to 19,
Further comprising a radical polymerization initiator.
제10항 내지 제20항 중 어느 한 항에 있어서,
상기 라디칼 중합개시제는, 케톤계 광중합개시제, 유기 과산화물계 중합개시제 및 아조계 중합개시제로 이루어지는 군으로부터 선택되는 적어도 1종인, 조성물.
21. The method according to any one of claims 10 to 20,
Wherein the radical polymerization initiator is at least one selected from the group consisting of a ketone-based photopolymerization initiator, an organic peroxide-based polymerization initiator, and an azo-based polymerization initiator.
제10항 내지 제21항 중 어느 한 항에 있어서,
상기 라디칼 중합개시제의 함유비율이, 제1항 내지 제6항 중 어느 한 항에 기재된 화합물 및 제7항 내지 제9항 중 어느 한 항에 기재된 수지로 이루어지는 군으로부터 선택되는 1종 이상을 함유하는 조성물의 합계질량을 100질량부로 한 경우에, 0.05~25질량부인, 조성물.
22. The method according to any one of claims 10 to 21,
Wherein the content of the radical polymerization initiator is at least one selected from the group consisting of the compound according to any one of claims 1 to 6 and the resin according to any one of claims 7 to 9 Wherein the composition is 0.05 to 25 parts by mass when the total mass of the composition is 100 parts by mass.
제10항 내지 제22항 중 어느 한 항에 있어서,
리소그래피용 막 형성에 이용되는, 조성물.
23. The method according to any one of claims 10 to 22,
A composition for use in forming a film for lithography.
제10항 내지 제22항 중 어느 한 항에 있어서,
레지스트 영구막 형성에 이용되는, 조성물.
23. The method according to any one of claims 10 to 22,
A composition for use in resist permanent film formation.
제10항 내지 제13항 중 어느 한 항에 있어서,
광학부품 형성에 이용되는, 조성물.
14. The method according to any one of claims 10 to 13,
A composition for use in forming an optical component.
기판 상에, 제23항에 기재된 조성물을 이용하여 포토레지스트층을 형성한 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상을 행하는 공정을 포함하는, 레지스트패턴 형성방법.A method for forming a resist pattern, comprising: forming a photoresist layer on a substrate using the composition according to claim 23; and irradiating a predetermined region of the photoresist layer with radiation to perform development. 기판 상에, 제23항에 기재된 조성물을 이용하여 하층막을 형성하고, 상기 하층막 상에, 적어도 1층의 포토레지스트층을 형성한 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상을 행하는 공정을 포함하는, 레지스트패턴 형성방법.Forming a lower layer film on the substrate using the composition according to claim 23, forming at least one photoresist layer on the lower layer film, irradiating a predetermined region of the photoresist layer with radiation, Thereby forming a resist pattern. 기판 상에, 제23항에 기재된 조성물을 이용하여 하층막을 형성하고, 상기 하층막 상에, 레지스트 중간층막재료를 이용하여 중간층막을 형성하고, 상기 중간층막 상에, 적어도 1층의 포토레지스트층을 형성한 후, 상기 포토레지스트층의 소정의 영역에 방사선을 조사하고, 현상하여 레지스트패턴을 형성하고, 그 후, 상기 레지스트패턴을 마스크로 하여 상기 중간층막을 에칭하고, 얻어진 중간층막패턴을 에칭마스크로 하여 상기 하층막을 에칭하고, 얻어진 하층막패턴을 에칭마스크로 하여 기판을 에칭함으로써 기판에 패턴을 형성하는 공정을 포함하는, 회로패턴 형성방법.Forming a lower layer film on the substrate using the composition according to claim 23, forming an intermediate layer film on the lower layer film using a resist interlayer film material, and forming at least one photoresist layer on the intermediate layer film And then the resist pattern is used as a mask to etch the intermediate layer film, and the obtained intermediate layer film pattern is etched using an etching mask And etching the substrate using the obtained lower layer film pattern as an etching mask to form a pattern on the substrate.
KR1020187035675A 2016-07-21 2017-07-21 COMPOSITIONS, RESINS AND COMPOSITIONS, AND RESIST PATTERN FORMING METHOD KR20190034149A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2016-143661 2016-07-21
JP2016143661 2016-07-21
PCT/JP2017/026512 WO2018016634A1 (en) 2016-07-21 2017-07-21 Compound, resin, and composition, as well as resist pattern formation method and circuit pattern formation method

Publications (1)

Publication Number Publication Date
KR20190034149A true KR20190034149A (en) 2019-04-01

Family

ID=60993087

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187035675A KR20190034149A (en) 2016-07-21 2017-07-21 COMPOSITIONS, RESINS AND COMPOSITIONS, AND RESIST PATTERN FORMING METHOD

Country Status (5)

Country Link
JP (1) JP7194356B2 (en)
KR (1) KR20190034149A (en)
CN (1) CN109803950A (en)
TW (1) TW201817721A (en)
WO (1) WO2018016634A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019167359A1 (en) * 2018-02-28 2019-09-06 三菱瓦斯化学株式会社 Compound, resin, composition and film-forming material for lithography using same
WO2020027206A1 (en) * 2018-07-31 2020-02-06 三菱瓦斯化学株式会社 Optical component-forming composition, optical component, compound, and resin
DE102019126271B4 (en) * 2018-10-08 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming a photoresist pattern
CN112079862A (en) * 2020-10-29 2020-12-15 江苏创拓新材料有限公司 Carbonic acid ester liquid crystal intermediate and preparation method and application thereof
KR102374293B1 (en) * 2021-08-23 2022-03-17 영창케미칼 주식회사 Chemically amplified positive photoresist composition for improving pattern profile and resolution

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002334869A (en) 2001-02-07 2002-11-22 Tokyo Electron Ltd Method and device for forming silicon nitride film, and method for preprocessing of cleaning thereof
JP2004177668A (en) 2002-11-27 2004-06-24 Tokyo Ohka Kogyo Co Ltd Base layer film forming material for multilayer resist process, and wiring formation method using the same
WO2004066377A1 (en) 2003-01-24 2004-08-05 Tokyo Electron Limited Method of cvd for forming silicon nitride film on substrate
JP2004271838A (en) 2003-03-07 2004-09-30 Shin Etsu Chem Co Ltd Resist underlayer film material and pattern forming method
JP2005250434A (en) 2004-02-04 2005-09-15 Shin Etsu Chem Co Ltd Resist underlayer film material and pattern forming method
JP2005326838A (en) 2004-04-15 2005-11-24 Mitsubishi Gas Chem Co Inc Resist composition
JP2007226204A (en) 2006-01-25 2007-09-06 Shin Etsu Chem Co Ltd Antireflection film material, substrate and patterning process
JP2007226170A (en) 2006-01-27 2007-09-06 Shin Etsu Chem Co Ltd Antireflection film material, substrate having antireflection film and pattern forming method
JP2008145539A (en) 2006-12-06 2008-06-26 Mitsubishi Gas Chem Co Inc Radiation-sensitive resist composition
JP2009173623A (en) 2007-04-23 2009-08-06 Mitsubishi Gas Chem Co Inc Radiation-sensitive composition
JP2010138393A (en) 2008-11-13 2010-06-24 Nippon Kayaku Co Ltd Energy ray-curable resin composition for optical lens sheet, and cured product thereof
WO2013024779A1 (en) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 Underlayer film-forming material for lithography, underlayer film for lithography, and pattern formation method
WO2013024778A1 (en) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 Resist composition, resist pattern formation method, polyphenol compound used therein, and alcohol compound capable of being derived therefrom
JP2015174877A (en) 2014-03-13 2015-10-05 日産化学工業株式会社 Resin composition containing specified hardening acceleration catalyst

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3223104A1 (en) * 1982-06-21 1983-12-22 Hoechst Ag, 6230 Frankfurt PHOTOPOLYMERIZABLE MIXTURE AND PHOTOPOLYMERIZABLE COPY MATERIAL MADE THEREOF
TWI495632B (en) * 2004-12-24 2015-08-11 Mitsubishi Gas Chemical Co Resist compound
JP5297030B2 (en) * 2006-12-25 2013-09-25 大阪瓦斯株式会社 Urethane (meth) acrylate with fluorene skeleton
TW200906873A (en) * 2007-05-30 2009-02-16 Toagosei Co Ltd Active energy ray curable composition, coating composition, coating member, and optical material
KR100964642B1 (en) * 2008-02-22 2010-06-21 에스화인켐 주식회사 Prism sheet of high refractive index and elasticity, the composition for producing the same and the method for its production
JP2009229720A (en) * 2008-03-21 2009-10-08 Fujifilm Corp Photosensitive resin composition, photo spacer and its forming method, protective film, coloring pattern, substrate for display, and display
JP5251523B2 (en) * 2008-07-08 2013-07-31 日立化成株式会社 Photosensitive resin composition, photosensitive element using the same, resist pattern forming method, and printed wiring board manufacturing method
KR101627725B1 (en) * 2009-02-03 2016-06-07 삼성전자 주식회사 Photocurable compound
JP5707779B2 (en) * 2010-08-24 2015-04-30 日立化成株式会社 Photosensitive resin composition, photosensitive film, rib pattern forming method, hollow structure forming method, and electronic component
KR20140066748A (en) * 2011-09-26 2014-06-02 후지필름 가부시키가이샤 Barrier laminate and new polymerizable compound
JP7026439B2 (en) * 2014-12-25 2022-02-28 三菱瓦斯化学株式会社 Compounds, resins, lithographic underlayer film forming materials, lithographic underlayer film, pattern forming method and purification method
JP6861950B2 (en) * 2015-07-23 2021-04-21 三菱瓦斯化学株式会社 New compound and its manufacturing method
CN107848946A (en) * 2015-07-23 2018-03-27 三菱瓦斯化学株式会社 New (methyl) acryl compound and its manufacture method
EP3346334B1 (en) * 2015-08-31 2020-08-12 Mitsubishi Gas Chemical Company, Inc. Use of a composition for forming a photoresist underlayer film for lithography, photoresist underlayer film for lithography and method for producing same, and resist pattern forming method
EP3346335A4 (en) * 2015-08-31 2019-06-26 Mitsubishi Gas Chemical Company, Inc. Material for forming underlayer films for lithography, composition for forming underlayer films for lithography, underlayer film for lithography and method for producing same, pattern forming method, resin, and purification method
JP7212449B2 (en) * 2015-09-03 2023-01-25 三菱瓦斯化学株式会社 Compound, method for producing the same, composition, composition for forming optical component, film-forming composition for lithography, resist composition, method for forming resist pattern, radiation-sensitive composition, method for producing amorphous film, underlayer for lithography Film-forming material, composition for forming underlayer film for lithography, method for producing underlayer film for lithography, method for forming resist pattern, method for forming circuit pattern, and method for purification
CN108137478B (en) * 2015-09-10 2021-09-28 三菱瓦斯化学株式会社 Compound, composition thereof, purification method, resist pattern formation method, and amorphous film production method
TWI752001B (en) * 2015-12-25 2022-01-11 日商三菱瓦斯化學股份有限公司 Compound, resin, composition, method for forming resist pattern, and method for forming circuit pattern
WO2018016640A1 (en) * 2016-07-21 2018-01-25 三菱瓦斯化学株式会社 Compound, resin, composition, resist pattern formation method, and circuit pattern formation method
WO2018016615A1 (en) * 2016-07-21 2018-01-25 三菱瓦斯化学株式会社 Compound, resin, composition, resist pattern formation method, and circuit pattern formation method
JP7194355B2 (en) * 2016-07-21 2022-12-22 三菱瓦斯化学株式会社 Compound, resin, composition and pattern forming method
KR20190032379A (en) * 2016-07-21 2019-03-27 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 COMPOSITIONS, RESINS, COMPOSITIONS

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002334869A (en) 2001-02-07 2002-11-22 Tokyo Electron Ltd Method and device for forming silicon nitride film, and method for preprocessing of cleaning thereof
JP2004177668A (en) 2002-11-27 2004-06-24 Tokyo Ohka Kogyo Co Ltd Base layer film forming material for multilayer resist process, and wiring formation method using the same
WO2004066377A1 (en) 2003-01-24 2004-08-05 Tokyo Electron Limited Method of cvd for forming silicon nitride film on substrate
JP2004271838A (en) 2003-03-07 2004-09-30 Shin Etsu Chem Co Ltd Resist underlayer film material and pattern forming method
JP2005250434A (en) 2004-02-04 2005-09-15 Shin Etsu Chem Co Ltd Resist underlayer film material and pattern forming method
JP2005326838A (en) 2004-04-15 2005-11-24 Mitsubishi Gas Chem Co Inc Resist composition
JP2007226204A (en) 2006-01-25 2007-09-06 Shin Etsu Chem Co Ltd Antireflection film material, substrate and patterning process
JP2007226170A (en) 2006-01-27 2007-09-06 Shin Etsu Chem Co Ltd Antireflection film material, substrate having antireflection film and pattern forming method
JP2008145539A (en) 2006-12-06 2008-06-26 Mitsubishi Gas Chem Co Inc Radiation-sensitive resist composition
JP2009173623A (en) 2007-04-23 2009-08-06 Mitsubishi Gas Chem Co Inc Radiation-sensitive composition
JP2010138393A (en) 2008-11-13 2010-06-24 Nippon Kayaku Co Ltd Energy ray-curable resin composition for optical lens sheet, and cured product thereof
WO2013024779A1 (en) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 Underlayer film-forming material for lithography, underlayer film for lithography, and pattern formation method
WO2013024778A1 (en) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 Resist composition, resist pattern formation method, polyphenol compound used therein, and alcohol compound capable of being derived therefrom
JP2015174877A (en) 2014-03-13 2015-10-05 日産化学工業株式会社 Resin composition containing specified hardening acceleration catalyst

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
T.Nakayama, M.Nomura, K.Haga, M.Ueda: Bull. Chem. Soc. Jpn., 71, 2979(1998)
오카자키신지, 외 22명 「포토레지스트재료 개발의 신전개」 주식회사 씨엠씨 출판, 2009년 9월, p.211-259

Also Published As

Publication number Publication date
JPWO2018016634A1 (en) 2019-05-09
CN109803950A (en) 2019-05-24
JP7194356B2 (en) 2022-12-22
WO2018016634A1 (en) 2018-01-25
TW201817721A (en) 2018-05-16

Similar Documents

Publication Publication Date Title
JP7283515B2 (en) Compound, resin, composition, resist pattern forming method and circuit pattern forming method
JP7194355B2 (en) Compound, resin, composition and pattern forming method
JP7069529B2 (en) Compounds, resins, compositions, resist pattern forming methods and circuit pattern forming methods
JP7069530B2 (en) Compounds, resins, compositions and pattern forming methods
JP7194356B2 (en) Compound, resin and composition, resist pattern forming method and circuit pattern forming method
JP7205716B2 (en) Compound, resin, composition, resist pattern forming method and circuit pattern forming method
KR20190049731A (en) COMPOUND, RESIN, COMPOSITION, AND RESIST PATTERN FORMING METHOD
JP7205715B2 (en) Compound, resin, composition, resist pattern forming method and circuit pattern forming method
JP7083455B2 (en) Compounds, resins, compositions and pattern forming methods
JP7061271B2 (en) Compounds, resins, compositions, resist pattern forming methods and circuit pattern forming methods
KR20190057062A (en) COMPOSITION, RESIN, COMPOSITION, AND RESIST PATTERN FORMING METHOD
JP7445382B2 (en) Compounds, resins, compositions and pattern forming methods
CN111655662A (en) Compound, resin, composition, resist pattern forming method, circuit pattern forming method, and resin purifying method
JP7139622B2 (en) Compound, resin, composition and pattern forming method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
WITB Written withdrawal of application