KR20190013578A - Semiconductor packages and methods of forming same - Google Patents

Semiconductor packages and methods of forming same Download PDF

Info

Publication number
KR20190013578A
KR20190013578A KR1020180087179A KR20180087179A KR20190013578A KR 20190013578 A KR20190013578 A KR 20190013578A KR 1020180087179 A KR1020180087179 A KR 1020180087179A KR 20180087179 A KR20180087179 A KR 20180087179A KR 20190013578 A KR20190013578 A KR 20190013578A
Authority
KR
South Korea
Prior art keywords
die
bonding
insulating layer
conductive
layer
Prior art date
Application number
KR1020180087179A
Other languages
Korean (ko)
Other versions
KR102193505B1 (en
Inventor
첸-후아 유
치-항 텅
쿠오-충 이
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190013578A publication Critical patent/KR20190013578A/en
Application granted granted Critical
Publication of KR102193505B1 publication Critical patent/KR102193505B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05023Disposition the whole internal layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05558Shape in side view conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/0558Plural external layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/06102Disposition the bonding areas being at different heights
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/08111Disposition the bonding area being disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13021Disposition the bump connector being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/1605Shape
    • H01L2224/16057Shape in side view
    • H01L2224/16058Shape in side view being non uniform along the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16111Disposition the bump connector being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16147Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16148Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1705Shape
    • H01L2224/17051Bump connectors having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/274Manufacturing methods by blanket deposition of the material of the layer connector
    • H01L2224/2741Manufacturing methods by blanket deposition of the material of the layer connector in liquid form
    • H01L2224/27416Spin coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/274Manufacturing methods by blanket deposition of the material of the layer connector
    • H01L2224/2743Manufacturing methods by blanket deposition of the material of the layer connector in solid form
    • H01L2224/27436Lamination of a preform, e.g. foil, sheet or layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • H01L2224/48229Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item the bond pad protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/731Location prior to the connecting process
    • H01L2224/73101Location prior to the connecting process on the same surface
    • H01L2224/73103Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/80815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81053Bonding environment
    • H01L2224/81095Temperature settings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83193Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9205Intermediate bonding steps, i.e. partial connection of the semiconductor or solid-state body during the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9211Parallel connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Abstract

In one embodiment, a package comprises: a first die having a first active side and a first back surface; a second die bonded to the first die with a second active side and a second back surface; and a conductive bonding material. The first active side includes a first bonding pad and a first insulation layer. The second active side includes a second bonding pad and a second insulation layer. The second active side of the second die faces a first active side of the first die, and the second insulation layer bonds to the first insulation layer by dielectric-dielectric bonding. The conductive bonding material is bonded to the first bonding pad and the second bonding pad. The conductive bonding material has a reflow temperature lower than a reflow temperature of the first and the second bonding pads.

Description

반도체 패키지 및 그 형성 방법{SEMICONDUCTOR PACKAGES AND METHODS OF FORMING SAME}Technical Field [0001] The present invention relates to a semiconductor package and a method of forming the same.

본 출원은 여기에 참조로 포함된, "반도체 패키지 및 그 형성 방법"이란 제하의 2017년 7월 27일자 출원된 미국 가특허 출원 제62/537,736호의 이익을 주장한다.This application claims the benefit of U.S. Provisional Patent Application No. 62 / 537,736, filed July 27, 2017, entitled "Semiconductor Package and Method Forming It ", which is incorporated herein by reference.

반도체 산업은 다양한 전자 성분(예, 트랜지스터, 다이오드, 저항, 캐패시터 등)의 계속적인 개량에 따라 급속한 성장을 경험하고 있다. 대부분의 경우, 집적 밀도의 이러한 개선은 더 많은 성분이 주어진 면적 내에 집적되게 하는 최소 선폭 크기의 반복적인 감소로부터 유래된 것이다. 전자 소자의 축소를 위한 요구가 커짐에 따라, 더 작고 더 창조적인 반도체 다이의 패키징 기술에 대한 요구가 출현되었다. 이러한 패키징 시스템의 예는 피캐지-온-패키지(PoP) 기술이다. PoP 소자에서, 상부 반도체 패키지가 바닥 반도체 패키지의 상부에 적층되어 높은 수준의 집적도와 성분 밀도를 제공한다. PoP 기술은 통상적으로 향상된 기능성을 가지고 인쇄 회로 기판(PCB) 상에서 점유 면적이 작은 반도체 소자의 제조를 가능케 한다. The semiconductor industry is experiencing rapid growth with continuous improvement of various electronic components (eg, transistors, diodes, resistors, capacitors, etc.). In most cases, this improvement in the integration density results from a recurring decrease in the minimum linewidth size that allows more components to be integrated within a given area. As the demand for shrinking electronic devices grows, there is a need for a smaller and more creative semiconductor die packaging technology. An example of such a packaging system is a charge-on-package (PoP) technology. In a PoP device, an upper semiconductor package is stacked on top of a bottom semiconductor package to provide a high degree of integration and component density. PoP technology typically allows for the fabrication of semiconductor devices with reduced functionality on a printed circuit board (PCB) with enhanced functionality.

본 개시 내용의 여러 양태들은 첨부 도면을 함께 판독시 다음의 상세한 설명으로부터 가장 잘 이해될 것이다. 산업계에서의 표준 관행에 따라 다양한 특징부들은 비율대로 작성된 것은 아님을 밝힌다. 실제, 다양한 특징부의 치수는 논의의 명확성을 위해 임의로 증감될 수 있다.
도 1~3, 4a~4o, 5~14는 일부 실시예에 따라 패키지 구조체를 형성하기 위한 공정 도중의 중간 단계의 횡단면도를 예시한다.
도 15~21은 일부 실시예에 따라 패키지 구조체를 형성하기 위한 공정 도중의 중간 단계의 횡단면도를 예시한다.
도 22~28은 일부 실시예에 따라 패키지 구조체를 형성하기 위한 공정 도중의 중간 단계의 횡단면도를 예시한다.
도 29~34는 일부 실시예에 따라 패키지 구조체를 형성하기 위한 공정 도중의 중간 단계의 횡단면도를 예시한다.
도 35~38은 일부 실시예에 따라 패키지 구조체를 형성하기 위한 공정 도중의 중간 단계의 횡단면도를 예시한다.
Various aspects of the present disclosure will be best understood from the following detailed description when read in conjunction with the accompanying drawings. According to standard practice in the industry, various features are not written in proportion. Indeed, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
Figures 1-3, 4a-4o, 5-14 illustrate intermediate cross-sectional views during the process for forming a package structure according to some embodiments.
FIGS. 15-21 illustrate intermediate cross-sectional views during the process for forming a package structure in accordance with some embodiments.
Figures 22-28 illustrate intermediate cross-sectional views during the process for forming a package structure in accordance with some embodiments.
29-34 illustrate intermediate cross-sectional views during the process for forming a package structure in accordance with some embodiments.
35-38 illustrate intermediate cross-sectional views during the process for forming a package structure in accordance with some embodiments.

다음의 설명은 본 발명의 여러 가지 다른 특징부의 구현을 위한 다수의 상이한 실시예 또는 실례를 제공한다. 본 개시 내용을 단순화하기 위해 구성 성분 및 배열의 특정 예들을 아래에 설명한다. 이들은 물론 단지 여러 가지 예일 뿐이고 한정하고자 의도된 것이 아니다. 예를 들면, 이어지는 설명에서 제2 특징부 상에 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉되게 형성되는 실시예를 포함할 수 있고 제1 및 제2 특징부가 직접 접촉되지 않을 수 있게 추가의 특징부가 제1 및 제2 특징부 사이에 형성될 수 있는 실시예도 포함할 수 있다. 추가로, 본 개시 내용은 여러 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순 및 명료를 위한 것으로 그 자체가 논의되는 다양한 실시예 및/또는 구성 간의 관계를 지시하는 것은 아니다.The following description provides a number of different embodiments or examples for the implementation of various other features of the present invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, in the following description, the formation of the first feature on the second feature may include an embodiment in which the first and second features are formed in direct contact, and the first and second features may not be in direct contact So that additional features may be formed between the first and second features. In addition, the present disclosure may repeat the reference numerals and / or characters in various instances. Such repetitions are for simplicity and clarity and do not in themselves indicate the relationship between the various embodiments and / or configurations discussed.

또한, "아래"(예, beneath, below, lower), "위"(예, above, upper) 등의 공간 관계 용어는 여기서 도면에 예시되는 바와 같이 다른 요소(들) 또는 특징부(들)에 대한 하나의 요소 또는 특징부의 관계를 기술하는 설명의 용이성을 위해 사용될 수 있다. 공간 관계 용어는 도면에 표현된 배향 외에도 사용 중 또는 작동 중인 소자의 다른 배향을 포함하도록 의도된 것이다. 장치는 달리 배향될 수 있으며(90도 회전 또는 다른 배향), 여기 사용되는 공간 관계 기술어도 그에 따라 유사하게 해석될 수 있다.Also, spatial relation terms such as "below" (e.g., beneath, below, lower), "above" (e.g., above, upper), etc. may be used herein to refer to other element (s) May be used for ease of description which describes the relationship of one element or feature to another. Spatial relationship terms are intended to encompass other orientations of the element in use or in operation, in addition to the orientation represented in the figures. The device can be oriented differently (90 degrees rotation or other orientation), and the spatial relationship descriptor used here can be similarly interpreted accordingly.

여기에 논의되는 실시예들은 특정 맥락, 즉 하이브리드 본딩 기술을 이용하여 본딩된 다이를 포함하는 패키지 구조체(예, 패키지 온 패키지(PoP) 구조체)에 대해 논의될 것이다. 다이들은 전면간(face-to-face: F2F) 또는 전후면간(face-to-back: F2B) 본딩될 수 있다. 예를 들면, F2F 본딩 구성에서, 다이들의 활성면(active side)(전면)들이 함께 본딩되는 반면, F2B 본딩 구성에서는 하나의 다이의 활성면이 다른 다이의 후면에 본딩된다. 추가로, 다이 간의 하이브리드 본딩은 유전체 간 본딩과 금속 본딩을 포함한다. 예를 들면, 납땜 본딩(예컨대 구리 대 구리 본딩 대신)을 포함하는 것에 의해, 하이브리드 본딩의 본딩 온도를 크게 낮출 수 있다.The embodiments discussed herein will be discussed in the context of a package structure (e.g., a package-on-package (PoP) structure) that includes a die bonded using a hybrid bonding technique. The dies can be face-to-face (F2F) or face-to-back (F2B) bonded. For example, in the F2F bonding configuration, the active side (front side) of the dies are bonded together, while in the F2B bonding configuration the active side of one die is bonded to the back side of the other die. In addition, the hybrid bonding between the die includes inter-dielectric bonding and metal bonding. For example, by including solder bonding (e.g., instead of copper-to-copper bonding), the bonding temperature of the hybrid bonding can be greatly reduced.

또한, 본 개시의 학습 내용은 하나 이상의 반도체 다이를 포함하는 임의의 패키지 구조체에 적용될 수 있다. 다른 실시예들은 본 개시 내용을 이해한 당업자에게는 분명할 것인 다른 패키지 유형 또는 다른 구성과 같은 다른 적용을 고려한다. 여기에 논의되는 실시예들은 구조체 내에 존재할 수 있는 모든 구성 성분 또는 특징부를 반드시 예시하고 있는 것은 아니라는 것을 알아야 한다. 예를 들면, 구성 성분 중 하나에 대한 논의가 실시예의 여러 측면을 성명하는 데 충분할 수 있다면 도면에서 다수의 구성 성분이 생략될 수 있다. 또한, 여기에 논의되는 방법적 실시예들은 특정 순서로 수행되는 것으로 논의될 수 있지만, 다른 방법적 실시예는 임의의 논리적인 순서로 수행될 수 있다.In addition, the teachings of the present disclosure may be applied to any package structure including one or more semiconductor dies. Other embodiments contemplate other applications, such as other package types or other configurations, which will be apparent to those of ordinary skill in the art having the benefit of this disclosure. It is to be understood that the embodiments discussed herein are not necessarily illustrative of all components or features that may be present in the structure. For example, a number of components may be omitted in the figures if the discussion of one of the components is sufficient to state various aspects of the embodiment. In addition, while the method embodiments discussed herein may be discussed as being performed in a particular order, other method embodiments may be performed in any logical order.

도 1~3, 4a~4o, 5~14는 일부 실시예에 따라 패키지 구조체를 형성하기 위한 공정 도중의 중간 단계의 횡단면도를 예시한다.Figures 1-3, 4a-4o, 5-14 illustrate intermediate cross-sectional views during the process for forming a package structure according to some embodiments.

도 1은 처리 중의 중간 단계에서의 집적 회로 다이(100)를 예시한다. 집적 회로 다이(100)는 논리적 다이(예, 중앙 처리 장치, 모바일 어플리케이션 프로세서, ASIC, GPU, FPGA, 마이크로컨트롤러 등), 메모리 다이(예, 동적 랜덤 액세스 메모리(DRAM) 다이, 와이드 I/O 다이, M-RAM 다이, R-RAM 다이, NAND 다이, 정적 랜덤 액세스 메모리(SRAM) 다이 등), 메모리 큐브(예, HBM, HMC 등), 고속 데이터 트랜시버 다이, I/O 인터페이스 다이, IPD 다이(예, 집적된 수동 소자), 전력 관리 다이(예, 전력 관리 집적 회로(PMIC) 다이), 고주파(RF) 다이, 센서 다이, 마이크로-전자 기계 시스템(MEMS) 다이, 신호 처리 다이(예, 디지털 신호 처리(DSP) 다이), 사용자측(front-end) 다이(예, 아날로그 사용자측(AFE) 다이), 모놀리식 3D 이종 칩릿(chiplet) 적층 다이 등, 또는 이들의 조합일 수 있다.Figure 1 illustrates an integrated circuit die 100 at an intermediate stage during processing. The integrated circuit die 100 may be a logic die (e.g., a central processing unit, a mobile application processor, an ASIC, a GPU, an FPGA, a microcontroller, etc.), a memory die such as a dynamic random access memory , Memory die (e.g., HBM, HMC, etc.), high speed data transceiver die, I / O interface die, IPD die (e.g., M-RAM die, R-RAM die, NAND die, static random access memory (E.g., integrated passive devices), power management dies (e.g., power management integrated circuit (PMIC) die), high frequency (RF) die, sensor die, micro- (DSP) die), a front-end die (e.g., an analogue user side (AFE) die), a monolithic 3D xerographic chiplet lamination die, or the like, or a combination thereof.

도 1에 예시된 중간 단계 이전에, 집적 회로 다이(100)는 집적 회로 다이(100)에 집적 회로를 형성하기 위해 적용 가능한 제조 공정에 따라 처리될 수 있다. 예를 들면, 집적 회로 다이(100)는 도핑되거나 도핑되지 않은 실리콘 또는 반도체-온-절연체(SOI) 기판의 능동층과 같은 반도체 기판(102)을 포함한다. 반도체 기판(102)은 게르마늄 등의 다른 반도체 재료; 실리콘 카바이드, 갈륨 비소, 갈륨 인, 인듐 인, 인듐 비소, 및/또는 인듐 안티몬을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합을 포함할 수 있다. 다층 또는 구배 기판과 같은 다른 기판도 사용될 수 있다. 트랜지스터, 다이오드, 캐패시터, 저항 등과 같은 소자가 반도체 기판(102)의 내부 및/또는 상부에 형성될 수 있으며, 이들 소자는 예컨대 반도체 기판(102) 상에 하나 이상의 유전체 층 내의 배선 패턴에 의해 형성된 배선 구조체에 의해 상호 연결됨으로써 집적 회로를 형성할 수 있다. 배선 구조체는 일부 실시예에서, 다마신 및/또는 듀얼 다마신 공정을 이용하여 형성된다.Prior to the intermediate steps illustrated in FIG. 1, the integrated circuit die 100 may be processed according to a fabrication process applicable to form an integrated circuit on the integrated circuit die 100. For example, the integrated circuit die 100 includes a semiconductor substrate 102 such as an active layer of a doped or undoped silicon or semiconductor-on-insulator (SOI) substrate. The semiconductor substrate 102 may include other semiconductor materials such as germanium; A compound semiconductor including silicon carbide, gallium arsenide, gallium phosphorus, indium phosphorus, indium arsenide, and / or indium antimony; An alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and / or GaInAsP; Or a combination thereof. Other substrates, such as multilayer or gradient substrates, may also be used. Devices such as transistors, diodes, capacitors, resistors, and the like may be formed in and / or on top of the semiconductor substrate 102, such as, for example, wirings formed by wiring patterns in one or more dielectric layers on a semiconductor substrate 102 They can be interconnected by a structure to form an integrated circuit. The interconnect structure is formed in some embodiments using a damascene and / or dual damascene process.

집적 회로 다이(100)는 외부 접속부가 형성되는 구리 패드 또는 알루미늄 패드 또는 이들의 조합과 같은 패드(104)를 더 포함한다. 일부 실시예에서, 이들 패드(104)는 집적 회로 다이(100)를 다른 다이 또는 구조체에 본딩하는 하이브리드 본딩 구성에 사용될 수 있다. 패드(104)는 집적 회로 다이(100)의 활성면으로 지칭될 수 있는 것의 상부에 있다. 절연층도 집적 회로 다이(100)의 활성면에 있다. 일부 실시예에서, 절연층은 폴리벤족사졸(PBO), 폴리이미드, 벤조시클로부텐(BCB) 등과 같은 감광성 재료일 수 있는 중합체로 형성된다. 다른 실시예에서, 절연층은 실리콘 질화물과 같은 질화물; 실리콘 산화물, 포스포실리케이트 유리(PSG), 보로실리케이트 유리(BSG), 붕소 도핑된 포스포실리케이트 유리(BPSG)와 같은 산화물; 이들의 조합 등으로 형성된다. 절연층은 스핀 코팅, 라미네이션, 화학적 기상 증착(CVD), 등 또는 이들의 조합에 의해 형성될 수 있다.The integrated circuit die 100 further includes pads 104, such as copper pads or aluminum pads or combinations thereof where external connections are formed. In some embodiments, these pads 104 may be used in a hybrid bonding configuration to bond the integrated circuit die 100 to another die or structure. The pad 104 is on top of what can be referred to as the active surface of the integrated circuit die 100. The insulating layer is also on the active surface of the integrated circuit die 100. In some embodiments, the insulating layer is formed of a polymer that can be a photosensitive material such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), and the like. In another embodiment, the insulating layer comprises a nitride such as silicon nitride; Oxides such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron doped phosphosilicate glass (BPSG); A combination of these, and the like. The insulating layer may be formed by spin coating, lamination, chemical vapor deposition (CVD), or the like, or a combination thereof.

일부 실시예에서, 패드(104)는 다이 커넥터(104)로 지칭될 수 있으며, 전도성 필러(pillar)(예, 구리 등의 금속을 포함함)일 수 있다. 패드(104)는 예컨대, 금속 증착, 도금, 이들의 조합 등에 의해 형성될 수 있다. 집적 회로 다이(100)의 활성면(패드(104)와 절연층을 포함)은 화학적 기계적 연마(CMP)와 같은 평탄화 공정에 의해 평탄화됨으로써 후속하는 본딩을 위한 평탄한 표면을 보장할 수 있다.In some embodiments, the pad 104 may be referred to as a die connector 104 and may be a conductive pillar (e.g., including a metal such as copper). The pad 104 may be formed by, for example, metal deposition, plating, a combination thereof, or the like. The active surface of the integrated circuit die 100 (including the pad 104 and the insulating layer) may be planarized by a planarization process such as chemical mechanical polishing (CMP) to ensure a planar surface for subsequent bonding.

도 1은 패드(104)의 일부 상에 형성된 전도성 필러(106)도 예시한다. 예시된 바와 같이, 전도성 필러(106)는 필러의 높은 종횡비와 비교적 작은 치수에 기인하여 상부로부터 바닥까지 테이퍼질 수 있다. 전도성 필러(106)는 추후에 형성되는 봉지재(390)(도 6 참조)를 통해 연장될 것이며, 이하 관통 비아(106)로 지칭될 수 있다. 관통 비아(106)를 형성하는 예로서, 도시된 바와 같은 배선과 패드(104)와 같이 집적 회로 다이의 활성면 위에 시드층이 형성된다. 일부 실시예에서, 시드층은 금속층이고, 이 금속층은 단일층이거나 다른 재료로 형성된 복수의 서브-층으로 된 복합층일 수 있다. 일부 실시예에서, 시드층은 티타늄 층과 해당 티타늄 층 위의 구리층을 포함한다. 시드층은 예컨대, PVD 등을 이용하여 형성될 수 있다. 시드층 상에 포토레지스트가 형성되어 패턴화된다. 포토레지스트는 스핀 코팅, 라미네이션 등에 의해 형성될 수 있으며, 패턴화를 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 관통 비아에 대응한다. 패턴화는 시드층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 포토레지스트의 개구 내에 그리고 시드층의 노출된 부분 위에 전도성 재료가 형성된다. 전도성 재료는 전기 도금 또는 무전해 도금과 같은 도금 등에 의해 형성될 수 있다. 전도성 재료는 구리, 니켈, 티타늄, 텅스텐, 알루미늄, 이들의 조합 등과 같은 금속을 포함할 수 있다. 상부에 전도성 재료가 형성되지 않은 시드층의 여러 부분과 포토레지스트는 제거된다. 포토레지스트는 예컨대, 산소 플라즈마 등을 사용하여 허용 가능한 애싱(ashing) 또는 박리 공정에 의해 제거될 수 있다. 일단 포토레지스트가 제거되면, 시드층의 노출된 부분은 예컨대 습식 또는 건식 식각과 같은 허용 가능한 식각 공정을 이용하는 것에 의해 제거된다. 시드층의 잔여부와 전도성 재료는 관통 비아(106)를 형성한다.FIG. 1 also illustrates a conductive filler 106 formed on a portion of a pad 104. As illustrated, the conductive filler 106 may be tapered from top to bottom due to the high aspect ratio of the filler and relatively small dimensions. The conductive filler 106 will extend through the subsequently formed encapsulant 390 (see FIG. 6) and may be referred to as through vias 106 hereafter. As an example of forming the through vias 106, a seed layer is formed on the active surface of the integrated circuit die, such as wiring and pad 104 as shown. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer of a plurality of sub-layers formed of different materials. In some embodiments, the seed layer comprises a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating, lamination, or the like, and may be exposed to light for patterning. The photoresist pattern corresponds to the through vias. The patterning forms an opening through the photoresist to expose the seed layer. A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include metals such as copper, nickel, titanium, tungsten, aluminum, combinations thereof, and the like. Various portions of the seed layer on which no conductive material is formed and the photoresist are removed. The photoresist can be removed, for example, by an acceptable ashing or stripping process using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed by using an acceptable etching process, such as, for example, wet or dry etching. The remaining portion of the seed layer and the conductive material form through vias 106.

일부 실시예에서, 상부에 전도성 필러(106)가 형성된 패드(104)는 전도성 필러(106)가 없는 패드(104)와는 다른 구성으로 형성된다(예, 전도성 필러가 형성된 패드(104)는 예컨대 도 4a의 리세스가 형성된 패드(312)에 의해 예시된 바와 같이 리세스가 형성되지 않을 수 있다). 일부 실시예에서, 패드(104)는 모두 동일한 구성으로 형성된다.In some embodiments, the pad 104 with the conductive filler 106 formed thereon is formed in a different configuration than the pad 104 without the conductive filler 106 (e.g., the pad 104 with the conductive filler formed thereon, The recesses may not be formed as illustrated by the recessed pad 312 of FIG. 4a). In some embodiments, the pads 104 are all formed in the same configuration.

도 2는 처리의 중간 단계에서의 집적 회로 다이(200)를 예시한다. 집적 회로 다이(200)는 논리적 다이(예, 중앙 처리 장치, ASIC, FPGA, 마이크로컨트롤러 등), 메모리 다이(예, DRAM 다이, 와이드 I/O 다이, M-RAM 다이, R-RAM 다이, NAND 다이, SRAM 다이 등), 메모리 큐브(예, HBM, HMC 등), 고속 데이터 트랜시버 다이, I/O 인터페이스 다이, IPD 다이(예, 집적된 수동 소자), 전력 관리 다이(예, PMIC 다이), RF 다이, 센서 다이, MEMS 다이, 신호 처리 다이(예, DSP 다이), 사용자측(front-end) 다이(예, AFE 다이), 모놀리식 3D 이종 칩릿(chiplet) 적층 다이 등, 또는 이들의 조합일 수 있다. 일부 실시예에서, 집적 회로 다이(100)는 논리적 다이이고 집적 회로 다이(200)는 메모리 다이이다.Figure 2 illustrates an integrated circuit die 200 at an intermediate stage of processing. The integrated circuit die 200 may be any type of semiconductor die such as a logic die (e.g., a central processing unit, an ASIC, an FPGA, a microcontroller, etc.), a memory die (e.g., DRAM die, wide I / O die, M- (E.g., PMIC die), a power management die (e.g., a PMIC die), a memory module (e.g., (E.g., an RF die, a sensor die, a MEMS die, a signal processing die (e.g., a DSP die), a front-end die (e.g., an AFE die), a monolithic 3D xerographic chiplet lamination die, Lt; / RTI > In some embodiments, the integrated circuit die 100 is a logical die and the integrated circuit die 200 is a memory die.

도 2에 예시된 중간 단계 이전에, 집적 회로 다이(200)는 집적 회로 다이(200)에 집적 회로를 형성하기 위해 적용 가능한 제조 공정에 따라 처리될 수 있다. 예를 들면, 집적 회로 다이(200)는 도핑되거나 도핑되지 않은 실리콘 또는 반도체-온-절연체(SOI) 기판의 능동층과 같은 반도체 기판(202)을 포함한다. 반도체 기판(202)은 게르마늄 등의 다른 반도체 재료; 실리콘 카바이드, 갈륨 비소, 갈륨 인, 인듐 인, 인듐 비소, 및/또는 인듐 안티몬을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합을 포함할 수 있다. 다층 또는 구배 기판과 같은 다른 기판도 사용될 수 있다. 트랜지스터, 다이오드, 캐패시터, 저항 등과 같은 소자가 반도체 기판(202)의 내부 및/또는 상부에 형성될 수 있으며, 이들 소자는 예컨대 반도체 기판(202) 상의 하나 이상의 유전체 층 내의 배선 패턴에 의해 형성된 배선 구조체에 의해 상호 연결됨으로써 집적 회로를 형성할 수 있다. 배선 구조체는 일부 실시예에서, 다마신 및/또는 듀얼 다마신 공정을 이용하여 형성된다.2, the integrated circuit die 200 may be processed according to a fabrication process applicable to form an integrated circuit on the integrated circuit die 200. For example, the integrated circuit die 200 includes a semiconductor substrate 202, such as an active layer of a doped or undoped silicon or semiconductor-on-insulator (SOI) substrate. The semiconductor substrate 202 may include other semiconductor materials such as germanium; A compound semiconductor including silicon carbide, gallium arsenide, gallium phosphorus, indium phosphorus, indium arsenide, and / or indium antimony; An alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and / or GaInAsP; Or a combination thereof. Other substrates, such as multilayer or gradient substrates, may also be used. Devices such as transistors, diodes, capacitors, resistors, and the like may be formed in and / or on top of the semiconductor substrate 202, for example, a wiring structure formed by wiring patterns in one or more dielectric layers on the semiconductor substrate 202 So that an integrated circuit can be formed. The interconnect structure is formed in some embodiments using a damascene and / or dual damascene process.

집적 회로 다이(200)는 관통 비아(204)와 패드(206)를 더 포함한다. 관통 비아(204)는 처리 중의 이 시점에서 반도체 기판(202)을 통해 연장되거나 도 2에 예시된 바와 같이 처리 중의 이 시점에서 반도체 기판(202)을 통해 부분적으로 연장될 수 있다. 상기 특별한 실시예에서, 반도체 기판(202)은 관통 비아(204)가 반도체 기판(202)을 통해 연장될 수 있도록 박판화될 수 있다(예, 도 11 참조). 관통 비아(204)는 예컨대, 기판(202) 내에 개구를 식각한 후 개구 내에 전도성 재료를 성막하는 것에 의해 형성될 수 있다. 관통 비아(204)를 위한 이들 개구는 모두 동일한 공정으로 동시에 또는 개별 공정으로 형성될 수 있다. 기판(202) 내의 개구는 적절한 포토리소그래피 마스크 및 식각 공정을 이용하여 형성될 수 있다. 예를 들면, 기판(202) 위에 포토레지스트가 형성되어 패턴화될 수 있고, 관통 비아(204)가 형성되기 원하는 기판(202)의 해당 부분을 제거하기 위해 하나 이상의 식각 공정(예, 습식 식각 공정 또는 건식 식각 공정)이 활용된다. 개구는 집적 회로 다이(200)의 활성면 상에 마스크를 형성하고 패턴화하는 것에 의해 집적 회로 다이(200)의 활성면(즉, 도 2의 집적 회로 다이(200)의 하측)으로부터 형성될 수 있다.The integrated circuit die 200 further includes a through via 204 and a pad 206. Through vias 204 may extend through semiconductor substrate 202 at this point in the process or partially extend through semiconductor substrate 202 at this point in the process as illustrated in FIG. In this particular embodiment, the semiconductor substrate 202 may be thinned to allow the through vias 204 to extend through the semiconductor substrate 202 (e.g., see FIG. 11). The through vias 204 may be formed, for example, by etching the openings in the substrate 202 and then depositing a conductive material in the openings. These openings for through vias 204 can all be formed simultaneously or in separate processes in the same process. The openings in the substrate 202 may be formed using suitable photolithographic masks and etching processes. For example, a photoresist may be formed and patterned on the substrate 202, and one or more etch processes (e.g., a wet etch process) may be performed to remove the portion of the substrate 202 on which the via vias 204 are desired to be formed Or dry etching process) is utilized. The openings may be formed from the active surface of the integrated circuit die 200 (i.e., the lower side of the integrated circuit die 200 of FIG. 2) by forming and patterning a mask on the active surface of the integrated circuit die 200 have.

개구는 예컨대, 확산 장벽층, 접착층 등과 이들의 조합과 같은 라이너로 충전될 수 있다. 라이너는 티타늄, 티타늄 질화물, 탄탈, 탄탈 질화물 등을 포함할 수 있다. 라이너는 플라즈마 증강 CVD(PECVD)와 같은 화학적 기상 증착(CVD)을 이용하여 형성될 수 있다. 그러나, 스퍼터링 또는 금속 유기 화학적 기상 증착(MOCVD)과 같은 다른 대안적인 공정이 적용될 수 있다.The opening may be filled with a liner, such as, for example, a diffusion barrier layer, an adhesive layer, and the like, and combinations thereof. The liner can include titanium, titanium nitride, tantalum, tantalum nitride, and the like. The liner may be formed using chemical vapor deposition (CVD), such as plasma enhanced CVD (PECVD). However, other alternative processes such as sputtering or metal organic chemical vapor deposition (MOCVD) may be applied.

관통 비아(204)의 전도성 재료는 일종 이상의 전도성 재료, 구리, 구리 합금, 은, 금, 텅스텐, 알루미늄, 니켈, 다른 전도성 금속, 이들의 조합 등을 포함할 수 있다. 전도성 재료는 예컨대, 시드층(미도시)을 성막하고 전기 도금, 무전해 도금 등을 이용하여 시드층에 전도성 재료를 성막하여 관통 비아(204)를 위한 개구를 충전 및 과충전하는 것에 의해 형성될 수 있다. 일단 관통 비아(204)를 위한 개구가 충전되면, 관통 비아(204)를 위한 개구의 외부의 과잉의 라이너와 과잉의 전도성 재료는 화학적 기계적 연마(CMP)와 같은 연마 공정을 통해 제거될 수 있지만, 임의의 적절한 제거 공정이 사용될 수 있다. 당업자 중 한 사람이라면 인식하는 바와 같이, 관통 비아(204)를 형성하기 위한 전술한 공정은 단지 관통 비아(204)를 형성하는 하나의 방법이고, 다른 방법도 실시예의 범위 내에 포함되도록 충분히 의도된다. 일부 실시예에서, 관통 비아(204)는 집적 회로 다이(200)의 후면측으로부터 형성된다.The conductive material of through vias 204 may include one or more conductive materials, copper, copper alloy, silver, gold, tungsten, aluminum, nickel, other conductive metals, combinations thereof, and the like. The conductive material may be formed, for example, by depositing a seed layer (not shown) and depositing a conductive material on the seed layer using electroplating, electroless plating, etc. to fill and overcharge the openings for the via vias 204 have. Once the openings for the via vias 204 are filled, the excess liner and excess conductive material outside the openings for the via vias 204 may be removed through a polishing process, such as chemical mechanical polishing (CMP) Any suitable removal process can be used. As will be appreciated by one of ordinary skill in the art, the above-described process for forming the through vias 204 is only one way of forming the through vias 204, and other methods are fully intended to be included within the scope of the embodiments. In some embodiments, the through vias 204 are formed from the back side of the integrated circuit die 200.

집적 회로 다이(200)에 2개의 관통 비아(204)가 예시되고 있지만, 각각의 집적 회로 다이(200)에 더 많거나 적은 관통 비아(204)가 존재할 수 있음을 알아야 한다.It should be noted that although two through vias 204 are illustrated in the integrated circuit die 200, there may be more or fewer through vias 204 in each integrated circuit die 200.

패드(206)는 외부 접속부가 형성되는 구리 패드 또는 알루미늄 패드 또는 이들의 조합일 수 있다. 일부 실시예에서, 이들 패드(206)는 집적 회로 다이(200)를 다른 다이 또는 구조체에 본딩하는 하이브리드 본딩 구성에 사용될 수 있다. 패드(206)는 집적 회로 다이(200)의 활성면으로 지칭될 수 있는 것의 상부에 있다. 패드(206)는 관통 비아(204) 상에 형성되어 관통 비아에 전기적으로 결합될 수 있다. 하나 이상의 절연층(208)도 집적 회로 다이(200)의 활성면에 있다. 절연층(208)은 무기층 또는 유기층일 수 있다. 일부 실시예에서, 절연층(208)은 PBO, 폴리이미드, BCB 등과 같은 감광성 재료일 수 있는 중합체로 형성된다. 다른 실시예에서, 절연층(208)은 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG와 같은 산화물; 등으로 형성된다. 절연층(2008)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 집적 회로 다이(200)(패드(206)와 절연층(208)을 포함)의 활성면은 CMP와 같은 평탄화 공정에 의해 평탄화됨으로써 후속하는 본딩을 위한 평탄한 표면을 보장할 수 있다.The pad 206 may be a copper pad or an aluminum pad, or a combination thereof, in which an external connection portion is formed. In some embodiments, these pads 206 may be used in a hybrid bonding configuration to bond the integrated circuit die 200 to another die or structure. The pad 206 is on top of what can be referred to as the active surface of the integrated circuit die 200. The pad 206 may be formed on the through via 204 to be electrically coupled to the through via. One or more insulating layers 208 are also on the active surface of the integrated circuit die 200. The insulating layer 208 may be an inorganic layer or an organic layer. In some embodiments, the insulating layer 208 is formed of a polymer that can be a photosensitive material such as PBO, polyimide, BCB, and the like. In another embodiment, the insulating layer 208 may comprise a nitride such as silicon nitride; Oxides such as silicon oxide, PSG, BSG, BPSG; . The insulating layer 2008 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The active surface of the integrated circuit die 200 (including the pad 206 and the insulating layer 208) can be planarized by a planarization process such as CMP to ensure a planar surface for subsequent bonding.

일부 실시예에서, 패드(206)는 다이 커넥터(206)로 지칭될 수 있으며, 전도성 필러(pillar) 또는 비아(예, 구리, 알루미늄, 이들의 조합 등의 금속을 포함)일 수 있다. 패드(206)는 예컨대, 도금 등에 의해 형성될 수 있다. 일부 실시예에서, 패드(104)와 패드(206) 중 어느 하나 또는 양자 모두는 집적 회로 다이(100, 200)를 결합시 사용될 납땜 재료를 포함한다. 이 구조체는 도 4a~4o에 더 상세히 설명될 것이다.In some embodiments, the pad 206 may be referred to as die connector 206 and may be a conductive pillar or via (e.g., including a metal such as copper, aluminum, or combinations thereof). The pad 206 may be formed, for example, by plating or the like. In some embodiments, either or both of the pad 104 and the pad 206 comprise a braze material to be used in joining the integrated circuit die 100, 200. This structure will be described in more detail in Figures 4a-4o.

도 3은 하이브리드 본딩을 통해 집적 회로 다이(100)에 본딩되는 집적 회로 다이(200)를 예시한다. 하이브리드 본딩을 달성하기 위해, 집적 회로 다이(100, 200)는 해당 집적 회로 다이(100, 200)들을 함께 가볍게 가압하는 것에 의해 그 활성면(예, 208) 상의 절연층에 의해 먼저 예비 본딩된다. 하나의 집적 회로 다이(100)와 하나의 집적 회로 다이(200)가 예시되지만, 하이브리드 본딩은 웨이퍼 레벨(예, 웨이퍼 상의 칩 또는 웨이퍼 상의 웨이퍼)에서 수행될 수 있고, 웨이퍼에 형성된 집적 회로 다이(100)가 다수 개 존재하며, 예시된 집적 회로 다이(200)와 동일한 다수의 집적 회로 다이(200)가 예비 본딩되어 웨이퍼 상에서 줄과 열로 배치된다.FIG. 3 illustrates an integrated circuit die 200 that is bonded to an integrated circuit die 100 through hybrid bonding. To achieve hybrid bonding, the integrated circuit die 100, 200 is first pre-bonded by an insulating layer on its active face (e.g., 208) by lightly pressing the respective integrated circuit die 100, 200 together. Although one integrated circuit die 100 and one integrated circuit die 200 are illustrated, the hybrid bonding may be performed at a wafer level (e.g., a chip on a wafer or a wafer on a wafer) and an integrated circuit die 100 and a plurality of integrated circuit dies 200 that are the same as the illustrated integrated circuit die 200 are pre-bonded and arranged in rows and columns on the wafer.

집적 회로 다이(100, 200) 모두가 예비 본딩된 후, 납땜 재료(즉, 패드(104, 206) 사이의 납땜 재료)의 재유동과 납땜 재료와 패드(104, 206) 중 적어도 하나의 금속의 상호 확산을 야기하도록 리플로우 공정이 수행된다. 리플로우 온도는 절연층과 본딩 다이의 손상을 피하기 위해 약 200℃ 미만으로 하강될 수 있다. 예를 들면, 리플로우 온도는 약 150℃~약 200℃의 범위에 있을 수 있다. 어닐링 시간은 약 2시간~약 3시간일 수 있다. 일부 실시예에 따르면, 상부 회로 다이, 바닥 회로 다이, 및 본딩 툴 간의 열팽창 계수(CTE)의 불일치에 기인하여 본딩 연결부에서의 열적-기계적 응력과 본딩 시간을 감소시키기 위해 본딩 계면을 국부적으로 가열하도록 열 압축 본딩(TCB)이 적용될 수 있다.After all of the integrated circuit dies 100 and 200 have been pre-bonded, the reflow of the braze material (i.e., the braze material between the pads 104 and 206) and the reflow of the solder material and at least one of the pads 104 and 206 A reflow process is performed to cause interdiffusion. The reflow temperature can be lowered to less than about 200 ° C to avoid damage to the insulating layer and the bonding die. For example, the reflow temperature may range from about 150 ° C to about 200 ° C. The annealing time can be from about 2 hours to about 3 hours. According to some embodiments, the bonding interface is locally heated to reduce the thermal-mechanical stresses and bonding time at the bonding connection due to the mismatch of the coefficient of thermal expansion (CTE) between the top circuit die, the bottom circuit die, and the bonding tool Thermal compression bonding (TCB) can be applied.

하이브리드 본딩을 통해, 패드(104, 206)는 납땜 본딩을 통해 서로에 본딩됨으로써 본딩 연결부(300)를 형성한다. 집적 회로 다이(100)의 절연층도 역시 절연층(208)에 대해 그 사이의 본딩부에 의해 본딩된다. 예를 들면, 절연층 중 하나의 원자(예, 산소 원자)는 절연층 중 나머지 하나의 원자(예, 수소 원자)와 화학적 결합 또는 공유 결합(예, O-H 결합)을 형성한다. 절연층 간에 얻어지는 결합은 유전체 간 결합이고, 이러한 결합은 다양한 실시예에 따르면 무기물-중합체 결합, 중합체-중합체 결합, 또는 무기물-무기물 결합일 수 있다. 또한, 2개의 집적 회로 다이(100 및/또는 200)의 표면 절연층은 서로 다르기 때문에(예, 하나는 중합체 층이고 다른 하나는 무기물 층인 경우), 동일한 패키지 내에 동시에 존재하는 2종류의 무기물-중합체, 중합체-중합체 및 무기물-무기물 결합이 존재할 수 있다.Through hybrid bonding, the pads 104 and 206 are bonded to each other via solder bonding to form the bonding connection 300. The insulating layer of the integrated circuit die 100 is also bonded to the insulating layer 208 by bonding therebetween. For example, one atom of the insulating layer (e.g., an oxygen atom) forms a chemical bond or a covalent bond (e.g., O-H bond) with the other atom of the insulating layer (e.g., hydrogen atom). The resulting bond between the insulating layers is a dielectric-to-dielectric bond, which in various embodiments may be an inorganic-polymer bond, a polymer-polymer bond, or an inorganic-inorganic bond. In addition, since the surface insulation layers of the two integrated circuit dies 100 and / or 200 are different (e.g., one is a polymer layer and the other is an inorganic layer), two types of inorganic-polymer , Polymer-polymer and inorganic-inorganic bonds may be present.

도 4a. 4b, 4c, 4d, 4e, 4f, 4g, 4h, 4i, 4j, 4k, 4l, 4m, 4n, 4o는 도 3과 다른 본딩 연결부(300)의 구성의 상세도를 예시한다. 각각의 예시된 구성에서, 집적 회로 다이(100, 200)는 예컨대 도 4a~4o의 상부 다이(즉, 본딩 계면(350) 위의 다이) 또는 예컨대 도 4a~4o의 바닥 다이(즉, 본딩 계면(350)의 아래의 다이)일 수 있다.4a. 4b, 4c, 4d, 4e, 4f, 4g, 4h, 4i, 4j, 4k, 4l, 4m, 4n, and 4o illustrate detailed configurations of the bonding connection unit 300 different from those of FIG. In each illustrated configuration, the integrated circuit die 100, 200 may include, for example, a top die (i.e., a die on the bonding interface 350) of Figs. 4a-4o or a bottom die (E.g., a die underneath 350).

도 4a는 유전체 본딩과 리세스가 형성된 본딩 패드에 의한 본딩 연결부 구성(300A)을 예시한다. 도 4a에서, 제1 다이는 반도체 기판(302), 반도체 기판(302) 상의 유전체 층(304, 308, 310), 유전체 층(304) 내의 배선층, 및 유전체 층(310)의 내부와 배선층(306) 상에 제공된 리세스가 형성된 본딩 패드(312)를 포함한다. 도 4a에서, 제2 다이는 반도체 기판(320), 반도체 기판(320) 상의 유전체 층(322, 326, 328), 유전체 층(322) 내의 배선층(324), 유전체 층(328)의 내부와 배선층(324) 상의 본딩 패드(330), 및 층(332, 334)을 포함하는 돌출된 범프를 포함한다. 계면(350)은 유전체 층(310, 328) 사이의 본딩 계면을 나타낸다.4A illustrates a bonding connection configuration 300A by a bonding pad with dielectric bonding and recesses formed. 4A, the first die comprises a semiconductor substrate 302, dielectric layers 304, 308 and 310 on the semiconductor substrate 302, a wiring layer in the dielectric layer 304 and a wiring layer 306 in the dielectric layer 310 And a bonding pad 312 provided with a recess provided thereon. 4A, the second die includes a semiconductor substrate 320, dielectric layers 322, 326 and 328 on the semiconductor substrate 320, a wiring layer 324 in the dielectric layer 322, A bonding pad 330 on the substrate 324, and a layer 332, 334. The interface 350 represents the bonded interface between the dielectric layers 310 and 328.

본 실시예에서, 유전체 층(304, 308, 310, 322, 326, 328)은 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG와 같은 산화물; 등등으로 형성된다. 유전체 층(308, 326)은 각각의 다이 상에 본딩 패드(312, 330)를 형성시 식각 정지층으로서 활용될 수 있으며, 주변 유전체 층과 다른 재료 조성으로 형성될 수 있다. 본딩 계면(350)에서의 유전체 층(310, 328)의 표면(각각의 도전부(330, 312)를 포함)은 본딩을 위한 평면을 보장하도록 CMP와 같은 평탄화 공정으로 평탄화될 수 있다.In this embodiment, the dielectric layers 304, 308, 310, 322, 326, 328 may include nitride, such as silicon nitride; Oxides such as silicon oxide, PSG, BSG, BPSG; And so on. The dielectric layers 308 and 326 may be utilized as an etch stop layer when forming the bonding pads 312 and 330 on each die and may be formed with a different material composition than the peripheral dielectric layer. The surfaces of the dielectric layers 310 and 328 (including the respective conductive portions 330 and 312) at the bonding interface 350 can be planarized by a planarization process such as CMP to ensure a plane for bonding.

배선층(306, 324)과 본딩 패드(330)는 전도성 재료로 형성될 수 있고, 전도성 재료는 구리, 티타늄, 텅스텡 알루미늄, 등과 같은 금속을 포함할 수 있다. 전도성 재료는 전기 도금 또는 무전해 도금과 같은 도금, 스퍼터링 등에 의해 형성될 수 있다. 이들 구조체는 다마신 공정에 의해 형성될 수 있고, 확산 장벽층 또는 접착층 등등과 시드층 및 전도성 재료를 포함할 수 있다. 확산 장벽층 및/또는 접착층은 티타늄, 타티늄 질화물, 탄탈, 탄탈 질화물 등을 포함할 수 있다. 확산 장벽층 및/또는 접착층은 PECVD와 같은 CVD 공정을 이용하여 형성될 수 있다. 그러나, 스퍼터링 또는 MOCVD와 같은 다른 대안적인 공정이 사용될 수 있다. 일부 실시예에서, 시드층은 금속층이고, 이 금속층은 단일층이거나 다른 재료로 된 복수의 서브-층을 포함하는 복합층일 수 있다. 일부 실시예에서, 시드층은 티타늄 층과 해당 티타늄 층 위의 구리층을 포함한다. 시드층은 예컨대 PVD 등을 이용하여 형성될 수 있다.The wiring layers 306 and 324 and the bonding pads 330 may be formed of a conductive material, and the conductive material may include a metal such as copper, titanium, tungsten aluminum, and the like. The conductive material may be formed by plating, sputtering, or the like, such as electroplating or electroless plating. These structures may be formed by a damascene process and may include a diffusion barrier layer or adhesive layer, etc., a seed layer and a conductive material. The diffusion barrier layer and / or the adhesive layer may comprise titanium, titanium nitride, tantalum, tantalum nitride, and the like. The diffusion barrier layer and / or the adhesive layer may be formed using a CVD process such as PECVD. However, other alternative processes such as sputtering or MOCVD may be used. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sub-layers of different materials. In some embodiments, the seed layer comprises a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using PVD or the like.

리세스가 형성된 본딩 패드(312)는 유전체 층(310)의 리세스 내에 형성된 다수의 층들을 포함할 수 있다. 상기 층들은 시드층(312A), 확산 장벽층(312B) 및 전도성 재료층(312C)을 포함할 수 있다. 추가로, 시드층(312A)과 유전체 층(310) 사이에 확산 장벽층 및/또는 접착층이 존재할 수 있다.The recessed bond pad 312 may comprise a plurality of layers formed in the recess of the dielectric layer 310. The layers may include a seed layer 312A, a diffusion barrier layer 312B, and a conductive material layer 312C. In addition, a diffusion barrier layer and / or an adhesive layer may be present between the seed layer 312A and the dielectric layer 310. [

확산 장벽층 및/또는 접착층은 티타늄, 티타늄 질화물, 탄탈, 탄탈 질화물 등을 포함할 수 있다. 확산 장벽층 및/또는 접착층은 PECVD와 같은 CVD 공정을 이용하여 형성될 수 있다. 그러나, 스퍼터링 또는 MOCVD와 같은 다른 대안적인 공정이 사용될 수 있다.The diffusion barrier layer and / or the adhesive layer may comprise titanium, titanium nitride, tantalum, tantalum nitride, and the like. The diffusion barrier layer and / or the adhesive layer may be formed using a CVD process such as PECVD. However, other alternative processes such as sputtering or MOCVD may be used.

일부 실시예에서, 시드층(312A)은 금속층이고, 이 금속층은 단일층이거나 다른 재료로 된 복수의 서브-층을 포함하는 복합층일 수 있다. 일부 실시예에서, 시드층(312A)은 티타늄 층과 해당 티타늄 층 위의 구리층을 포함한다. 시드층(312A)은 예컨대 PVD 등을 이용하여 형성될 수 있다.In some embodiments, the seed layer 312A is a metal layer, which may be a single layer or a composite layer comprising a plurality of sub-layers of different materials. In some embodiments, the seed layer 312A comprises a titanium layer and a copper layer over the titanium layer. The seed layer 312A may be formed using, for example, PVD or the like.

일부 실시예에서, 확산 장벽층(312B)은 니켈층을 포함한다. 확산 장벽층(312B)은 예컨대 PVD 등을 이용하여 형성될 수 있다. 확산 장벽층(312B)은 납땜 재료(334)가 배선층(306) 내로 확산되지 않도록 확산 보호를 제공한다. 적절한 정도의 확산 보호를 제공한다면, 확산 장벽 대신에 다른 재료가 사용될 수 있다.In some embodiments, the diffusion barrier layer 312B includes a nickel layer. The diffusion barrier layer 312B may be formed using PVD or the like. The diffusion barrier layer 312B provides diffusion protection so that the braze material 334 does not diffuse into the wiring layer 306. [ Other materials may be used instead of diffusion barriers if they provide adequate degree of diffusion protection.

전도성 재료층(312C)은 일종 이상의 전도성 재료, 구리, 구리 합금, 은, 금, 텅스텐, 알루미늄, 니켈, 다른 전도성 금속 등을 포함할 수 있다. 전도성 재료층(312C)은 예컨대, 전기 도금, 무전해 도금 등에 의해 전도성 재료를 성막하는 것에 의해 형성될 수 있다. 본딩 패드(312)의 층들(312A, 312B, 312C)은 본딩 패드(312)가 유전체 층(310) 내에 리세스가 형성되도록 유전체 층(310) 내의 리세스를 충전하지 않는다. 이 리세스가 형성된 본딩 패드(312)는 본딩된 패키지의 이격을 감소시키는 것에 의해 다 얇은 패키지를 허용할 수 있다. 전도성 재료층(312C)의 형성 후에, (예, 다이가 본딩되지 전에 유전체 층(310)의 상부면을 따른) 리세스 외부의 층(312A, 312B, 312C)의 과잉의 부분은 CMP와 같은 연마 공정을 통해 제거될 수 있다. 이 실시예에서, 층(312A, 312B, 312C)의 결합된 두께는 유전체 층의 두께보다 작다.The conductive material layer 312C may comprise one or more conductive materials, copper, copper alloy, silver, gold, tungsten, aluminum, nickel, other conductive metals, and the like. The conductive material layer 312C can be formed, for example, by forming a conductive material by electroplating, electroless plating or the like. The layers 312A, 312B and 312C of the bonding pad 312 do not fill the recesses in the dielectric layer 310 such that the bonding pads 312 form a recess in the dielectric layer 310. [ This recessed bonding pad 312 may allow for a thinner package by reducing the spacing of the bonded package. After formation of the conductive material layer 312C, excess portions of the out-of-recess layers 312A, 312B, and 312C (e.g., along the top surface of the dielectric layer 310 before the die is bonded) Can be removed through the process. In this embodiment, the combined thickness of layers 312A, 312B, and 312C is less than the thickness of the dielectric layer.

범프층(332, 334)은 확산 장벽층(332)과 납땜층(334)을 포함한다. 확산 장벽층(332)은 본딩 패드(330) 상에 형성될 수 있다. 일부 실시예에서, 확산 장벽층(332)은 니켈층을 포함한다. 확산 장벽층(332)은 예컨대 PVD 등을 이용하여 형성될 수 있다. 확산 장벽층(332)은 납땜 재료(334)가 패드/비아(330) 내로 확산되지 않도록 확산 보호를 제공한다. 적절한 정도의 확산 보호를 제공한다면, 확산 장벽 대신에 다른 재료가 사용될 수 있다.The bump layers 332 and 334 include a diffusion barrier layer 332 and a braze layer 334. A diffusion barrier layer 332 may be formed on the bonding pad 330. In some embodiments, the diffusion barrier layer 332 comprises a nickel layer. The diffusion barrier layer 332 may be formed using, for example, PVD or the like. The diffusion barrier layer 332 provides diffusion protection so that the braze material 334 does not diffuse into the pad / via 330. Other materials may be used instead of diffusion barriers if they provide adequate degree of diffusion protection.

납땜 층(334)은 확산 장벽층(332) 상에 형성될 수 있다. 납땜 층(334)은 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등등, 또는 이들의 조합을 포함하는 납땜 재료로 형성될 수 있다. 납땜 층(334)은 증발, 전기 도금, 인쇄, 솔더 트랜스퍼(solder transfer), 볼 플레이스먼트(ball placement) 등에 의해 형성될 수 있다. 납땜 층(334)은 솔더 리플로우 공정(앞서 상술됨) 또는 열 압축 본딩 공정을 통해 리세스가 형성된 본딩 패드에 본딩된다. 납땜 층(334)은 본딩 패드(312)의 전도성 재료층(312C)과 패드/비아(330) 모두에 비해 낮은 리플로우 온도를 가진다.A solder layer 334 may be formed on the diffusion barrier layer 332. The braze layer 334 may be formed of a braze material comprising copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. The solder layer 334 may be formed by evaporation, electroplating, printing, solder transfer, ball placement, or the like. The solder layer 334 is bonded to the recessed bond pads through a solder reflow process (as described above) or a thermal compression bonding process. The solder layer 334 has a lower reflow temperature than both the conductive material layer 312C of the bonding pad 312 and the pad /

예시된 바와 같이, 도 4a~4o의 본딩 연결부는 납땜 층(334)을 둘러싸고 본딩 패드(312)와 유전체 층(322/328) 사이에 있는 보이드 또는 갭(336)을 포함한다. 이 보이드/갭(336)은 비 충전 상태로 있을 수 있고, 최종 제품에서 관찰될 수 있다.4A-4O include voids or gaps 336 surrounding the braze layer 334 and between the bonding pads 312 and the dielectric layers 322/328. This void / gap 336 may be in an uncharged state and can be observed in the final product.

도 4b는 도 3의 본딩 연결부(300)의 다른 구성(300B)을 예시한다. 본 실시예는 이 실시예의 경우 본딩 계면(350)이 유전체 층 대신에 중합체 층(340, 342)을 포함하므로 중합체 본딩을 포함한다는 점을 제외하고 상기 도 4a의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.FIG. 4B illustrates another configuration 300B of the bonding connection 300 of FIG. This embodiment is similar to the embodiment of FIG. 4A except that in this embodiment the bonding interface 350 comprises a polymer layer 340, 342 instead of a dielectric layer, and thus includes polymer bonding. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

본 실시예에서, 각각의 다이는 본딩층으로서 중합체 층을 포함한다. 중합체 층(340)이 제1 다이 상에 형성되고, 중합체 층(342)이 제2 다이 상에 형성된다. 중합체 층(340, 342)은 PBO, 폴리이미드, BCB 등과 같은 감광성 재료일 수 있다. 중합체 층(340, 342)은 스핀 코팅, 라미네이션 등등, 또는 이들의 조합에 의해 형성될 수 있다.In this embodiment, each die comprises a polymer layer as a bonding layer. A polymer layer 340 is formed on the first die, and a polymer layer 342 is formed on the second die. The polymer layers 340 and 342 may be photosensitive materials such as PBO, polyimide, BCB, and the like. The polymer layers 340 and 342 may be formed by spin coating, lamination, or the like, or a combination thereof.

도 4c는 도 3의 본딩 연결부(300)의 다른 구성(300C)을 예시한다. 본 실시예는 이 실시예의 경우 본딩 패드(312)가 절연층 내로 함몰되지 않는다는 점을 제외하고 상기 도 4b의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.FIG. 4C illustrates another configuration 300C of the bonding connection 300 of FIG. This embodiment is similar to the embodiment of FIG. 4B except that in this embodiment the bonding pads 312 are not recessed into the insulating layer. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

본 실시예에서, 본딩 패드(312)는 리세스가 형성되지 않고 배선층(306)을 가로질러 실질적으로 평면형이다. 범프층(332, 334)이 본딩 패드(312)와 패드/비아(324) 사이에 공간을 가지도록 중합체 층(340)은 본딩 패드(312)의 상부면 위로 연장되고 중합체 층(342)은 제2 다이의 유전체 층(322)으로부터 연장된다.In this embodiment, the bonding pads 312 are substantially planar across the wiring layer 306 without recess formation. The polymer layer 340 extends over the upper surface of the bonding pad 312 so that the bump layers 332 and 334 have a space between the bonding pad 312 and the pad / via 324, 2 die < / RTI >

도 4d는 도 3의 본딩 연결부(300)의 다른 구성(300D)을 예시한다. 본 실시예는 이 실시예의 경우 유전체 층(310, 328)이 서로 분리되어 있으므로 본딩 계면(350)이 유전체 본딩이 아닌 납땜 본딩이라는 점을 제외하고 상기 도 4a의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.4D illustrates another configuration 300D of the bonding connection 300 of FIG. This embodiment is similar to the embodiment of FIG. 4A except that the dielectric layers 310 and 328 are separate from each other in this embodiment, so that the bonding interface 350 is solder bonding rather than dielectric bonding. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

본 실시예에서, 다이의 유전체 층(310, 328)은 본딩 공정 후에 서로 이격된다. 이 실시예는 이외의 실시예에 비해 스탠드오프(standoff) 높이가 더 높고 본딩 강도가 감소될 수 있으므로 반드시 이상적인 것은 아니다.In this embodiment, the dielectric layers 310, 328 of the die are spaced apart from each other after the bonding process. This embodiment is not necessarily ideal because the standoff height may be higher and the bonding strength may be reduced compared to other embodiments.

도 4e는 도 3의 본딩 연결부(300)의 다른 구성(300E)을 예시한다. 본 실시예는 이 실시예의 경우 배선층(306)이 관통 비아(204)/관통 비아(466)(466의 경우 도 15 참조) 위에 전기적으로 결합되게 제공된다는 점을 제외하고 상기 도 4a의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.FIG. 4E illustrates another configuration 300E of the bonding connection 300 of FIG. This embodiment is similar to the embodiment of FIG. 4A except that in this embodiment the wiring layer 306 is provided to be electrically coupled over the through vias 204 / through vias 466 (see FIG. 15 for 466) similar. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

본 실시예에서, 관통 비아(204)/관통 비아(466)는 집적 회로 다이(100 및/또는 200) 중 하나를 통해 형성된다.In this embodiment, the through vias 204 / through vias 466 are formed through one of the integrated circuit die 100 and / or 200.

도 4f는 도 3의 본딩 연결부(300)의 다른 구성(300F)을 예시한다. 본 실시예는 이 실시예의 경우 배선층(306)이 생략되고 관통 비아(204)/관통 비아(466)가 리세스가 형성된 패드(312)에 직접 결합된다는 점을 제외하고 상기 도 4e의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.FIG. 4F illustrates another configuration 300F of the bonding connection 300 of FIG. This embodiment differs from the embodiment of FIG. 4E except that in this embodiment, the wiring layer 306 is omitted and the through vias 204 / through vias 466 are directly coupled to the recessed pads 312 similar. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

본 실시예에서, 리세스가 형성된 패드(312)에 인접한 관통 비아(204)/관통 비아(466)의 폭은 리세스가 형성된 패드(312)의 폭보다 작다.In this embodiment, the width of the via vias 204/466 adjacent to the recessed pad 312 is less than the width of the recessed pad 312.

도 4g는 도 3의 본딩 연결부(300)의 다른 구성(300G)을 예시한다. 본 실시예는 이 실시예의 경우 리세스가 형성된 패드(312)에 인접한 관통 비아(204)/관통 비아(466)의 폭이 리세스가 형성된 패드(312)의 폭보다 크다는 점을 제외하고 상기 도 4f의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.FIG. 4G illustrates another configuration 300G of the bonding connection 300 of FIG. The present embodiment is similar to the embodiment described above except that in this embodiment the width of the via vias 204/466 adjacent to the recessed pad 312 is greater than the width of the recessed pad 312 4f. ≪ / RTI > The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

도 4h는 도 3의 본딩 연결부(300)의 다른 구성(300H)을 예시한다. 본 실시예는 이 실시예의 경우 리세스가 형성된 패드(312)에 인접한 관통 비아(204)/관통 비아(466)의 폭이 리세스가 형성된 패드(312)의 폭과 동일하다 점을 제외하고 상기 도 4f의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.Figure 4h illustrates another configuration 300H of the bonding connection 300 of Figure 3. This embodiment differs from the first embodiment in that the width of the through vias 204 / through vias 466 adjacent to the recessed pad 312 is the same as the width of the recessed pad 312 in this embodiment. Is similar to the embodiment of Figure 4f. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

도 4i는 도 3의 본딩 연결부(300)의 다른 구성(300I)을 예시한다. 본 실시예는 이 실시예의 경우 리세스가 형성된 패드(312)에 인접한 관통 비아(204)/관통 비아(466)가 2개 이상 존재한다는 점을 제외하고 상기 도 4f의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.Figure 4i illustrates another configuration 300I of the bonding connection 300 of Figure 3. This embodiment is similar to the embodiment of FIG. 4F except that there are two or more through vias 204 / through vias 466 adjacent to the recessed pad 312 in this embodiment. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

도 4j는 도 3의 본딩 연결부(300)의 다른 구성(300J)을 예시한다. 본 실시예는 이 실시예의 경우 리세스가 형성된 패드(312)에 인접한 관통 비아(204)/관통 비아(466)가 2개 이상 존재한다는 점을 제외하고 상기 도 4e의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.Figure 4J illustrates another configuration 300J of the bonding connection 300 of Figure 3. This embodiment is similar to the embodiment of FIG. 4E except that there are two or more through vias 204 / through vias 466 adjacent to the recessed pad 312 in this embodiment. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

도 4k는 도 3의 본딩 연결부(300)의 다른 구성(300K)을 예시한다. 본 실시예는 이 실시예의 경우 리세스가 형성된 패드(312)에 인접한 관통 비아(204)/관통 비아(466)의 폭이 리세스가 형성된 패드(312)의 폭과 동일하다 점을 제외하고 상기 도 4j의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.4K illustrates another configuration 300K of the bonding connection 300 of FIG. This embodiment differs from the first embodiment in that the width of the through vias 204 / through vias 466 adjacent to the recessed pad 312 is the same as the width of the recessed pad 312 in this embodiment. 4J. ≪ / RTI > The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

도 4l은 도 3의 본딩 연결부(300)의 다른 구성(300L)을 예시한다. 본 실시예는 이 실시예의 경우 리세스가 형성된 패드(312)가 생략되고 납땜 재료(334)가 관통 비아(204)/관통 비아(466)에 직접 결합된다는 점을 제외하고 상기 도 4f의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.FIG. 4L illustrates another configuration 300L of the bonding connection 300 of FIG. This embodiment is similar to the embodiment of FIG. 4F except that in this embodiment the recessed pad 312 is omitted and the solder material 334 is directly coupled to the through via / via 466 . The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

본 실시예에서, 관통 비아(204)/관통 비아(466)는 납땜 배료(334)의 일부가 관통 비아(204/466)의 최상부 표면 및/또는 유전체 층(304)의 표면 아래로 연장되도록 리세스가 형성될 수 있다. 일부 실시예에서, 보이드(336)의 폭은 납땜 재료(334)에 인접한 관통 비아(204/466)의 폭보다 크다.In this embodiment, the through vias 204 and the through vias 466 are formed such that a portion of the solder paste 334 extends below the top surface of the through vias 204/466 and / Seth can be formed. In some embodiments, the width of the void 336 is greater than the width of the through vias 204/466 adjacent the braze material 334.

도 4m은 도 3의 본딩 연결부(300)의 다른 구성(300M)을 예시한다. 본 실시예는 이 실시예의 경우 보이드(336)의 폭이 납땜 재료(334)에 인접한 관통 비아(204/466)의 폭보다 작다는 점을 제외하고 상기 도 4l의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.FIG. 4M illustrates another configuration 300M of the bonding connection 300 of FIG. This embodiment is similar to the embodiment of FIG. 4I except that the width of the void 336 in this embodiment is less than the width of the through vias 204/466 adjacent to the braze material 334. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

도 4n은 도 3의 본딩 연결부(300)의 다른 구성(300N)을 예시한다. 본 실시예는 이 실시예의 경우 보이드(336)의 폭이 납땜 재료(334)에 인접한 관통 비아(204/466)의 폭과 동일하다 점을 제외하고 상기 도 4l의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.Figure 4n illustrates another configuration 300N of the bonding connection 300 of Figure 3. This embodiment is similar to the embodiment of FIG. 41 except that in this embodiment the width of the void 336 is equal to the width of the through vias 204/466 adjacent to the braze material 334. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

도 4o는 도 3의 본딩 연결부(300)의 다른 구성(300O)을 예시한다. 본 실시예는 이 실시예의 경우 리세스가 형성된 패드(312)가 생략되고 납땜 재료(334)가 배선층(306)에 직접 결합된다는 점을 제외하고 상기 도 4j의 실시예와 유사하다. 전술한 실시예와 유사한 본 실시예에 관한 세부 구성은 여기에 반복하지 않을 것이다.4O illustrates another configuration 300O of the bonding connection 300 of FIG. This embodiment is similar to the embodiment of FIG. 4J except that in this embodiment, the recessed pad 312 is omitted and the solder material 334 is directly bonded to the wiring layer 306. The detailed configuration of this embodiment similar to the above-described embodiment will not be repeated here.

도 4a, 4b, 4c, 4e~4o의 각각의 구성에서, 하이브리드 본딩은 집적 회로 다이를 가겹게 함께 가압하는 것에 의해 다이의 활성면에 절연층(예, 310, 328, 340 및/또는 342)을 예비 본딩하는 단계를 포함한다. 예비 본딩 후에, 리플로우 공정이 수행되어 납땜 층(334)의 리플로우를 야기한다.In each of the constructions of Figures 4a, 4b, 4c, 4e ~ 4o, the hybrid bonding is performed by applying an insulating layer (e. G., 310, 328, 340, and / or 342) to the active surface of the die by tightly pressing the integrated circuit die together. Bonding the first and second substrates to each other. After the pre-bonding, a reflow process is performed to cause reflow of the solder layer 334.

도 5에서, 도 3의 다양한 구성 성분 상에 봉지재(390)가 형성된다. 봉지재(390)는 성형 성분, 에폭시, 산화물, 등일 수 있으며, 압축 성형, 트랜스퍼 성형, 라미네이션, 유동성 CVD, 등에 의해 적용될 수 있다. 일부 실시예에서, 봉지재는 예컨대, 실리콘 산화물, 테트라에틸오르소실리케이트(TEOS) 실리콘 산화물과 같은 산화물 층 등일 수 있다. 일부 실시예에서, 봉지재는 예컨대, 실리콘 질화물과 같은 질화물 층 등일 수 있다. 일부 실시예에서, 봉지재는 유기 및 무기 봉지재 등의 복합체일 수 있다. 봉지재(390)는 전도성 필러(106)와 집적 회로 다이(200)가 매립되거나 피복되도록 집적 회로 다이(100)를 포함하는 웨이퍼 위에 형성될 수 있다. 봉지재(390)는 이후 경화될 수 있다. 집적 회로 다이(100)의 반도체 기판(102)은 약 775 ㎛의 두께(T1)를 가질 수 있다.In Fig. 5, an encapsulant 390 is formed on the various components of Fig. The encapsulant 390 can be a molding component, epoxy, oxide, etc. and can be applied by compression molding, transfer molding, lamination, fluidized CVD, and the like. In some embodiments, the encapsulant may be, for example, an oxide layer such as silicon oxide, tetraethylorthosilicate (TEOS) silicon oxide, and the like. In some embodiments, the encapsulant may be, for example, a nitride layer such as silicon nitride. In some embodiments, the encapsulant may be a complex such as organic and inorganic encapsulants. The encapsulant 390 may be formed on the wafer including the integrated circuit die 100 such that the conductive filler 106 and the integrated circuit die 200 are embedded or covered. The encapsulant 390 may then be cured. The semiconductor substrate 102 of the integrated circuit die 100 may have a thickness (T1) of about 775 [mu] m.

도 5에서, 반도체 기판(102)은 상기 두께(T1)보다 작은 두께(T2)로 얇아질 수 있다. 이러한 박판화 공정은 기계적 연마, CMP, 식각 공정, 또는 이들의 조합과 같은 연마 공정을 포함할 수 있다. 일부 실시예에서, 상기 두께(T2)는 약 50 ㎛~약 150 ㎛의 범위에 있다.In Fig. 5, the semiconductor substrate 102 may be thinned to a thickness T2 smaller than the thickness T1. Such a thinning process may include an abrasive process such as mechanical polishing, CMP, etch process, or a combination thereof. In some embodiments, the thickness T2 ranges from about 50 microns to about 150 microns.

박판화 공정 이후, 집적 회로 다이(100, 200)를 포함하는 패키지는 예컨대 톱질 또는 다이싱 절단에 의해 단편화되어(singulated) 각각의 패키지(392)가 적어도 하나의 집적 회로 다이(100)와 하나의 집적 회로 다이(200)를 포함하는 복수의 패키지를 형성할 수 있다. 일부 실시예에서, 상기 단편화는 패키지 영역 사이의 스크라이브 라인에서 일어난다.After the thinning process, the package including the integrated circuit die 100, 200 is singulated by, for example, sawing or dicing cut, so that each package 392 is integrated with at least one integrated circuit die 100 and one integrated A plurality of packages including the circuit die 200 can be formed. In some embodiments, the fragmentation occurs in scribe lines between package areas.

도 7은 캐리어 기판(400), 캐리어 기판(400) 상에 형성된 릴리스 층(402), 및 릴리스 층(402) 상에 형성된 유전체 층(404)을 예시한다. 캐이어 기판(400)은 유리 캐리어 기판, 세라믹 캐리어 기판 등일 수 있다. 캐리어 기판(400)은 웨이퍼일 수 있으며, 따라서 다수의 패키지가 캐리어 기판(400) 상에 동시에 형성될 수 있다. 릴리스 층(402)은 중합체계 재료로 형성될 수 있으며, 이 중합체계 재료는 후속 단계에서 형성될 피복 구조체로부터 캐리어 기판(400)과 함께 제거될 수 있다. 일부 실시예에서, 릴리스 층(402)은 광열 변환(LTHC) 릴리스 코팅과 같이 가열시 그 접착성을 소실하는 에폭시계 열-릴릴스 재료이다. 다른 실시예에서, 릴리스 층(402)은 UV 광에 노출시 그 접착성을 소실하는 UV 접착제일 수 있다. 릴리스 층(402)은 액체로서 분배되어 경화될 수 있거나, 캐리어 기판(400)에 적층되는 라미네이트 필름일 수 있거나, 이와 유사한 구성일 수 있다. 릴리스 층(402)의 상부면은 평탄화될 수 있어서 높은 수준의 공면도(coplanarity)를 가질 수 있다.Figure 7 illustrates a carrier substrate 400, a release layer 402 formed on a carrier substrate 400, and a dielectric layer 404 formed on the release layer 402. The carrier substrate 400 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The carrier substrate 400 may be a wafer, and thus multiple packages may be formed on the carrier substrate 400 at the same time. Release layer 402 may be formed of a polymeric material that may be removed with the carrier substrate 400 from a coating structure to be formed in a subsequent step. In some embodiments, the release layer 402 is an epoxy based thermal-relief material that, like a photo-thermal conversion (LTHC) release coating, dissipates its adhesion during heating. In another embodiment, the release layer 402 may be a UV adhesive that loses its adhesion upon exposure to UV light. The release layer 402 may be a liquid film that is dispensed and cured as a liquid, or laminated to the carrier substrate 400, or a similar configuration. The top surface of the release layer 402 can be planarized and can have a high level of coplanarity.

릴리스 층(402) 상에는 유전체 층(404)이 형성된다. 유전체 층(404)의 바닥면이 릴리스 층(402)의 상부면과 접촉될 수 있다. 일부 실시예에서, 유전체 층(404)은 PBO, 폴이이미드, BCB 등과 같은 중합체로 형성된다. 다른 실시예에서, 유전체 층(404)은 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG와 같은 산화물 등으로 형성된다. 유전체 층(404)은 스핀 코팅, 화학적 기상 증착(CVD), 라미네이팅, 또는 다른 방법, 또는 이들의 조합과 같은 임의의 허용 가능한 성막 공정에 의해 형성될 수 있다. 일부 실시예에서, 유전체 층(404)의 상부 또는 내부에 하나 이상의 배선 패턴이 형성되어 재배선 구조체를 형성한다. 이 재배선 구조체는 후면측 재배선 구조체로서 지칭될 수 있다.A dielectric layer 404 is formed on the release layer 402. The bottom surface of the dielectric layer 404 may be in contact with the top surface of the release layer 402. In some embodiments, the dielectric layer 404 is formed of a polymer such as PBO, polyimides, BCB, and the like. In another embodiment, the dielectric layer 404 may comprise a nitride such as silicon nitride; Silicon oxides, oxides such as PSG, BSG, and BPSG. The dielectric layer 404 may be formed by any acceptable deposition process, such as spin coating, chemical vapor deposition (CVD), laminating, or other methods, or combinations thereof. In some embodiments, one or more wiring patterns are formed on or in the dielectric layer 404 to form a wiring structure. This re-wiring structure can be referred to as a rear side re-wiring structure.

또한 도 7에서, 전기적 접속부(406)가 형성된다. 전기적 접속부(406)는 후속으로 형성되는 봉지재(408)(도 9 참조)를 관통 연장할 것이고 이후 관통 비아(406)로서 지칭될 수 있다. 관통 비아(406)를 형성하는 예로서, 하부 구조체, 예컨대 유전체 층(404) 위에 시드층이 형성된다. 일부 실시예에서, 시드층은 금속층이고, 이 금속층은 단일층이거나 다른 재료로 형성된 복수의 서브-층으로 된 복합층일 수 있다. 일부 실시예에서, 시드층은 티타늄 층과 해당 티타늄 층 위의 구리층을 포함한다. 시드층은 예컨대, PVD 등을 이용하여 형성될 수 있다. 시드층 상에 포토레지스트가 형성되어 패턴화된다. 포토레지스트는 스핀 코팅, 라미네이션 등에 의해 형성될 수 있으며, 패턴화를 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 관통 비아(406)에 대응한다. 패턴화는 시드층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 포토레지스트의 개구 내에 그리고 시드층의 노출된 부분 위에 전도성 재료가 형성된다. 전도성 재료는 전기 도금 또는 무전해 도금과 같은 도금 등에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 상부에 전도성 재료가 형성되지 않은 시드층의 여러 부분과 포토레지스트는 제거된다. 포토레지스트는 예컨대, 산소 플라즈마 등을 사용하여 허용 가능한 애싱(ashing) 또는 박리 공정에 의해 제거될 수 있다. 일단 포토레지스트가 제거되면, 시드층의 노출된 부분은 예컨대 습식 또는 건식 식각과 같은 허용 가능한 식각 공정을 이용하는 것에 의해 제거된다. 시드층의 잔여부와 전도성 재료는 관통 비아(406)를 형성한다.Also, in Fig. 7, an electrical connection portion 406 is formed. The electrical connection 406 may extend through the subsequently formed encapsulant 408 (see FIG. 9) and then be referred to as through vias 406. As an example of forming via vias 406, a seed layer is formed over a substructure, e.g., dielectric layer 404. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer of a plurality of sub-layers formed of different materials. In some embodiments, the seed layer comprises a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating, lamination, or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to through vias 406. The patterning forms an opening through the photoresist to expose the seed layer. A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include metals such as copper, titanium, tungsten, aluminum, and the like. Various portions of the seed layer on which no conductive material is formed and the photoresist are removed. The photoresist can be removed, for example, by an acceptable ashing or stripping process using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed by using an acceptable etching process, such as, for example, wet or dry etching. The remaining portion of the seed layer and the conductive material form through vias 406.

도 8에서, 릴리스 층(402)에 패키지(392)가 부착된다. 하나의 패키지(392)가 부착되는 것으로 예시되지만, 더 많거나 적은 수의 패키지(392)가 각각의 패키지 영역에 부착될 수 있음을 알아야 한다. 도시되지 않았지만, 패키지(392)는 접착층(미도시)에 의해 부착될 수 있다. 접착제는 임의의 적절한 접착제, 에폭시, 다이 부착 필름(DAF) 등일 수 있다.In Fig. 8, a package 392 is attached to the release layer 402. Fig. It should be appreciated that although one package 392 is illustrated as being attached, more or fewer packages 392 may be attached to each package area. Although not shown, the package 392 may be attached by an adhesive layer (not shown). The adhesive may be any suitable adhesive, epoxy, die attach film (DAF), and the like.

도 9에서, 다양한 구성 성분 상에 봉지재(408)가 형성된다. 봉지재(408)는 성형 성분, 에폭시, 등일 수 있으며, 압축 성형, 라미네이션, 트랜스퍼 성형 등에 의해 적용될 수 있다. 봉지재(408)는 전기적 접속부(406)와 패키지(392)가 매립되거나 피복되도록 캐리어 기판(400) 위에 형성될 수 있다. 봉지재(408)는 이후 경화될 수 있다. 봉지재(408, 390)는 동일한 재료 또는 다른 재료로 형성될 수 있다.In Fig. 9, an encapsulant 408 is formed on various components. The encapsulant 408 may be a molding component, epoxy, or the like and may be applied by compression molding, lamination, transfer molding, and the like. The encapsulant 408 may be formed on the carrier substrate 400 such that the electrical connections 406 and the package 392 are embedded or covered. The encapsulant 408 may then be cured. The encapsulant 408, 390 may be formed of the same material or another material.

도 10에서, 봉지재(408)는 전기적 접속부(406),전도성 필러(106) 및 관통 비아(204)를 노출시키도록 연마 공정을 받을 수 있다. 전기적 접속부(406), 전도성 필러(106), 관통 비아(204), 반도체 기판(202) 및 봉지재(408)의 표면들은 연마 공정 후에 동일하다. 일부 실시예에서, 연마는 예컨대, 전기적 접속부(406), 전도성 필러(106) 및 관통 비아(204)가 이미 노출된 경우라면 생략될 수 있다. 전기적 접속부(406)와 전도성 필러(106)는 이후 관통 비아(406, 106)로서 각각 지칭될 수 있다.10, the encapsulant 408 may be subjected to a polishing process to expose the electrical connections 406, the conductive filler 106, and the through vias 204. The surfaces of the electrical connections 406, the conductive filler 106, the through vias 204, the semiconductor substrate 202 and the encapsulant 408 are the same after the polishing process. In some embodiments, polishing may be omitted if, for example, electrical contact 406, conductive filler 106 and through vias 204 are already exposed. Electrical connection 406 and conductive filler 106 may then be referred to as through vias 406 and 106, respectively.

도 11에서, 전면측 재배선 구조체(410)가 형성된다. 전면측 재배선 구조체(410)는 하나 이상의 유전체 층(414)과 하나 이상의 배선 패턴(412)을 포함한다.In Fig. 11, the front side wiring structure body 410 is formed. The front side wiring structure 410 includes at least one dielectric layer 414 and at least one wiring pattern 412.

전면측 재배선 구조체(410)의 형성은 봉지재(408), 관통 비아(406), 관통 비아(204) 및 관통 비아(106) 상에 유전체 층(414)을 성막하는 것으로 시작할 수 있다. 일부 실시예에서, 관통 비아(106, 204)는 상부의 배선 패턴(412)이 형성되고 각각의 관통 비아(106, 204)와 전기적으로 결합되는 것을 돕도록 상부에 형성된 전도성 패드를 가질 수 있다(예, 패드(494)의 경우 도 23 참조). 일부 실시예에서, 유전체 층(414)은 리소그래피 마스크를 사용하여 패턴화될 수 있는 PBO, 폴리이미드, BCB 등과 같은 감광성 재료일 수 있는 중합체로 형성된다. 다른 실시예에서, 유전체 층(414)은 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG와 같은 산화물; 등으로 형성된다. 유전체 층(414)은 스핀 코팅, 라미네이션, CVD 등등 또는 이들의 조합에 의해 형성될 수 있다.The formation of the front side wiring structure 410 can be started by depositing the dielectric layer 414 on the sealing material 408, the through vias 406, the through vias 204 and the through vias 106. [ In some embodiments, the through vias 106,204 may have conductive pads formed thereon to help the top wiring pattern 412 formed and to be electrically coupled with the respective via vias 106,204 23, in the case of the pad 494). In some embodiments, the dielectric layer 414 is formed of a polymer that can be a photosensitive material such as PBO, polyimide, BCB, etc. that can be patterned using a lithographic mask. In another embodiment, dielectric layer 414 may include a nitride such as silicon nitride; Oxides such as silicon oxide, PSG, BSG, BPSG; . The dielectric layer 414 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof.

다음에, 유전체 층(414)이 패턴화된다. 패턴화는 관통 비아(406, 106, 204)의 일부를 노출시키는 개구를 형성한다. 패턴화는 예컨대, 유전체 층(414)이 감광성 재료인 경우에는 유전체 층(414)을 광에 노출시키는 것에 의해, 예컨대, 레이저 절제를 이용한 절제에 의해, 또는 예컨대 이방성 식각을 이용한 식각에 의한 것과 같이 허용 가능한 공정에 의해 행해질 수 있다. 유전체 층(414)이 감광성 재료인 경우, 유전체 층(414)은 노광 후 현상될 수 있다.Next, the dielectric layer 414 is patterned. The patterning forms openings that expose portions of the through vias 406, 106, 204. Patterning may be performed, for example, by exposing the dielectric layer 414 to light when the dielectric layer 414 is a photosensitive material, for example, by ablation using laser ablation or by etching, for example, using anisotropic etching Can be done by an acceptable process. If the dielectric layer 414 is a photosensitive material, the dielectric layer 414 may be developed after exposure.

다음에, 유전체 층(414) 상에 비아를 가지는 배선 패턴(412)이 형성된다. 배선 패턴(4120을 형성하는 예로서, 시드층(미도시)이 유전체 층(414)의 상부와 유전체 층(414)을 통한 개구 내에 형성된다. 일부 실시예에서, 시드층은 금속층이고, 해당 금속층은 단일층이거나 다른 재료로 형성된 복수의 서브-층으로 된 복합층일 수 있다. 일부 실시예에서, 시드층은 티타늄 층과 해당 티타늄 층 위의 구리층을 포함한다. 시드층은 예컨대, PVD 등을 이용하여 형성될 수 있다. 시드층 상에 포토레지스트가 형성되어 패턴화된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있으며, 패턴화를 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 배선 패턴(412)에 대응한다. 패턴화는 시드층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 포토레지스트의 개구 내에 그리고 시드층의 노출된 부분 위에 전도성 재료가 형성된다. 전도성 재료는 전기 도금 또는 무전해 도금과 같은 도금 등에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 이후에, 상부에 전도성 재료가 형성되지 않은 시드층의 여러 부분과 포토레지스트는 제거된다. 포토레지스트는 예컨대, 산소 플라즈마 등을 사용하여 허용 가능한 애싱 또는 박리 공정에 의해 제거될 수 있다. 일단 포토레지스트가 제거되면, 시드층의 노출된 부분은 예컨대 습식 또는 건식 식각과 같은 허용 가능한 식각 공정을 이용하는 것에 의해 제거된다. 시드층의 잔여부와 전도성 재료는 배선 패턴(412)과 비아를 형성한다. 비아는 유전체 층(414)을 통한 개구 내에 예컨대 관통 비아(406, 106, 204)에 형성된다.Next, a wiring pattern 412 having a via is formed on the dielectric layer 414. A seed layer (not shown) is formed in the opening through the dielectric layer 414 and the dielectric layer 414 as an example of forming the wiring pattern 4120. In some embodiments, the seed layer is a metal layer, In some embodiments, the seed layer comprises a titanium layer and a copper layer over the titanium layer. The seed layer may comprise, for example, PVD or the like. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of the photoresist may be formed by patterning the wiring pattern Patterning forms an opening through the photoresist to expose the seed layer. A conductive material is formed within the openings of the photoresist and over exposed portions of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating, etc. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, etc. Thereafter, a seed material having no conductive material formed thereon The photoresist may be removed by an acceptable ashing or stripping process using, for example, an oxygen plasma, etc. Once the photoresist is removed, the exposed portion of the seed layer may be removed, for example, Such as wet or dry etching. The remaining portion of the seed layer and the conductive material form vias and wiring patterns 412. Vias may be formed in the openings through the dielectric layer 414, Vias 406, 106, and 204, respectively.

이 공정은 재배선 구조체(410)의 형성을 계속하도록 더 많은 유전체 층(414)과 더 많은 배선 패턴 및 비아(412)에 반복될 수 있다. 재배선 구조체(410)의 이들 층을 형성하는 데 사용되는 재료 및 공정들은 전술한 것과 유사할 수 있으므로 그 설명은 여기서 반복하지 않는다. 일부 실시예에서, 재배선 구조체(410)는 다마신 공정에 의해 형성된다. 일부 실시예에서, 재배선 구조체(410)의 층들 중 일부는 듀얼 다마신 공정에 의해 형성되고 나머지 층은 예컨대, 반-추가적인 공정(semi-additive process:SAP)과 같은 전술한 공정에 의해 형성된다.This process can be repeated on more dielectric layers 414 and more wiring patterns and vias 412 to continue the formation of the rewiring structure 410. The materials and processes used to form these layers of the rewiring structure 410 may be similar to those described above, so the description thereof is not repeated herein. In some embodiments, the rewiring structure 410 is formed by a damascene process. In some embodiments, some of the layers of the rewiring structure 410 are formed by a dual damascene process and the remaining layers are formed by the foregoing process, such as, for example, a semi-additive process (SAP) .

전면층 재배선 구조체(410)는 예로서 제시된다. 전면측 재배선 구조체(410)에는 더 많거나 적은 유전체 층 및 배선 패턴이 형성될 수 있다. 더 적은 유전체 층 및 배선 패턴이 형성되는 경우, 전술한 단계 및 공정은 생략될 수 있다. 더 많은 유전체 층 및 배선 패턴이 형성되면, 전술한 단계 및 공정은 반복될 수 있다. 당업자 중 한 사람이면 어떤 단계와 공정이 생략되거나 반복될 지를 쉽게 이해할 것이다.The front layer re-wiring structure 410 is shown as an example. More or fewer dielectric layers and wiring patterns can be formed on the front side wiring structure 410. [ When fewer dielectric layers and wiring patterns are formed, the above-described steps and processes may be omitted. Once more dielectric layers and wiring patterns are formed, the steps and processes described above can be repeated. One of ordinary skill in the art will readily understand what steps and processes may be omitted or repeated.

도 12에서, 전면측 재배선 구조체(410)의 외부 측에 패드(미도시)가 형성되고 패드 상에 전도성 접속부(416)가 형성된다. 패드는 전도성 접속부(416)에 결합되는 데 사용되며, 언더 범프 배선(UBM)으로 지칭될 수 있다. 패드는 재배선 구조체(410)의 최상부 유전체 층(414) 내의 개구를 통해 최상부 배선 패턴(412)에 형성될 수 있다. 패드를 형성하는 예로서, 시드층(미도시)이 유전체 층(414) 위에 형성된다. 일부 실시예에서, 시드층은 금속층이고, 해당 금속층은 단일층이거나 다른 재료로 형성된 복수의 서브-층으로 된 복합층일 수 있다. 일부 실시예에서, 시드층은 티타늄 층과 해당 티타늄 층 위의 구리층을 포함한다. 시드층은 예컨대, PVD 등을 이용하여 형성될 수 있다. 시드층 상에 포토레지스트가 형성되어 패턴화된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있으며, 패턴화를 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 패드에 대응한다. 패턴화는 시드층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 포토레지스트의 개구 내에 그리고 시드층의 노출된 부분 위에 전도성 재료가 형성된다. 전도성 재료는 전기 도금 또는 무전해 도금과 같은 도금 등에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 이후에, 상부에 전도성 재료가 형성되지 않은 시드층의 여러 부분과 포토레지스트는 제거된다. 포토레지스트는 예컨대, 산소 플라즈마 등을 사용하여 허용 가능한 애싱 또는 박리 공정에 의해 제거될 수 있다. 일단 포토레지스트가 제거되면, 시드층의 노출된 부분은 예컨대 습식 또는 건식 식각과 같은 허용 가능한 식각 공정을 이용하는 것에 의해 제거된다. 시드층의 잔여부와 전도성 재료는 패드를 형성한다. 상기 실시예에서, 패드가 다르게 형성되는 경우, 더 많은 포토레지스트와 패턴화 단계가 활용될 수 있다.12, a pad (not shown) is formed on the outer side of the front side wiring structure 410 and a conductive connection portion 416 is formed on the pad. The pad is used to couple to the conductive connection 416 and may be referred to as under bump wiring (UBM). The pads may be formed in the topmost wiring pattern 412 through openings in the top dielectric layer 414 of the wiring structure 410. As an example of forming the pad, a seed layer (not shown) is formed over the dielectric layer 414. In some embodiments, the seed layer is a metal layer, and the metal layer may be a single layer or a composite layer of a plurality of sub-layers formed of different materials. In some embodiments, the seed layer comprises a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of the photoresist corresponds to the pad. The patterning forms an opening through the photoresist to expose the seed layer. A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include metals such as copper, titanium, tungsten, aluminum, and the like. Thereafter, various portions of the seed layer on which no conductive material is formed and the photoresist are removed. The photoresist can be removed, for example, by an acceptable ashing or stripping process using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed by using an acceptable etching process, such as, for example, wet or dry etching. The rest of the seed layer and the conductive material form a pad. In the above embodiment, if the pads are formed differently, more photoresist and patterning steps may be utilized.

추가로 도 12에서, 패드/UBM 상에 전도성 접속부(416)가 형성된다. 전도성 접속부(416)는 볼 그리드 어레이(BGA) 커넥터, 솔더 볼, 금속 필러, C4(controlled collapse chip connection) 범프, 마이크로 범프, 무전해 니켈-무전해 팔라듐-침지 금 기술(ENEPIG)로 형성된 범프, 등일 수 있다. 전도성 접속부(416)는 납땜 재료, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석, 등등 또는 이들의 조합과 같은 전도성 재료를 포함할 수 있다. 일부 실시예에서, 전도성 접속부(416)는 초기에 증발, 전기 도금, 인쇄, 솔더 트랜스퍼, 볼 플레이스먼트 등과 같이 흔히 사용되는 방법을 통해 납땜 재료의 층을 형성하는 것에 의해 형성된다. 일단 납땜 재료의 층이 구조체 상에 형성되면, 재료를 원하는 범프 형태로 성형하기 위해 리플로우가 수행될 수 있다. 다른 실시예에서, 전도성 접속부(416)는 스퍼터링, 인쇄, 전기 도금, 무전해 도금, CVD 등에 의해 형성되는 금속 필러(pillar)(예, 구리 필러)이다. 금속 필러는 납땜 재료가 없을 수 있고 실질적으로 수직한 측벽을 가질 수 있다. 일부 실시예에서, 금속 필러 커넥터(416)의 상부 위에 금속캡 층(미도시)이 형성된다. 금속캡 층은 니켈, 주석, 주석-아연, 금, 은, 팔라듐, 인듐, 니켈-팔라듐-금, 니켈-금 등등 또는 이들의 조합을 포함할 수 있고, 도금 공정에 의해 형성될 수 있다.In addition, in Fig. 12, a conductive connection 416 is formed on the pad / UBM. The conductive connection 416 may be a bump formed from a ball grid array (BGA) connector, a solder ball, a metal filler, a controlled collapse chip connection (C4) bump, a micro bump, electroless nickel-electroless palladium- And so on. The conductive connection 416 may comprise a conductive material such as a braze material, copper, aluminum, gold, nickel, silver, palladium, tin, etc., or combinations thereof. In some embodiments, the conductive connection 416 is initially formed by forming a layer of braze material through commonly used methods such as evaporation, electroplating, printing, solder transfer, ball placement, and the like. Once a layer of braze material is formed on the structure, reflow may be performed to shape the material into the desired bump shape. In another embodiment, the conductive connection 416 is a metal pillar (e.g., a copper filler) formed by sputtering, printing, electroplating, electroless plating, CVD, The metal filler may be free of braze material and may have a substantially vertical sidewall. In some embodiments, a metal cap layer (not shown) is formed on top of the metal pillar connector 416. The metal cap layer may comprise nickel, tin, tin-zinc, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold or the like or combinations thereof and may be formed by a plating process.

도 13에서, 유전체 층(404)으로부터 캐리어 기판(400)을 탈착(분리)하기 위해 캐리어 기판 분리가 수행된다. 따라서, 제1 패키지(420)가 캐리어의 각각의 패키지 영역에 형성된다. 일부 실시예에 따르면, 분리는 릴리스 층(402)이 광의 열에 의해 분해되어 캐리어 기판(400)이 제거될 수 있도록 릴리스 층(402) 상에 레이저 광 또는 UV 광 등의 광을 투사하는 것을 포함한다. 비아(406)를 통해 배선 패턴의 일부를 노출시키도록 유전체 층(404)을 통해 개구가 형성된다. 개구는 예컨대, 레이저 천공, 식각 등을 이용하여 형성될 수 있다.In Fig. 13, a carrier substrate separation is performed to detach (detach) the carrier substrate 400 from the dielectric layer 404. Thus, a first package 420 is formed in each package area of the carrier. According to some embodiments, the separation includes projecting light such as laser light or UV light onto the release layer 402 such that the release layer 402 is decomposed by heat of the light to remove the carrier substrate 400 . An opening is formed through the dielectric layer 404 to expose a portion of the wiring pattern through the via 406. The opening may be formed using, for example, laser drilling, etching, or the like.

도 14는 일부 실시예에 따른 패키지 구조체의 횡단면도를 예시한다. 패키지 구조체는 피키지-온-피키지(PoP) 구조체로 지칭될 수 있다. 도 14에서, 제1 패키지(420)에 제2 패키지(450)가 부착된다. 제2 패키지(450)는 기판(430)과 기판(430)에 결합되는 하나 이상의 적층 다이(440)(440A, 440B)를 포함한다. 하나의 적층 다이(440)(440A, 440B)가 예시되지만, 다른 실시예에서, 복수의 적층 다이(440)(각각은 하나 이상의 적층 다이를 포함)가 기판(430)의 동일한 표면에 나란히 결합되도록 배치될 수 있다. 기판(430)은 실리콘, 게르마늄, 다이아몬드 등과 같은 반도체 재료로 형성될 수 있다. 일부 실시예에서, 실리콘 게르마늄, 실리콘 카바이드, 갈륨 비소, 인듐 비소, 인듐 인, 실리콘 게르마늄 카바이드, 갈륨 비소 인, 갈륨 인듐 인, 이들의 조합 등과 같은 화합물 재료가 사용될 수도 있다. 추가로, 기판(430)은 실리콘-온-절연체(SOI) 기판일 수 있다. 일반적으로, SOI 기판은 에피택셜 실리콘, 게르마늄, 실리콘 게르마늄, SOI, 절연체 상의 실리콘 게르마늄(SGOI), 또는 이들의 조합과 같은 반도체 재료의 층을 포함한다. 기판(430)은 하나의 대안적인 실시예에서 유리 섬유 강화 수지 코어와 같은 절연 코어를 기초로 한다. 하나의 예시적인 코어 재료는 FR4와 같은 유리 섬유 수지이다. 코어 재료의 대안예는 비스말레이미드-트리아진(BT) 수지, 또는 대안적으로 다른 인쇄 회로 기판(PCB) 재료 또는 필름을 포함한다. 기판(430)에는 아지노모토 빌드업 필름(Ajinomoto Build-up Film: ABF) 또는 다른 적층체와 같은 빌드업 필름이 사용될 수 있다.14 illustrates a cross-sectional view of a package structure according to some embodiments. The package structure may be referred to as a paper-on-paper (PoP) structure. In FIG. 14, a second package 450 is attached to the first package 420. The second package 450 includes one or more lamination dies 440 (440A, 440B) coupled to the substrate 430 and the substrate 430. One laminate die 440 (440A, 440B) is illustrated, but in other embodiments, a plurality of laminate dies 440 (each including one or more lamination dies) are coupled side by side to the same surface of the substrate 430 . The substrate 430 may be formed of a semiconductor material such as silicon, germanium, diamond, or the like. In some embodiments, compound materials such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, indium phosphorus, silicon germanium carbide, gallium arsenide, gallium indium, combinations thereof, and the like may be used. In addition, the substrate 430 may be a silicon-on-insulator (SOI) substrate. Generally, an SOI substrate comprises a layer of a semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or a combination thereof. Substrate 430 is based on an insulating core such as a glass fiber reinforced resin core in one alternative embodiment. One exemplary core material is a glass fiber resin such as FR4. Alternative examples of core materials include bismaleimide-triazine (BT) resins, or alternatively other printed circuit board (PCB) materials or films. A build-up film such as an Ajinomoto Build-up Film (ABF) or other laminate may be used for the substrate 430. [

기판(430)은 능동 및 수동 소자(미도시)를 포함할 수 있다. 당업자 중 한 사람이라면 인지하겠지만, 트랜지스터, 캐패시터, 저항, 이들의 조합 등과 같은 다양한 소자들이 제2 패키지(450)의 설계의 구조적 및 기능적 요건을 발생시키는 데 사용될 수 있다. 소자들은 임의의 적절한 방법을 이용하여 형성될 수 있다.Substrate 430 may include active and passive components (not shown). As will be appreciated by one of ordinary skill in the art, various components, such as transistors, capacitors, resistors, combinations thereof, and the like, may be used to generate the structural and functional requirements of the design of the second package 450. The elements may be formed using any suitable method.

기판(430)은 배선층(미도시)과 관통 비아(432)를 포함할 수 있다. 배선층은 능동 및 수동 소자 위에 형성될 수 있으며, 다양한 소자들을 접속하여 기능 회로를 형성하도록 설계된다. 배선층은 유전체 층(예, 로우-k 유전체 재료)과 전도성 재료층(예, 구리)이 교대로 배치되고 전도성 재료층들이 비아를 통해 상호 접속될 수 있으며, 임의의 적절한 공정(예, 증착, 다마신, 듀얼 다마신 등)을 통해 형성될 수 있다. 일부 실시예에서, 기판(430)은 실질적으로 능동 및 수동 소자가 없다.The substrate 430 may include a wiring layer (not shown) and through vias 432. The wiring layer can be formed on active and passive elements and is designed to connect various elements to form a functional circuit. The wiring layer may be formed by alternating dielectric layers (e. G., Low-k dielectric material) and conductive material layers (e. G., Copper) and layers of conductive material may be interconnected via vias, Drills, dual damascene, etc.). In some embodiments, the substrate 430 is substantially free of active and passive components.

기판(430)은 적층 다이(440)에 결합되도록 기판(430)의 제1 측면 상에 제공되는 본딩 패드(434)와 전도성 접속부(438)에 결합되도록 상기 제1 측면과 반대인 기판(430)의 제2 측면 상에 제공되는 본딩 패드(436)를 포함할 수 있다. 일부 실시예에서, 본딩 패드(434, 436)는 기판(430)의 제1 및 제2 측면 상의 유전체 층(미도시) 내로 리세스(미도시)를 형성하는 것에 의해 형성된다. 리세스는 본딩 패드(434, 436)가 유전체 층 내로 임베이드 될 수 있도록 형성될 수 있다. 다른 실시예에서, 리세스는 본딩 패드(434, 436)가 유전체 층 상에 형성될 수 있으면 생략된다. 일부 실시예에서, 본딩 패드(434, 436)는 구리, 티타늄, 니켈, 금, 팔라듐 등등 또는 이들의 조합으로 형성된 얇은 시드층(미도시)을 포함한다. 본딩 패드(434, 436)의 전도성 재료는 상기 얇은 시드층 위에 성막될 수 있다. 전도성 재료는 전기-화학적 도금 공정, 무전해 도금 공정, CVD, ALD, PVF, 등등 또는 이들의 조합에 의해 형성될 수 있다. 일 실시예에서, 본딩 패드(434, 436)의 전도성 재료는 구리, 텅스텐, 알루미늄, 은, 금 등등 또는 이들의 조합이다.The substrate 430 may include a substrate 430 that is opposite the first side to be coupled to the conductive contact 438 and a bonding pad 434 provided on the first side of the substrate 430 to be coupled to the lamination die 440. [ And a bonding pad 436 provided on the second side of the substrate. In some embodiments, bonding pads 434 and 436 are formed by forming recesses (not shown) in a dielectric layer (not shown) on first and second sides of substrate 430. The recesses may be formed such that the bonding pads 434 and 436 may be embedded into the dielectric layer. In another embodiment, the recesses are omitted if bonding pads 434 and 436 can be formed on the dielectric layer. In some embodiments, the bonding pads 434 and 436 include a thin seed layer (not shown) formed of copper, titanium, nickel, gold, palladium, or the like, or a combination thereof. The conductive material of the bonding pads 434 and 436 may be deposited on the thin seed layer. The conductive material may be formed by an electrochemical plating process, electroless plating process, CVD, ALD, PVF, etc., or a combination thereof. In one embodiment, the conductive material of the bonding pads 434 and 436 is copper, tungsten, aluminum, silver, gold, or the like, or a combination thereof.

일 실시예에서, 본딩 패드(434, 436)는 티타늄 층, 구리층, 니켈층과 같은 3개의 전도성 재료의 층을 포함하는 UBM이다. 그러나, 당업자 중 한 사람이라면 본딩 패드(434, 436)의 형성에 적합한 크롬/크롬-구리 합금/구리/금의 구성, 티타늄/티타늄/텅스텐/구리의 구성, 또는 구리/니켈/금의 구성과 같은 재료 및 층들의 다수의 적절한 구성이 존재함을 인식할 것이다. 본딩 패드(434, 436)에 사용될 수 있는 임의의 적절한 재료 또는 재료층은 완전히 본 출원의 범위 내에 포함되도록 의도된 것이다. 일부 실시예에서, 관통 비아(432)는 기판(430)을 관통하여 적어도 하나의 본딩 패드(434)를 적어도 하나의 본딩 패드(436)에 결합한다.In one embodiment, the bonding pads 434 and 436 are UBMs comprising a layer of three conductive materials such as a titanium layer, a copper layer, and a nickel layer. However, one of ordinary skill in the art will appreciate that the composition of the chromium / chrome-copper alloy / copper / gold, titanium / titanium / tungsten / copper composition, or copper / nickel / gold composition suitable for formation of the bonding pads 434, Those skilled in the art will recognize that there are many suitable configurations of the same materials and layers. Any suitable material or layer of material that may be used for the bonding pads 434 and 436 is intended to be fully within the scope of the present application. In some embodiments, the through vias 432 penetrate the substrate 430 to couple at least one bonding pad 434 to at least one bonding pad 436.

예시된 실시예에서, 적층 다이(440)는 와이어 본딩부(442)에 의해 기판(430)에 결합되지만, 전도성 범프와 같은 다른 접속부가 사용될 수 있다. 일 실시예에서, 적층 다이(440)는 적층된 메모리 다이이다. 예를 들면, 적층 다이(440)는 LPDDR1, LPDDR2, LPDDR3, LPDDR4와 같은 저전력(LP) 더블 데이터 속도(DDR) 메모리 모듈 또는 유사한 메모리 모듈과 같은 메모리 다이일 수 있다.In the illustrated embodiment, the laminate die 440 is bonded to the substrate 430 by a wire bonding portion 442, but other connections such as conductive bumps may be used. In one embodiment, the lamination die 440 is a stacked memory die. For example, the lamination die 440 may be a memory die such as a low power (LP) double data rate (DDR) memory module such as LPDDR1, LPDDR2, LPDDR3, LPDDR4, or similar memory modules.

적층 다이(440)와 와이어 본딩부(442)는 성형 재료(444)에 의해 봉지될 수 있다. 성형 재료(444)는 예컨대, 압축 성형을 이용하여 적층 다이(440)와 와이어 본딩부(442) 상에 성형될 수 있다. 일부 실시예에서, 성형 재료(444)는 성형 화합물, 중합체, 에폭시, 실리콘 산화물 충전 재료 등등 또는 이들의 조합이다. 성형 재료(444)를 경화시키는 경화 단계가 수행될 수 있으며, 이때 경화는 열 경화, UV 경화 등등 또는 이들의 조합일 수 있다.The lamination die 440 and the wire bonding portion 442 can be sealed by the molding material 444. [ The molding material 444 can be molded on the lamination die 440 and the wire bonding portion 442, for example, using compression molding. In some embodiments, the molding material 444 is a molding compound, a polymer, an epoxy, a silicon oxide filling material, or the like, or a combination thereof. A curing step may be performed to cure the molding material 444, wherein the curing may be thermal curing, UV curing, or the like, or a combination thereof.

일부 실시예에서, 적층 다이(440)와 와이어 본딩부(442)는 성형 재료(444) 내에 매립되며, 성형 재료(444)의 경화 후에, 연마와 같은 평탄화 단계가 수행됨으로써 성형 재료(444)의 여분의 부분을 제거하여 제2 패키지(450)를 위한 실질적으로 평탄한 표면을 제공한다.In some embodiments, the lamination die 440 and the wire bonding portion 442 are embedded in the molding material 444, and after the molding material 444 has been cured, a planarization step, such as polishing, Thereby providing a substantially planar surface for the second package 450.

제2 패키지(450)가 형성된 후, 제2 패키지(450)는 전도성 접속부(438), 본딩 패드(436) 및 관통 비아(406)(또는 존재한다면 후면측 재배선 구조체)에 의해 제1 패키지(420)에 기계적 및 전기적으로 본딩된다. 일부 실시예에서, 적층 다이(440)는 와이어 본딩부(442), 본딩 패드(434, 436), 관통 비아(432), 전도성 접속부(438), 관통 비아(406) 및 재배선 구조체(410)를 통해 패키지(392)에 결합될 수 있다.After the second package 450 is formed, the second package 450 is electrically connected to the first package 450 by a conductive connection 438, a bonding pad 436, and a through via 406 (or backside re- 420). ≪ / RTI > In some embodiments, the stacking die 440 includes a wire bonding portion 442, bonding pads 434 and 436, through vias 432, conductive connections 438, through vias 406, Lt; RTI ID = 0.0 > 392 < / RTI >

전도성 접속부(438)는 전술한 전도성 접속부(416)와 유사할 수 있으므로, 그 설명은 여기서 반복하지 않지만, 전도성 접속부(438)와 전도성 접속부(416)는 동일할 필요는 없다. 전도성 접속부(438)는 적층 다이(440)와 반대되는 기판(430)의 측면에 배치될 수 있다. 일부 실시예에서, 적층 다이(440)에 반대되는 기판의 측면 상에 솔더 레지스트(solder resist)(별도로 지시안됨)가 형성될 수 있다. 전도성 접속부(438)는 기판(430) 내의 전도성 특징부(예, 본딩 패드(436))에 전기적 및 기계적으로 결합될 솔더 레지스트 내의 개구에 배치될 수 있다. 솔더 레지스트는 기판의 영역들을 외부의 손상으로부터 보호하는 데 사용될 수 있다.The conductive connection 438 and the conductive connection 416 need not be identical, as the conductive connection 438 may be similar to the conductive connection 416 described above, although the description thereof is not repeated here. The conductive connection 438 may be disposed on the side of the substrate 430 opposite the stacking die 440. In some embodiments, a solder resist (not otherwise indicated) may be formed on the side of the substrate opposite to the lamination die 440. The conductive connection 438 may be disposed in an opening in the solder resist to be electrically and mechanically coupled to a conductive feature (e.g., bonding pad 436) within the substrate 430. The solder resist can be used to protect areas of the substrate from external damage.

일부 실시예에서, 전도성 접속부(438)를 본딩하기 이전에, 전도성 본딩부(438)는 불순물 플럭스(no-clean flux)와 같은 플럭스(미도시)로 코팅된다. 전도성 접속부(438)가 플럭스 내에 침지되거나, 전도성 접속부(438)에 플럭스가 제트 분사될 수 있다. 다른 실시예에서, 플럭스는 관통 비아(406)(또는 존재한다면 후면측 재배선 구조체)의 표면에 적용될 수 있다.In some embodiments, prior to bonding the conductive connection 438, the conductive bonding portion 438 is coated with a flux (not shown), such as a no-clean flux. The conductive connection 438 may be immersed in the flux or the flux may be jetted to the conductive connection 438. [ In another embodiment, the flux may be applied to the surface of the through vias 406 (or the back side reordering structure, if present).

일부 실시예에서, 전도성 접속부(438)는 제2 패키지(450)가 제1 패키지(420)에 부착된 후 남겨진 에폭시 플럭스의 에폭시 부분 중 적어도 일부가 재유동되기 전에 상부에 형성되는 선택적인 에폭시 플럭스(미도시)를 가질 수 있다.In some embodiments, the conductive connection 438 may be formed by depositing a selective epoxy flux (not shown) formed on top of at least a portion of the epoxy portion of the epoxy flux left after the second package 450 is attached to the first package 420, (Not shown).

제1 패키지(420)와 제2 패키지(450) 사이와 전도성 접속부(438) 둘레로 언더필(미도시)이 형성될 수 있다. 언더핑은 응력을 감소시킬 수 있고, 전도성 접속부(438)의 재유동에 기인한 연결부를 보호할 수 있다. 언더필은 제2 패키지(450)가 부착된 후 모세관 유동 처리에 의해 형성되거나 제2 패키지(450)가 부착되기 전에 적절한 성막 방법에 의해 형성될 수 있다. 에폭시 플럭스가 형성되는 실시예에서는 에폭시 플럭스는 언더필로서 작용할 수 있다.An underfill (not shown) may be formed between the first package 420 and the second package 450 and around the conductive connection 438. The under-ping can reduce the stress and protect the connection due to the reflow of the conductive connection 438. [ The underfill may be formed by a capillary flow process after the second package 450 is attached or by an appropriate deposition method before the second package 450 is attached. In embodiments where an epoxy flux is formed, the epoxy flux may act as an underfill.

제2 패키지(450)와 제1 패키지(420) 간의 본딩은 납땜 본딩일 수 있다. 일 실시예에서, 제2 패키지(450)는 리플로우 공정에 의해 제1 패키지(420)에 본딩된다. 이 리플로우 공정 중에, 전도성 접송부(438)는 본딩 패드(436)와 관통 비아(406)(또는 존재한다면 후면측 재배선 구조체)와 접촉되어 제2 패키지(450)를 제1 패키지(420)에 물리적 및 전기적으로 결합시킨다. 본딩 공정 후에, 관통 비아(406)(또는 존재한다면 후면측 재배선 구조체)와 전도성 접속부(438) 사이의 계면과 전도성 접속부(438)와 본딩 패드(4436)(미도시) 사이의 계면에 금속간 화합물(IMC, 미도시)이 형성될 수 있다. 일 실시예에서, 본딩 공정 후에, 예컨대, 습기, 입자 및 화학적 부식 등과 같은 불리한 환경적 조건에 대해 추가적인 보호를 제공하기 위해 본딩 전도성 접속부를 피복하도록 언더필 재료가 도포될 수 있다.The bonding between the second package 450 and the first package 420 may be solder bonding. In one embodiment, the second package 450 is bonded to the first package 420 by a reflow process. During this reflow process, the conductive receptacle 438 contacts the bonding pads 436 and the through vias 406 (or rear side reordering structures, if present) to connect the second package 450 to the first package 420, Lt; RTI ID = 0.0 > and < / RTI > After the bonding process, the interface between the conductive via 438 and the conductive interconnect 438 and the bonding pad 4436 (not shown) is formed at the interface between the through via 406 (or the backside reordering structure, if present) A compound (IMC, not shown) may be formed. In one embodiment, the underfill material can be applied after the bonding process to cover the bonding conductive connections to provide additional protection against adverse environmental conditions such as, for example, moisture, particles and chemical corrosion.

예컨대 패키지 영역 사이의 스크라이브 라인 영역을 따라 절단을 행하는 것에 의해 단편화 공정이 수행된다. 그 결과 얻어지는 단편화된 제1 및 제2 패키지(420, 450)는 패키지 영역 중 하나로부터 온 것이다. 일부 실시예에서, 단편화 공정은 제2 패키지(450)가 제1 패키지(420)에 부착된 후 수행된다. 다른 실시예(미도시)에서, 단편화 공정은 제2 패키지(450)가 제1 패키지(420)에 부착되기 전에 예컨대, 캐리어 기판(400)이 분리된 후에 수행된다.For example, a fragmentation process is performed by cutting along a scribe line region between package regions. The resulting fragmented first and second packages 420, 450 are from one of the package regions. In some embodiments, the fragmenting process is performed after the second package 450 is attached to the first package 420. In another embodiment (not shown), the fragmenting process is performed, for example, after the carrier substrate 400 is detached before the second package 450 is attached to the first package 420. [

도 15의 패키지 구조체에 대해 추가의 처리가 수행될 수 있다. 예를 들면, 도 15의 패키지 구조체는 전도성 접속부(416)을 사용하여 패키지 기판에 장착될 수 있다.Additional processing may be performed on the package structure of FIG. For example, the package structure of Fig. 15 may be mounted to the package substrate using the conductive connection 416. Fig.

도 15~21은 일부 실시예에 따른 다른 패키지 구조체의 횡단면도를 예시한다. 도 15~21의 실시예는 이 실시예가 집적 회로 다이(100)에 관통 비아(466)를 포함하고 집적 회로 다이(200)가 관통 비아를 포함하지 않는 점을 제외하고 도 1~14에 예시된 실시예와 유사하다. 추가로, 집적 회로 다이(100, 200)는 패키지 구조체 내에서 반대로 배향되며, 예컨대, 집적 회로 다이들이 캐리어 기판(400)에 부착시(도 18 참조) 집적 회로 다이(100)가 집적 회로 다이(200) 위에 위치된다. 전술한 실시예와 유사한 본 실시예에 대한 세부 사항은 여기서 반복하지 않는다.Figures 15-21 illustrate a cross-sectional view of another package structure in accordance with some embodiments. The embodiment of Figures 15-21 is similar to the embodiment of Figures 1-12 except that this embodiment includes through vias 466 in the integrated circuit die 100 and the integrated circuit die 200 does not include through vias. Which is similar to the embodiment. In addition, the integrated circuit die 100, 200 are oppositely oriented in the package structure, e.g., when the integrated circuit die 100 is attached to the carrier substrate 400 (see FIG. 18) 200). The details of this embodiment similar to the above embodiment are not repeated herein.

도 15에서, 집적 회로 다이(100)는 관통 비아(466)를 포함하는 것으로 예시된다. 전술한 실시예의 집적 회로 다이(100)와 유사한 본 실시예의 집적 회로 다이(100)에 대한 세부 사항은 여기서 반복하지 않는다.In FIG. 15, the integrated circuit die 100 is illustrated as including through vias 466. The details of the integrated circuit die 100 of this embodiment, which is similar to the integrated circuit die 100 of the above-described embodiment, are not repeated herein.

본 실시예에서, 관통 비아(466)는 집적 회로 다이(100) 상의 패드(104)로부터 집적 회로 다이(100)의 반도체 기판(102) 내로 연장된다. 관통 비아(466)의 형성은 전술한 실시예의 집적 회로 다이(200)의 관통 비아(204)와 유사할 수 있으므로 그 설명은 여기에 반복하지 않는다.In this embodiment, through vias 466 extend from the pads 104 on the integrated circuit die 100 into the semiconductor substrate 102 of the integrated circuit die 100. The formation of the through vias 466 may be similar to the through vias 204 of the integrated circuit die 200 of the above-described embodiment, so the description thereof is not repeated here.

집적 회로 다이(100)에 2개의 관통 비아(466)가 예시되지만, 각각의 집적 회로 다이(100)에 더 많거나 적은 관통 비아(466)가 존재할 수 있음을 알아야 한다.It should be appreciated that although two through vias 466 are illustrated in the integrated circuit die 100, there may be more or less through vias 466 in each integrated circuit die 100.

도 16은 도 15의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 2 및 도 3과 관련하여 예시되고 설명된 처리와 유사하며, 도 3은 도 6과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다.Fig. 16 illustrates further processing for the structure of Fig. The process between these two figures is similar to the process illustrated and described with reference to Figures 2 and 3, and Figure 3 is the same intermediate step as Figure 6, so the description is not repeated here.

도 16에서, 집적 회로 다이(100, 200)는 본딩 연결부(300)에 의해 함께 본딩된다. 본딩 연결부(300)는 도 4a~4o의 본딩 연결부 구성(300A~300o) 중 임의의 것일 수 있다.In FIG. 16, the integrated circuit die 100, 200 are bonded together by a bonding connection 300. The bonding connection 300 may be any of the bonding connection configurations 300A-300O of FIGS. 4A-4O.

도 17은 도 16의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 3~5와 관련하여 예시되고 설명된 처리와 유사하며, 도 5는 도 17과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 본딩된 집적 회로 다이(100, 200)는 봉지재(464)로 봉지되어 패키지(470)를 형성한다.Figure 17 illustrates further processing for the structure of Figure 16; The processing between these two figures is similar to the processing illustrated and described with respect to Figs. 3-5, and Fig. 5 is the same intermediate step as Fig. 17 so that the description is not repeated here. The bonded integrated circuit die 100, 200 is encapsulated with an encapsulant 464 to form a package 470.

도 18은 도 7 및 도 8에서 전술한 것과 유사한 캐리어 기판(400) 상에 패키지(470)를 부착하는 것을 예시하므로 그 설명은 여기서 반복하지 않는다. 도 18에서, 패키지(470)는 캐리어 기판에 부착되며, 집적 회로 다이(100)보다 집적 회로 다이(200)가 캐리어 기판에 더 근접한다.Figure 18 illustrates attaching the package 470 on a carrier substrate 400 similar to that described above in Figures 7 and 8, so the description is not repeated here. In FIG. 18, a package 470 is attached to a carrier substrate, and the integrated circuit die 200 is closer to the carrier substrate than the integrated circuit die 100.

도 19는 도 16의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 9 및 도 10과 관련하여 예시되고 설명된 처리와 유사하며, 도 10은 도 19와 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 패키지(470)는 봉지재(472)로 봉지되고 그 상부 표면은 평탄화된다.Figure 19 illustrates further processing for the structure of Figure 16; The processing between these two figures is similar to the processing illustrated and described with reference to Figs. 9 and 10, and Fig. 10 is the same intermediate step as Fig. 19, so the description is not repeated here. The package 470 is encapsulated with an encapsulant 472 and its upper surface is planarized.

도 19에서, 봉지재(472)는 전기적 접속부(406)와 관통 비아(466)를 노출시키는 연마 공정을 받을 수 있다. 전기적 접속부(406), 관통 비아(466), 반도체 기판(102) 및 봉지재(472)의 표면은 연마 공정 후 동일하다.In Fig. 19, the encapsulant 472 may be subjected to a polishing process that exposes the electrical connections 406 and through vias 466. The surfaces of the electrical connections 406, the through vias 466, the semiconductor substrate 102, and the encapsulant 472 are the same after the polishing process.

도 20은 도 19의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 10 및 도 11과 관련하여 예시되고 설명된 처리와 유사하며, 도 11은 도 20과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 도 20에서, 관통 비아(406)와 관통 비아(466) 위에 전기적으로 결합되도록 재배선 구조체(410)가 형성된다.Figure 20 illustrates further processing for the structure of Figure 19; The processing between these two figures is similar to the processing illustrated and described with respect to Figs. 10 and 11, and Fig. 11 is the same intermediate step as Fig. In Fig. 20, a wiring structure 410 is formed so as to be electrically coupled to the through vias 406 and the through vias 466. As shown in Fig.

도 21은 도 20의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 12~14와 관련하여 예시되고 설명된 처리와 유사하며, 도 14는 도 21과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 도 21에서, 도 20의 패키지 구조체(476)에 제2 패키지(450)가 본딩된다.Figure 21 illustrates further processing for the structure of Figure 20; The processing between these two figures is similar to the processing illustrated and described with respect to Figs. 12-14, and Fig. 14 is the same intermediate step as Fig. In Fig. 21, the second package 450 is bonded to the package structure 476 of Fig.

도 21의 패키지 구조체에 대해 추가의 처리가 수행될 수 있다. 예를 들면, 도 21의 패키지 구조체는 전도성 접속부(416)를 사용하여 패키지 기판에 장착될 수 있다.Additional processing may be performed on the package structure of FIG. For example, the package structure of FIG. 21 may be mounted to the package substrate using the conductive connection 416.

도 22~28은 일부 실시예에 따른 다른 패키지 구조체의 횡단면도를 예시한다. 도 22~28의 실시예는 이 실시예가 전면-전면 대신에 전면-후면 전합된 집적 회로 다이(100, 200)를 가진다는 점을 제외하고 도 15~21에 예시된 실시예와 유사하다. 추가로, 집적 회로 다이(100)는 집적 회로 다이(100)의 능동 측면 상의 패드(104) 상에 다이 커넥터(488)와 절연층(490)을 포함한다. 이들 다이 커넥터(488)와 절연층(490)은 후속하는 평탄화 공정 중에 패드(104)를 보호할 수 있다. 전술한 실시예와 유사한 본 실시예에 대한 세부 사항은 여기서 반복하지 않는다.22-28 illustrate a cross-sectional view of another package structure in accordance with some embodiments. The embodiment of Figs. 22-28 is similar to the embodiment illustrated in Figs. 15-21 except that this embodiment has an integrated circuit die 100, 200 that is front-back mated instead of front-front. The integrated circuit die 100 includes a die connector 488 and an insulating layer 490 on the pad 104 on the active side of the integrated circuit die 100. These die connectors 488 and insulating layer 490 can protect the pad 104 during subsequent planarization processes. The details of this embodiment similar to the above embodiment are not repeated herein.

다이 커넥터(488)는 전술한 패드(104)와 유사한 재료 및 유사한 공정으로 형성될 수 있으므로 그 설명은 여기서 반복하지 않는다. 본 실시예에서, 다이 커넥터는 구리 필러일 수 있고, 패드(104)는 알루미늄 접촉 패드일 수 있다. 절연층(490)은 전술한 절연층과 유사할 수 있으므로 그 설명은 여기서 반복하지 않는다.The die connector 488 may be formed of a material and a similar process similar to the pad 104 described above, so the description thereof is not repeated herein. In this embodiment, the die connector may be a copper filler, and the pad 104 may be an aluminum contact pad. Since the insulating layer 490 may be similar to the above-described insulating layer, the description thereof is not repeated here.

도 23에서, 집적 회로 다이(100)의 능동 측면이 캐리어 기판(498)에 부착된다. 캐리어 기판(498)은 전술한 캐리어 기판(400)과 유사하므로 그 설명은 여기서 반복하지 않는다. 집적 회로 다이(100)의 후면은 관통 비아(466)를 노출시키도록 박판화된다. 이러한 박판화는 도 6에서 전술한 박판화 공정과 유사할 수 있으므로 그 설명은 여기서 반복하지 않는다. 박판화 공정 이후, 절연층(492, 496)과 패드(494)가 집적 회로 다이(100)의 후면에 형성된다. 절연층(492, 496)과 패드(494)는 집적 회로 다이(100)를 집적 회로 다이(200)에 본딩하는 데 활용될 것이다. 패드(494)는 노출된 관통 비아(466)에 전기적으로 결합된다. 패드(494)는 전술한 패드(104)와 유사한 재료 및 공정으로 형성될 수 있으므로 그 설명은 여기서 반복하지 않는다. 절연층(492, 496)은 전술한 절연층(208)과 유사한 재료 및 공정으로 형성될 수 있으므로 그 설명은 여기서 반복하지 않는다.23, the active side of the integrated circuit die 100 is attached to the carrier substrate 498. Since the carrier substrate 498 is similar to the carrier substrate 400 described above, its description is not repeated here. The backside of integrated circuit die 100 is thinned to expose through vias 466. This thinning may be similar to the thinning process described above in FIG. 6, so the description is not repeated here. After the thinning process, insulating layers 492 and 496 and pads 494 are formed on the backside of the integrated circuit die 100. Insulation layers 492 and 496 and pads 494 may be utilized to bond the integrated circuit die 100 to the integrated circuit die 200. The pads 494 are electrically coupled to the exposed through vias 466. The pad 494 may be formed of a material and a process similar to the pad 104 described above, so the description thereof is not repeated here. The insulating layers 492 and 496 may be formed by a material and a process similar to the insulating layer 208 described above, so the description thereof is not repeated here.

도 24에서, 집적 회로 다이(200)에 집적 회로 다이(100)가 본딩된다. 본딩은 도 2 및 도 3에서 전술되었으므로 그 설명은 여기서 반복하지 않는다. 도 24에서, 집적 회로 다이(100, 200)가 본딩 연결부(300)에 의해 함께 본딩된다. 본딩 연결부(300)는 도 4a~4o의 본딩 연결부 구성(300A~300O) 중 임의의 것일 수 있다.In FIG. 24, integrated circuit die 100 is bonded to integrated circuit die 200. The bonding has been described above in FIGS. 2 and 3, so the description is not repeated here. In FIG. 24, integrated circuit die 100, 200 are bonded together by bonding connection 300. The bonding connection 300 may be any of the bonding connection configurations 300A-300O of FIGS. 4A-4O.

도 25는 도 24의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 3~5와 관련하여 예시되고 설명된 처리와 유사하며, 도 5는 도 25와 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 본딩된 집적 회로 다이(100, 200)는 봉지재(499)로 봉지되어 패키지(500)를 형성한다.Figure 25 illustrates further processing for the structure of Figure 24; The processing between these two figures is similar to the processing illustrated and described with respect to Figs. 3-5, and Fig. 5 is the same intermediate step as Fig. The bonded integrated circuit die 100, 200 is encapsulated with an encapsulant 499 to form a package 500.

도 26은 도 7 및 도 8에서 전술한 것과 유사한 캐리어 기판(400) 상에 패키지(500)를 부착하는 것을 예시하므로 그 설명은 여기서 반복하지 않는다. 도 26에서, 패키지(500)는 캐리어 기판에 부착되며, 집적 회로 다이(100)보다 집적 회로 다이(200)가 캐리어 기판에 더 근접한다.Fig. 26 illustrates attachment of the package 500 onto a carrier substrate 400 similar to that described above in Figs. 7 and 8, and the description thereof is not repeated here. In FIG. 26, a package 500 is attached to a carrier substrate, and the integrated circuit die 200 is closer to the carrier substrate than the integrated circuit die 100.

도 26은 도 25의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 9 및 도 10과 관련하여 예시되고 설명된 처리와 유사하며, 도 10은 도 26과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 패키지(500)는 봉지재(502)로 봉지되고 그 상부 표면은 평탄화된다.Figure 26 illustrates further processing for the structure of Figure 25; The process between these two figures is similar to the process illustrated and described with respect to Figs. 9 and 10, and Fig. 10 is the same intermediate step as Fig. The package 500 is encapsulated with the encapsulant 502 and its upper surface is planarized.

도 26에서, 봉지재(502)는 전기적 접속부(406)와 다이 커넥터(488)를 노출시키는 연마 공정을 받을 수 있다. 전기적 접속부(406), 다이 커넥터(488), 절연층(490) 및 봉지재(502)의 표면은 연마 공정 후 동일하다.In Fig. 26, the encapsulant 502 may be subjected to a polishing process that exposes the electrical connections 406 and the die connector 488. The surfaces of the electrical connections 406, the die connectors 488, the insulating layer 490 and the encapsulant 502 are the same after the polishing process.

도 27은 도 26의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 10 및 도 11과 관련하여 예시되고 설명된 처리와 유사하며, 도 11은 도 27과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 도 27에서, 관통 비아(406)와 다이 커넥터(488) 위에 전기적으로 결합되도록 재배선 구조체(410)가 형성된다.Figure 27 illustrates further processing for the structure of Figure 26; The processing between these two figures is similar to the processing illustrated and described with respect to Figs. 10 and 11, and Fig. 11 is the same intermediate step as Fig. In Fig. 27, a wiring structure 410 is formed so as to be electrically coupled to the through-via 406 and the die connector 488. In Fig.

도 28은 도 27의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 12~14와 관련하여 예시되고 설명된 처리와 유사하며, 도 14는 도 28과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 도 28에서, 도 27의 패키지 구조체(500)에 제2 패키지(450)가 본딩된다.Figure 28 illustrates further processing for the structure of Figure 27; The processing between these two figures is similar to the processing illustrated and described with reference to Figs. 12-14, and Fig. 14 is the same intermediate step as Fig. In Fig. 28, the second package 450 is bonded to the package structure 500 of Fig.

도 28의 패키지 구조체에 대해 추가의 처리가 수행될 수 있다. 예를 들면, 도 28의 패키지 구조체는 전도성 접속부(416)를 사용하여 패키지 기판에 장착될 수 있다.Additional processing may be performed on the package structure of FIG. For example, the package structure of FIG. 28 may be mounted to the package substrate using the conductive connection 416.

도 29~34는 일부 실시예에 따른 다른 패키지 구조체의 횡단면도를 예시한다. 도 29~34의 실시예는 이 실시예의 경우 집적 회로 다이(100)가 집적 회로 다이(100)의 능동 측면 상의 패드(104) 상에 다이 커넥터(488)와 절연층(490)을 포함하지 않는다는 점을 제외하고 도 22~28에 예시된 실시예와 유사하다. 다이 커넥터(488)와 절연층(490)의 이러한 제거는 패드9104)를 보호하기 위해 추가의 캐리어 기판 본딩/분리를 필요로 한다. 전술한 실시예와 유사한 본 실시예에 대한 세부 사항은 여기서 반복하지 않는다.29-34 illustrate a cross-sectional view of another package structure in accordance with some embodiments. The embodiment of Figures 29-34 shows that the integrated circuit die 100 in this embodiment does not include the die connector 488 and the insulating layer 490 on the pad 104 on the active side of the integrated circuit die 100 Is similar to the embodiment illustrated in Figs. This removal of die connector 488 and insulating layer 490 requires additional carrier substrate bonding / disconnection to protect pad 9104). The details of this embodiment similar to the above embodiment are not repeated herein.

도 29는 도 24에서 전술한 바와 같이 집적 회로 다이(200)에 본딩된 집적 회로 다이(100)를 예시하므로, 그 설명은 여기서 반복하지 않는다. 본딩은 도 2 및 도 3에서 전술되었으므로 그 설명은 여기서 반복하지 않는다. 도 29에서, 집적 회로 다이(100, 200)가 본딩 연결부(300)에 의해 함께 본딩된다. 본딩 연결부(300)는 도 4a~4o의 본딩 연결부 구성(300A~300O) 중 임의의 것일 수 있다.FIG. 29 illustrates integrated circuit die 100 bonded to integrated circuit die 200 as described above in FIG. 24, and the description thereof is not repeated here. The bonding has been described above in FIGS. 2 and 3, so the description is not repeated here. In FIG. 29, integrated circuit die 100, 200 are bonded together by bonding connection 300. The bonding connection 300 may be any of the bonding connection configurations 300A-300O of FIGS. 4A-4O.

도 30은 도 29의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 3~8과 관련하여 예시되고 설명된 처리와 유사하며, 도 8은 도 30과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 본딩된 집적 회로 다이(100, 200)는 봉지재(522)로 봉지되어 패키지(524)를 형성한다.Figure 30 illustrates further processing for the structure of Figure 29; The processing between these two figures is similar to the processing illustrated and described with respect to Figs. 3-8, and Fig. 8 is the same intermediate step as Fig. 30, so the description is not repeated here. The bonded integrated circuit die 100, 200 is encapsulated with an encapsulant 522 to form a package 524.

도 30은 도 7 및 도 8에서 전술한 것과 유사한 캐리어 기판(400) 상에 패키지(524)를 부착하는 것을 예시하므로 그 설명은 여기서 반복하지 않는다. 도 30에서, 패키지(524)는 캐리어 기판에 부착되며, 집적 회로 다이(200)보다 집적 회로 다이(100)가 캐리어 기판(400)에 더 근접한다.Figure 30 illustrates attaching the package 524 on a carrier substrate 400 similar to that described above in Figures 7 and 8, so the description is not repeated here. In Figure 30, a package 524 is attached to a carrier substrate, and the integrated circuit die 100 is closer to the carrier substrate 400 than the integrated circuit die 200.

도 31은 도 30의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 9 및 도 10과 관련하여 예시되고 설명된 처리와 유사하며, 도 10은 도 31과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 패키지(524)는 봉지재(526)로 봉지되고 그 상부 표면은 평탄화된다.Figure 31 illustrates an additional process for the structure of Figure 30; The processing between these two figures is similar to the processing illustrated and described with reference to Figs. 9 and 10, and Fig. 10 is the same intermediate step as Fig. The package 524 is encapsulated with an encapsulant 526 and its upper surface is planarized.

도 31에서, 봉지재(526)는 전기적 접속부(406)를 노출시키는 연마 공정을 받을 수 있다. 전기적 접속부(406)와 봉지재(526)의 표면은 연마 공정 후 동일하다.In Fig. 31, the encapsulant 526 may be subjected to a polishing process that exposes the electrical connections 406. The surfaces of the electrical connection 406 and the encapsulant 526 are the same after the polishing process.

도 32는 도 31의 구조체에 대한 추가의 처리를 예시한다. 도 32에서, 캐리어 기판(400)이 분리되고 구조체가 뒤집혀져 다른 캐리어 기판(530)에 본딩된다. 분리 공정은 전술하였으므로 그 설명은 여기서 반복하지 않는다. 도 32에서, 패키지(524)는 캐리어 기판에 부착되고 집적 회로 다이(100)보다 집적 회로 다이(200)가 캐리어 기판(530)에 더 근접한다. 도 32에서, 봉지재(526), 전기적 접속부(406) 및 패드(104)의 노출면과 반도체 기판(102)은 연마 공정 없이 동일한 높이이다.Figure 32 illustrates further processing for the structure of Figure 31; In Fig. 32, the carrier substrate 400 is detached and the structure is inverted and bonded to another carrier substrate 530. Since the separation process has been described above, the description thereof is not repeated here. 32, the package 524 is attached to a carrier substrate and the integrated circuit die 200 is closer to the carrier substrate 530 than the integrated circuit die 100. 32, the exposed surfaces of the encapsulant 526, the electrical contact 406 and the pad 104 and the semiconductor substrate 102 are at the same height without polishing.

도 33은 도 32의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 10 및 도 11과 관련하여 예시되고 설명된 처리와 유사하며, 도 11은 도 33과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 도 33에서, 관통 비아(406)와 패드(104) 위에 전기적으로 결합되도록 재배선 구조체(410)와 전도성 접속부(416)가 형성된다.Figure 33 illustrates further processing for the structure of Figure 32; The processing between these two figures is similar to the processing illustrated and described with respect to Figs. 10 and 11, and Fig. 11 is the same intermediate step as Fig. 33, a wiring structure 410 and a conductive connection portion 416 are formed so as to be electrically coupled to the through-via 406 and the pad 104. [

도 34는 도 33의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 12~14와 관련하여 예시되고 설명된 처리와 유사하며, 도 14는 도 34와 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 도 34에서, 도 33의 패키지 구조체(540)에 제2 패키지(450)가 본딩된다.Figure 34 illustrates further processing for the structure of Figure 33; The processing between these two figures is similar to the processing illustrated and described with reference to Figs. 12-14, and Fig. 14 is the same intermediate step as Fig. 34, the second package 450 is bonded to the package structure 540 of FIG.

도 34의 패키지 구조체에 대해 추가의 처리가 수행될 수 있다. 예를 들면, 도 34의 패키지 구조체는 전도성 접속부(416)를 사용하여 패키지 기판에 장착될 수 있다.Additional processing may be performed on the package structure of FIG. For example, the package structure of FIG. 34 may be mounted to the package substrate using the conductive connection 416.

도 35~38은 일부 실시예에 따른 다른 패키지 구조체의 횡단면도를 예시한다. 도 35~38의 실시예는 이 실시예의 경우 집적 회로 다이들이 본딩된 후 집적 회로 다이의 유전체 층 사이에 갭이 존재한다는 점을 제외하고 도 1~14에 예시된 실시예와 유사하다. 전술한 실시예에서와 유사한 본 실시예에 관한 세부 사항은 여기서 반복하지 않는다.35-38 illustrate a cross-sectional view of another package structure in accordance with some embodiments. The embodiment of Figures 35-38 is similar to the embodiment illustrated in Figures 1-14, except that in this embodiment there is a gap between the dielectric layers of the integrated circuit die after the integrated circuit dies are bonded. The details of this embodiment similar to the above embodiment are not repeated herein.

도 35는 도 2 및 도 3에서 전술한 바와 같이 집적 회로 다이(200)에 본딩된 집적 회로 다이(100)를 예시하므로 그 설명은 여기서 반복하지 않는다. 도 35에서, 집적 회로 다이(100, 200)는 본딩 연결부(300)에 의해 함께 본딩된다. 본 실시예의 본딩 연결부(300)는 도 4d의 본딩 연결부 구성(300D)이다. 본 실시예는 집적 회로 다이(100, 200)의 유전체 층 사이에 스탠드오프 갭을 포함한다.35 illustrates the integrated circuit die 100 bonded to the integrated circuit die 200 as described above in FIGS. 2 and 3, the description thereof is not repeated here. In Figure 35, the integrated circuit die 100, 200 are bonded together by a bonding connection 300. The bonding connection portion 300 of this embodiment is the bonding connection portion configuration 300D of FIG. 4D. This embodiment includes a standoff gap between the dielectric layers of the integrated circuit die 100, 200.

도 36은 도 35의 구조체에 대한 추가의 처리를 예시한다. 도 36에서, 집적 회로 다이(100, 200) 사이의 본딩 계면을 밀봉하도록 다양한 구성 성분 위ㅣ에 밀봉층(546)이 형성된다. 본딩 계면의 밀봉은 이 구성에서 본딩 계면을 밀봉하지 않는 경우에 비해 본 실시예의 신뢰성에 도움을 줄 수 있다. 밀봉층(546)은 전술한 절연층(208)과 유사한 재료 및 공정으로 형성될 수 있으므로 그 설명은 여기서 반복하지 않는다. 일 실시예에 따르면, 밀봉층은 예컨대, 파릴렌, 폴리이미드, BCB 및 PBO 등과 같은 중합체 재료로 형성될 수 있다. 형성 방법은 분무, 제트 분사, 코팅 등에 의한 것일 수 있다.Figure 36 illustrates further processing for the structure of Figure 35; In FIG. 36, a sealing layer 546 is formed over various components to seal the bonding interface between the integrated circuit die 100, 200. Sealing of the bonding interface can contribute to the reliability of the present embodiment as compared with the case where the bonding interface is not sealed in this structure. The sealing layer 546 may be formed of a material and a process similar to the above-described insulating layer 208, so the description thereof is not repeated here. According to one embodiment, the sealing layer may be formed of a polymeric material such as, for example, parylene, polyimide, BCB and PBO. The forming method may be by spraying, jet spraying, coating or the like.

도 37은 도 36의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 5와 관련하여 예시되고 설명된 처리와 유사하며, 도 5는 도 37과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 본딩된 집적 회로 다이(100, 200)는 봉지재(548)로 봉지되어 패키지를 형성한다.Figure 37 illustrates further processing for the structure of Figure 36; The processing between these two figures is similar to the processing illustrated and described with respect to Fig. 5, and Fig. 5 is the same intermediate step as Fig. 37, so the description is not repeated here. The bonded integrated circuit die 100, 200 is encapsulated with an encapsulant 548 to form a package.

도 38은 도 37의 구조체에 대한 추가의 처리를 예시한다. 이들 2개의 도면 사이의 처리는 도 5~14와 관련하여 예시되고 설명된 처리와 유사하며, 도 14는 도 38과 동일한 중간 단계이므로 그 설명은 여기서 반복하지 않는다. 도 38에서, 도 37의 본딩된 집적 회로 다이를 포함하는 패키지 구조체(562)에 제2 패키지(450)가 본딩된다.Figure 38 illustrates further processing for the structure of Figure 37; The processing between these two figures is similar to the processing illustrated and described with respect to Figs. 5-14, and Fig. 14 is the same intermediate step as Fig. 38, the second package 450 is bonded to the package structure 562 including the bonded integrated circuit die of FIG.

도 38의 패키지 구조체에 대해 추가의 처리가 수행될 수 있다. 예를 들면, 도 38의 패키지 구조체는 전도성 접속부(416)를 사용하여 패키지 기판에 장착될 수 있다.Additional processing may be performed on the package structure of FIG. For example, the package structure of FIG. 38 may be mounted to the package substrate using the conductive connection 416.

하이브리드 본딩의 통상적인 구리-구리 본딩 대신에 납땜 재료를 사용하는 하이브리드 본딩 기술을 사용하여 함께 본딩되는 다이를 포함하는 PoP 구조체를 형성하는 것에 의해, 하이브리드 본딩의 본딩 온도가 크게 낮아질 수 있다. 추가로, 구조체의 본딩 패드는 패키지 구조체의 높이를 감소시키도록 리세스가 형성될 수 있다. 다이는 함께 전면-전면(F2F) 또는 전면-후면(F2B) 본딩될 수 있다. 에를 들면, F2F 본딩 구성에서, 다이의 활성면(전면)이 함께 본딩되는 반면, F2B 본딩 구성에서는 하나의 다이의 활성면이 다른 다이의 후면에 본딩된다.By forming a PoP structure including a die that is bonded together using a hybrid bonding technique that uses a brazing material instead of conventional copper-copper bonding of hybrid bonding, the bonding temperature of the hybrid bonding can be significantly lowered. In addition, the bonding pads of the structure may be recessed to reduce the height of the package structure. The die may be bonded together with the front-front (F2F) or front-back (F2B) bonding. For example, in the F2F bonding configuration, the active side (front side) of the die is bonded together, while in the F2B bonding configuration, the active side of one die is bonded to the back side of the other die.

일 실시예에서, 패키지는 제1 활성면과 제1 후면을 가지는 제1 다이, 제2 활성면과 제2 후면을 가지고 상기 제1 다이에 본딩되는 제2 다이, 및 전도성 본딩 재료를 포함하고, 상기 제1 활성면은 제1 본딩 패드와 제1 절연층을 포함하고, 상기 제2 활성면은 제2 본딩 패드와 제2 절연층을 포함하며, 상기 제2 다이의 상기 제2 활성면은 상기 제1 다이의 제1 활성면과 마주하며, 상기 제2 절연층은 유전체-유전체 본딩을 통해 상기 제1 절연층에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 본딩 패드와 상기 제2 본딩 패드에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가지는 것을 특징으로 한다.In one embodiment, the package includes a first die having a first active surface and a first backside, a second die having a second active surface and a second backside and being bonded to the first die, and a conductive bonding material, Wherein the first active surface comprises a first bonding pad and a first insulating layer, the second active surface comprises a second bonding pad and a second insulating layer, and the second active surface of the second die comprises Facing the first active surface of the first die, wherein the second insulating layer is bonded to the first insulating layer via dielectric-dielectric bonding, and the conductive bonding material is bonded to the first bonding pad and the second bonding pad And the conductive bonding material has a reflow temperature lower than a reflow temperature of the first and second bonding pads.

실시예들은 다음의 특징 중 하나 이상을 포함할 수 있다. 상기 제1 절연층은 O-H 결합을 포함하는 개별 결합에 의해 상기 제2 절연층에 본딩된다. 상기 제1 본딩 패드는 상기 제1 절연층 내로 리세스가 형성된다. 상기 제1 절연층과 상기 제2 절연층은 모두 중합체로 형성된다. 상기 제1 절연층과 상기 제2 절연층은 모두 실리콘 질화물, 실리콘 산화물, 포스포실리케이트 유리(PSG), 보로실리케이트 유리(BSG), 붕소 도핑된 포스포실리케이트 유리(BPSG), 또는 이들의 조합으로 형성된다. 상기 전도성 본딩 재료 둘레와 상기 제1 및 제2 본딩 패드 사이에 보이드가 존재한다. 상기 제1 패키지 구조체는 상기 제1 다이의 상기 제1 활성면 상의 전도성 패드, 상기 전도성 패드에 전기적으로 결합된 제1 관통 비아, 상기 제1 다이 상에 제공되어 상기 제2 다이와 상기 제1 관통 비아를 측방향으로 봉지하는 제1 봉지재로서, 해당 제1 봉지재를 통해 상기 제1 관통 비아가 연장되는, 제1 봉지재, 및 상기 제2 다이, 상기 제1 관통 비아 및 상기 제1 봉지재 위에 제공되고 상기 제1 관통 비아에 전기적으로 결합된 제1 재배선 구조체를 더 포함한다. 상기 제1 패키지 구조체는 상기 제1 다이에 인접한 제2 관통 비아와, 상기 제1 다이, 상기 제1 봉지재 및 상기 제2 관통 비아를 봉지하는 제2 봉지재로서, 해당 제2 봉지재를 통해 상기 제2 관통 비아가 연장되는, 제2 봉지재를 더 포함하고, 상기 제1 재배선 구조체는 상기 제2 관통 비아에 전기적으로 결합된다. 패키지는 제1 전도성 접속부에 의해 상기 제2 관통 비아에 본딩된 제2 패키지 구조체를 더 포함한다.Embodiments may include one or more of the following features. The first insulating layer is bonded to the second insulating layer by a separate bond including an O-H bond. The first bonding pad is recessed into the first insulating layer. The first insulating layer and the second insulating layer are both formed of a polymer. The first insulating layer and the second insulating layer may be formed of silicon nitride, silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron doped phosphosilicate glass (BPSG) . Voids are present between the periphery of the conductive bonding material and the first and second bonding pads. The first package structure comprising a conductive pad on the first active surface of the first die, a first through via electrically coupled to the conductive pad, a second through via provided on the first die, A first encapsulation material that laterally extends the first through-hole via the first encapsulation material, the first encapsulation material extending through the first encapsulation material, and the second encapsulation material, And a first wiring structure electrically connected to the first through vias. Wherein the first package structure is a second encapsulation member that encapsulates the second through vias adjacent to the first die and the first die, the first encapsulation member, and the second through vias, through the second encapsulation member Further comprising a second encapsulant to which the second through vias extend, wherein the first wiring structure is electrically coupled to the second through vias. The package further includes a second package structure bonded to the second through via by a first conductive connection.

일 실시예에서, 방법은 제1 다이의 제1 측면을 전도성 본딩 재료와 제1 및 제2 절연층을 사용하여 제2 다이의 제2 측면에 본딩하는 것에 의해 제1 패키지를 형성하는 단계를 포함하며, 상기 제1 측면은 제1 본딩 패드와 상기 제1 절연층을 포함하며, 상기 제2 측면은 제2 본딩 패드와 상기 제2 절연층을 포함하며, 상기 제2 다이의 제2 측면은 상기 제1 다이의 상기 제1 측면과 마주하며, 상기 저2 절연층은 유전체-유전체 본딩을 통해 상기 제1 절연층에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가지는 것을 특징으로 한다.In one embodiment, the method includes forming a first package by bonding a first side of a first die to a second side of a second die using a conductive bonding material and first and second insulating layers Wherein the first side includes a first bonding pad and the first insulating layer and the second side comprises a second bonding pad and the second insulating layer, Facing the first side of the first die, the low-dielectric layer being bonded to the first dielectric layer via dielectric-dielectric bonding, the conductive bonding material being bonded to the first and second bonding pads, And the conductive bonding material has a reflow temperature lower than a reflow temperature of the first and second bonding pads.

실시예들은 다음의 특징 중 하나 이상을 포함할 수 있다. 제1 패키지를 형성하는 단계는 상기 제1 다이의 상기 제1 측면 상의 제3 본딩 패드 상에 해당 제3 본딩 패드에 전기적으로 결합되는 제1 전도성 필러를 형성하는 단계와, 상기 제1 다이, 상기 제2 다이 및 상기 제1 전도성 필러를 봉지재로 봉지하는 단계를 더 포함한다. 상기 제1 패키지를 형성하는 단계는 캐리어 기판 위에 전기적 접속부를 형성하는 단계, 상기 전기적 접속부에 인접한 상기 캐리어 기판에 상기 본딩된 제1 및 제2 다이를 부착하되, 상기 제1 다이가 상기 캐리어 기판에 인접하도록, 부착하는 단계, 상기 본딩된 제1 및 제2 다이, 상기 제1 봉지재 및 상기 전기적 접속부를 제2 봉지재로 봉지하는 단계, 상기 제1 다이, 상기 제2 다이, 상기 제1 봉지재, 상기 제2 봉지재, 및 상기 전기적 접속부 위에 제1 재배선 구조체를 형성하되, 상기 제1 재배선 구조체가 상기 제1 전도성 필러와 상기 전기적 접속부에 전기적으로 결합되도록, 상기 제1 재배선 구조체를 형성하는 단계를 더 포함한다. 방법은 상기 캐리어 기판을 제거하는 단계, 상기 제1 다이에 근접한 제2 패키지를 제1 전도성 접속부를 사용하여 상기 제1 패키지의 상기 전기적 접속부에 본딩하는 단계를 더 포함한다. 상기 제1 패키지를 형성하는 단계는 상기 제1 다이에 비아를 형성하는 단계, 상기 제1 다이와 상기 제2 다이를 제1 봉지재로 봉지하는 단계, 캐리어 기판 위에 전기적 접속부를 형성하는 단계, 상기 봉지재와 본딩된 상기 제1 및 제2 다이를 상기 전기적 접속부에 인접한 상기 캐리어 기판에 부착하되, 상기 제2 다이가 상기 캐리어 기판에 인접하도록, 부착하는 단계, 상기 본딩된 제1 및 제2 다이, 상기 제1 봉지재, 및 상기 전기적 접속부를 제2 봉지재로 봉지하는 단계, 상기 전기적 접속부와 상기 제1 다이 내의 상기 비아가 노출되도록 상기 봉지재를 평탄화하는 단계, 상기 제1 다이, 상기 제2 다이, 상기 제1 봉지재, 상기 제2 봉지재 및 상기 전기적 접속부 위에 제1 재배선 구조체를 형성하되, 해당 제1 재배선 구조체가 상기 제1 다이 내의 상기 비아와 상기 전기적 접속부에 전기적으로 결합되도록, 제1 재배선 구조체를 형성하는 단계 및 상기 제1 재배선 구조체 위에 전기적으로 결합되도록 전도성 접속부를 형성하는 단계를 더 포함한다. 상기 제1 절연층과 상기 제2 절연층은 모두 중합체로 형성된다. 상기 제1 절연층과 상기 제2 절연층은 모두 실리콘 질화물, 실리콘 산화물, 포스포실리케이트 유리(PSG), 보로실리케이트 유리(BSG), 붕소 도핑된 포스포실리케이트 유리(BPSG), 또는 이들의 조합으로 형성된다.Embodiments may include one or more of the following features. Wherein forming the first package comprises forming a first conductive filler electrically coupled to the third bonding pad on a third bonding pad on the first side of the first die, Sealing the second die and the first conductive filler with an encapsulant. Wherein forming the first package comprises forming an electrical connection on the carrier substrate, attaching the bonded first and second die to the carrier substrate adjacent the electrical connection, wherein the first die is bonded to the carrier substrate Sealing the first and second die, the first encapsulant, and the electrical connection with a second encapsulant, the first die, the second die, the first encapsulation material, The first wiring structure and the second wiring structure are formed so that the first wiring structure is electrically connected to the first conductive pillar and the electrical connection portion, . ≪ / RTI > The method further includes removing the carrier substrate, bonding the second package proximate the first die to the electrical connection of the first package using a first conductive connection. Forming the first package includes forming a via in the first die, encapsulating the first die and the second die with a first encapsulation material, forming an electrical connection on the carrier substrate, Attaching the first bonded die and the second bonded die to the carrier substrate adjacent the electrical connection, wherein the second die is adjacent to the carrier substrate; attaching the bonded first and second die, Sealing the first encapsulant and the electrical connection with a second encapsulant; planarizing the encapsulant to expose the vias in the electrical connection and the first die; Forming a first wiring structure on the first die, the first encapsulation material, the second encapsulation material, and the electrical connection portion, So as to be electrically coupled to the term connecting portion, the first wiring and forming a structural body and the first wiring and forming a conductive connection portion to be electrically coupled to structure on more. The first insulating layer and the second insulating layer are both formed of a polymer. The first insulating layer and the second insulating layer may be formed of silicon nitride, silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron doped phosphosilicate glass (BPSG) .

일 실시예에서, 방법은 제1 웨이퍼의 제1 측면 위에 제1 절연층을 형성하는 단계, 상기 제1 절연층 내에 리세스를 패턴화하는 단계, 상기 리세스 내부와 상기 제1 절연층 위에 전도성 재료를 등각으로 성막하되, 상기 전도성 재료의 두께가 상기 제1 절연층의 두께보다 작도록, 성막하는 단계, 제1 본딩 패드를 형성하도록 상기 리세스 외부의 상기 전도성 재료의 부분을 제거하되, 상기 제1 본딩 패드와 상기 제1 절연층이 상기 제1 웨이퍼 내의 제1 다이의 제1 활성면 상에 위치되도록, 제거하는 단계, 제2 본딩 패드와 제2 절연층을 가지는 제2 활성면을 포함하는 제2 다이를 형성하는 단계, 상기 제2 본딩 패드 상에 전도성 범프를 형성하되, 상기 전도성 범프가 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가지도록, 전도성 범프를 형성하는 단계, 상기 제2 본딩 패드 상의 상기 전도성 범프를 상기 제1 본딩 패드에 본딩하는 단계 및 상기 제2 다이의 상기 제2 절연층을 상기 제1 절연층에 본딩하는 단계를 포함한다.In one embodiment, a method includes forming a first insulating layer on a first side of a first wafer, patterning the recess in the first insulating layer, depositing a conductive layer on the first insulating layer, Depositing a material having a thickness equal to the thickness of the first insulating layer, wherein the thickness of the conductive material is less than the thickness of the first insulating layer; removing portions of the conductive material outside the recess to form a first bonding pad, Removing the first bonding pad and the first insulating layer such that the first bonding pad and the first insulating layer are positioned on a first active surface of the first die in the first wafer; and a second active surface having a second bonding pad and a second insulating layer Forming a conductive bump on the second bonding pad such that the conductive bump has a reflow temperature lower than the reflow temperature of the first and second bonding pads, brother A step, wherein the conductive bump on the second bonding pad comprises the step of bonding the second insulating layer in the step of bonding in the first bonding pad and said second die on the first insulating layer.

실시예는 다음의 특징 중 하나 이상을 포함할 수 있다. 방법은 상기 제1 다이의 상기 제1 활성면 상의 제3 본딩 패드 상에 해당 제3 본딩 패드에 전기적으로 결합되도록 제1 전도성 필러를 형성하는 단계, 상기 제1 웨이퍼, 상기 제2 다이, 및 상기 제1 전도성 필러를 제1 봉지재로 봉지하는 단계, 및 상기 제1 웨이퍼와 상기 제1 봉지재를 단편화하되, 상기 제1 다이, 상기 제2 다이, 상기 제1 전도성 필러 및 상기 제1 봉지재를 포함하는 제1 패키지 구조체를 형성하도록, 단편화하는 단계를 더 포함한다. 방법은 캐리어 기판 위에 전기적 접속부를 형성하는 단계, 상기 제1 패키지 구조체를 상기 전기적 접속부에 인접한 상기 캐리어 기판에 부착하되, 상기 제1 다이가 상기 캐리어 기판에 인접하도록, 부착하는 단계, 상기 제1 패키지 구조체와 상기 전기적 접속부를 제2 봉지재로 봉지하는 단계, 및 상기 제1 패키지 구조체, 상기 제2 봉지재, 및 상기 전기적 접속부 위에 제1 재배선 구조체를 형성하되, 상기 제1 재배선 구조체가 상기 제1 전도성 필러와 상기 전기적 접속부에 전기적으로 결합되도록, 제1 재배선 구조체를 형성하는 단계를 더 포함한다.Embodiments may include one or more of the following features. The method further includes forming a first conductive filler on the third bonding pad on the first active surface of the first die such that the first conductive filler is electrically coupled to the third bonding pad, Sealing the first conductive pillar with a first encapsulant; and segmenting the first wafer and the first encapsulant, wherein the first die, the second die, the first conductive pillar, and the first encapsulant To form a first package structure including the first package structure. The method includes forming an electrical connection on a carrier substrate, attaching the first package structure to the carrier substrate adjacent the electrical connection, wherein the first die is adjacent to the carrier substrate, The method comprising: encapsulating the structure and the electrical connection portion with a second encapsulant; and forming a first reed structure on the first package structure, the second encapsulation material, and the electrical connection portion, And forming the first wiring structure to be electrically coupled to the first conductive filler and the electrical connection portion.

1) 본 개시의 제1 양태에 따른 패키지는, 제1 패키지 구조체를 포함하고, 상기 제1 패키지 구조체는, 제1 활성면(active side)과 제1 후면을 가지는 제1 다이; 제2 활성면과 제2 후면을 가지고 상기 제1 다이에 본딩되는 제2 다이; 및 전도성 본딩 재료를 포함하고, 상기 제1 활성면은 제1 본딩 패드와 제1 절연층을 포함하고, 상기 제2 활성면은 제2 본딩 패드와 제2 절연층을 포함하며, 상기 제2 다이의 상기 제2 활성면은 상기 제1 다이의 제1 활성면과 마주하며, 상기 제2 절연층은 유전체-유전체 본딩을 통해 상기 제1 절연층에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 본딩 패드와 상기 제2 본딩 패드에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가진다.1) A package according to the first aspect of the present disclosure includes a first package structure, the first package structure comprising: a first die having a first active side and a first back side; A second die bonded to the first die with a second active surface and a second backside; And a conductive bonding material, wherein the first active surface comprises a first bonding pad and a first insulating layer, the second active surface comprises a second bonding pad and a second insulating layer, and the second die Wherein the second active surface of the first die is opposite the first active surface of the first die and the second insulating layer is bonded to the first insulating layer via dielectric-dielectric bonding, Pad and the second bonding pad, and the conductive bonding material has a reflow temperature lower than the reflow temperature of the first and second bonding pads.

2) 본 개시의 제1 양태에 따른 패키지에 있어서, 상기 제1 절연층은 O-H 결합을 포함하는 개별 결합에 의해 상기 제2 절연층에 본딩된다.2) In the package according to the first aspect of the present disclosure, the first insulating layer is bonded to the second insulating layer by a separate bond including an O-H bond.

3) 본 개시의 제1 양태에 따른 패키지에 있어서, 상기 제1 본딩 패드는 상기 제1 절연층 내로 리세스된다.3) In the package according to the first aspect of the present disclosure, the first bonding pad is recessed into the first insulating layer.

4) 본 개시의 제1 양태에 따른 패키지에 있어서, 상기 제1 절연층과 상기 제2 절연층은 모두 중합체로 만들어진다.4) In the package according to the first aspect of the present disclosure, the first insulating layer and the second insulating layer are both made of a polymer.

5) 본 개시의 제1 양태에 따른 패키지에 있어서, 상기 제1 절연층과 상기 제2 절연층은 모두 실리콘 질화물, 실리콘 산화물, 포스포실리케이트 유리(phosphosilicate glass; PSG), 보로실리케이트 유리(borosilicate glass; BSG), 붕소 도핑된 포스포실리케이트 유리(boron-doped phosphosilicate glass; BPSG), 또는 이들의 조합으로 만들어진다.5) A package according to the first aspect of the present disclosure, wherein the first insulating layer and the second insulating layer are both made of silicon nitride, silicon oxide, phosphosilicate glass (PSG), borosilicate glass ; BSG), boron-doped phosphosilicate glass (BPSG), or a combination thereof.

6) 본 개시의 제1 양태에 따른 패키지에 있어서, 상기 전도성 본딩 재료 둘레와 상기 제1 및 제2 본딩 패드 사이에 보이드(void)가 존재한다.6) In the package according to the first aspect of the present disclosure, a void is present between the periphery of the conductive bonding material and the first and second bonding pads.

7) 본 개시의 제1 양태에 따른 패키지에 있어서, 상기 제1 패키지 구조체는, 상기 제1 다이의 상기 제1 활성면 상의 전도성 패드; 상기 전도성 패드에 전기적으로 결합된 제1 관통 비아; 상기 제1 다이 상에 있고 상기 제2 다이와 상기 제1 관통 비아를 측방향으로 봉지하는 제1 봉지재로서, 상기 제1 봉지재를 통해 상기 제1 관통 비아가 연장되는 것인, 상기 제1 봉지재; 및 상기 제2 다이, 상기 제1 관통 비아, 및 상기 제1 봉지재 위에 제공되고 상기 제1 관통 비아에 전기적으로 결합된 제1 재배선 구조체를 더 포함한다.7) A package according to the first aspect of the present disclosure, wherein the first package structure comprises: a conductive pad on the first active surface of the first die; A first through vias electrically coupled to the conductive pad; A first encapsulation material on said first die and laterally sealing said second die and said first through vias, said first through vias extending through said first encapsulation material; ashes; And a first wiring structure provided on the second die, the first through vias, and the first encapsulation material and electrically coupled to the first through vias.

8) 본 개시의 제1 양태에 따른 패키지에 있어서, 상기 제1 패키지 구조체는, 상기 제1 다이에 인접한 제2 관통 비아; 및 상기 제1 다이, 상기 제1 봉지재, 및 상기 제2 관통 비아를 봉지하는 제2 봉지재로서, 상기 제2 봉지재를 통해 상기 제2 관통 비아가 연장되는 것인, 상기 제2 봉지재를 더 포함하고, 상기 제1 재배선 구조체는 상기 제2 관통 비아에 전기적으로 결합된다.8) A package according to the first aspect of the present disclosure, wherein the first package structure comprises: a second through via adjacent to the first die; And a second encapsulant for encapsulating the first die, the first encapsulant, and the second through vias, wherein the second through vias extend through the second encapsulant, And the first wiring structure is electrically coupled to the second through via.

9) 본 개시의 제1 양태에 따른 패키지는, 제1 전도성 접속부에 의해 상기 제2 관통 비아에 본딩된 제2 패키지 구조체를 더 포함한다.9) The package according to the first aspect of the present disclosure further comprises a second package structure bonded to the second through via by a first conductive connection.

10) 본 개시의 제1 양태에 따른 패키지에 있어서, 상기 전도성 본딩 재료는 납땜 재료이고, 상기 제1 및 제2 본딩 패드는 구리 또는 알루미늄을 포함한다.10) The package according to the first aspect of the present disclosure, wherein the conductive bonding material is a soldering material, and the first and second bonding pads comprise copper or aluminum.

11) 본 개시의 제2 양태에 따른 방법은, 제1 패키지를 형성하는 단계를 포함하고, 상기 제1 패키지를 형성하는 단계는, 제1 다이의 제1 측면을 전도성 본딩 재료와 제1 및 제2 절연층을 사용하여 제2 다이의 제2 측면에 본딩하는 단계를 포함하며, 상기 제1 측면은 제1 본딩 패드와 상기 제1 절연층을 포함하며, 상기 제2 측면은 제2 본딩 패드와 상기 제2 절연층을 포함하며, 상기 제2 다이의 제2 측면은 상기 제1 다이의 상기 제1 측면과 마주하며, 상기 제2 절연층은 유전체-유전체 본딩을 통해 상기 제1 절연층에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가진다.11) The method according to the second aspect of the present disclosure includes forming a first package, wherein forming the first package comprises forming a first side of the first die by a conductive bonding material, And bonding the second side of the second die to the second side of the second die using a second insulating layer, the first side including a first bonding pad and the first insulating layer, Wherein the second side of the second die faces the first side of the first die and the second insulating layer is bonded to the first insulating layer via dielectric- Wherein the conductive bonding material is bonded to the first and second bonding pads and the conductive bonding material has a reflow temperature lower than the reflow temperature of the first and second bonding pads.

12) 본 개시의 제2 양태에 따른 방법에 있어서, 상기 제1 패키지를 형성하는 단계는, 상기 제1 다이의 상기 제1 측면 상의 제3 본딩 패드 상에서 상기 제3 본딩 패드에 전기적으로 결합되는 제1 전도성 필러를 형성하는 단계; 및 상기 제1 다이, 상기 제2 다이, 및 상기 제1 전도성 필러를 제1 봉지재로 봉지하는 단계를 더 포함한다.12) The method according to the second aspect of the present disclosure, wherein the step of forming the first package comprises: forming a first package on the first side of the first die, 1 conductive filler; And sealing the first die, the second die, and the first conductive filler with a first encapsulant.

13) 본 개시의 제2 양태에 따른 방법에 있어서, 상기 제1 패키지를 형성하는 단계는, 캐리어 기판 위에 전기적 접속부를 형성하는 단계; 상기 전기적 접속부에 인접한 상기 캐리어 기판에 상기 본딩된 제1 및 제2 다이를 부착하되, 상기 제1 다이가 상기 캐리어 기판에 인접하도록, 부착하는 단계; 상기 본딩된 제1 및 제2 다이, 상기 제1 봉지재, 및 상기 전기적 접속부를 제2 봉지재로 봉지하는 단계; 및 상기 제1 다이, 상기 제2 다이, 상기 제1 봉지재, 상기 제2 봉지재, 및 상기 전기적 접속부 위에 제1 재배선 구조체를 형성하되, 상기 제1 재배선 구조체가 상기 제1 전도성 필러와 상기 전기적 접속부에 전기적으로 결합되도록, 상기 제1 재배선 구조체를 형성하는 단계를 더 포함한다.13) The method according to the second aspect of the present disclosure, wherein forming the first package comprises: forming an electrical connection on the carrier substrate; Attaching the bonded first and second die to the carrier substrate adjacent the electrical connection, the first die being adjacent to the carrier substrate; Sealing the bonded first and second die, the first encapsulant, and the electrical connection with a second encapsulant; And forming a first wiring structure on the first die, the second die, the first encapsulation material, the second encapsulation material, and the electrical connection portion, wherein the first wiring structure comprises the first conductive filler And forming the first wiring structure to be electrically coupled to the electrical connection portion.

14) 본 개시의 제2 양태에 따른 방법은, 상기 캐리어 기판을 제거하는 단계; 및 상기 제1 다이에 근접한 제2 패키지를 제1 전도성 접속부를 사용하여 상기 제1 패키지의 상기 전기적 접속부에 본딩하는 단계를 더 포함한다.14) A method according to the second aspect of the present disclosure, comprising: removing the carrier substrate; And bonding a second package proximate the first die to the electrical connection of the first package using a first conductive connection.

15) 본 개시의 제2 양태에 따른 방법에 있어서, 상기 제1 패키지를 형성하는 단계는, 상기 제1 다이에 비아를 형성하는 단계; 상기 제1 다이와 상기 제2 다이를 제1 봉지재로 봉지하는 단계; 캐리어 기판 위에 전기적 접속부를 형성하는 단계; 상기 봉지재 및 상기 본딩된 제1 및 제2 다이를 상기 전기적 접속부에 인접한 상기 캐리어 기판에 부착하되, 상기 제2 다이가 상기 캐리어 기판에 인접하도록, 부착하는 단계; 상기 본딩된 제1 및 제2 다이, 상기 제1 봉지재, 및 상기 전기적 접속부를 제2 봉지재로 봉지하는 단계; 상기 전기적 접속부와 상기 제1 다이 내의 상기 비아가 노출되도록 상기 봉지재를 평탄화하는 단계; 상기 제1 다이, 상기 제2 다이, 상기 제1 봉지재, 상기 제2 봉지재, 및 상기 전기적 접속부 위에 제1 재배선 구조체를 형성하되, 상기 제1 재배선 구조체가 상기 제1 다이 내의 상기 비아와 상기 전기적 접속부에 전기적으로 결합되도록, 제1 재배선 구조체를 형성하는 단계; 및 상기 제1 재배선 구조체 위에, 상기 제1 재배선 구조체에 전기적으로 결합되도록 전도성 접속부를 형성하는 단계를 더 포함한다.15) The method according to the second aspect of the present disclosure, wherein forming the first package comprises: forming a via in the first die; Sealing the first die and the second die with a first encapsulant; Forming an electrical connection on the carrier substrate; Attaching the encapsulant and the bonded first and second die to the carrier substrate adjacent the electrical connection, wherein the second die is adjacent to the carrier substrate; Sealing the bonded first and second die, the first encapsulant, and the electrical connection with a second encapsulant; Planarizing the encapsulant to expose the vias in the electrical connection and the first die; Wherein the first wiring structure is formed on the first die, the second die, the first encapsulation material, the second encapsulation material, and the electrical connection portion, Forming a first wiring structure to be electrically coupled to the electrical connection portion; And forming a conductive connecting portion on the first wiring structure to be electrically coupled to the first wiring structure.

16) 본 개시의 제2 양태에 따른 방법에 있어서, 상기 제1 절연층과 상기 제2 절연층은 모두 중합체로 만들어진다.16) In the method according to the second aspect of the present disclosure, both the first insulating layer and the second insulating layer are made of a polymer.

17) 본 개시의 제2 양태에 따른 방법에 있어서, 상기 제1 절연층과 상기 제2 절연층은 모두 실리콘 질화물, 실리콘 산화물, 포스포실리케이트 유리(PSG), 보로실리케이트 유리(BSG), 붕소 도핑된 포스포실리케이트 유리(BPSG), 또는 이들의 조합으로 만들어진다.17) A method according to the second aspect of the present disclosure, wherein the first and second insulating layers are both silicon nitride, silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG) , Phosphosilicate glass (BPSG), or combinations thereof.

18) 본 개시의 제3 양태에 따른 방법은, 제1 웨이퍼의 제1 측면 위에 제1 절연층을 형성하는 단계; 상기 제1 절연층 내에 리세스를 패턴화하는 단계; 상기 리세스 내부와 상기 제1 절연층 위에 전도성 재료를 등각으로 성막하되, 상기 전도성 재료의 두께가 상기 제1 절연층의 두께보다 작도록, 성막하는 단계; 제1 본딩 패드를 형성하도록 상기 리세스 외부의 상기 전도성 재료의 부분을 제거하되, 상기 제1 본딩 패드와 상기 제1 절연층이 상기 제1 웨이퍼 내의 제1 다이의 제1 활성면 상에 위치되도록, 제거하는 단계; 제2 본딩 패드와 제2 절연층을 가지는 제2 활성면을 포함하는 제2 다이를 형성하는 단계; 상기 제2 본딩 패드 상에 전도성 범프를 형성하되, 상기 전도성 범프가 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가지도록, 전도성 범프를 형성하는 단계; 상기 제2 본딩 패드 상의 상기 전도성 범프를 상기 제1 본딩 패드에 본딩하는 단계; 및 상기 제2 다이의 상기 제2 절연층을 상기 제1 절연층에 본딩하는 단계를 포함한다.18) A method according to the third aspect of the present disclosure includes: forming a first insulating layer on a first side of a first wafer; Patterning the recess in the first insulating layer; Depositing a conductive material on the recess and the first insulating layer at an equal angle so that the thickness of the conductive material is less than the thickness of the first insulating layer; Removing portions of the conductive material outside the recess to form a first bonding pad such that the first bonding pad and the first insulating layer are positioned on the first active surface of the first die in the first wafer , ≪ / RTI > Forming a second die comprising a second active surface having a second bonding pad and a second insulating layer; Forming a conductive bump on the second bonding pad such that the conductive bump has a reflow temperature lower than the reflow temperature of the first and second bonding pads; Bonding the conductive bump on the second bonding pad to the first bonding pad; And bonding the second insulating layer of the second die to the first insulating layer.

19) 본 개시의 제3 양태에 따른 방법은, 상기 제1 다이의 상기 제1 활성면 상의 제3 본딩 패드 상에서 상기 제3 본딩 패드에 전기적으로 결합되도록 제1 전도성 필러를 형성하는 단계; 상기 제1 웨이퍼, 상기 제2 다이, 및 상기 제1 전도성 필러를 제1 봉지재로 봉지하는 단계; 및 상기 제1 웨이퍼와 상기 제1 봉지재를 단편화하되, 상기 제1 다이, 상기 제2 다이, 상기 제1 전도성 필러, 및 상기 제1 봉지재를 포함하는 제1 패키지 구조체를 형성하도록, 단편화하는 단계를 더 포함한다.19) A method according to the third aspect of the present disclosure comprises: forming a first conductive filler on a third bonding pad on the first active surface of the first die to be electrically coupled to the third bonding pad; Sealing the first wafer, the second die, and the first conductive filler with a first encapsulant; And fragmenting the first wafer and the first encapsulant to form a first package structure comprising the first die, the second die, the first conductive pillar, and the first encapsulant .

20) 본 개시의 제3 양태에 따른 방법은, 캐리어 기판 위에 전기적 접속부를 형성하는 단계; 상기 제1 패키지 구조체를 상기 전기적 접속부에 인접한 상기 캐리어 기판에 부착하되, 상기 제1 다이가 상기 캐리어 기판에 인접하도록, 부착하는 단계; 상기 제1 패키지 구조체와 상기 전기적 접속부를 제2 봉지재로 봉지하는 단계; 및 상기 제1 패키지 구조체, 상기 제2 봉지재, 및 상기 전기적 접속부 위에 제1 재배선 구조체를 형성하되, 상기 제1 재배선 구조체가 상기 제1 전도성 필러와 상기 전기적 접속부에 전기적으로 결합되도록, 상기 제1 재배선 구조체를 형성하는 단계를 더 포함한다.20) A method according to the third aspect of the present disclosure comprises: forming an electrical connection on a carrier substrate; Attaching the first package structure to the carrier substrate adjacent the electrical connection, wherein the first die is adjacent to the carrier substrate; Sealing the first package structure and the electrical connection with a second encapsulant; And forming a first wiring structure on the first package structure, the second encapsulation material, and the electrical connection portion, wherein the first wiring structure is electrically coupled to the first conductive filler and the electrical connection portion, And forming the first wiring structure.

이상의 설명은 당업자가 본 개시 내용의 여러 측면들을 잘 이해할 수 있도록 여러 실시예의 특징부들의 개요를 설명한 것이다. 당업자들은 자신들이 여기 도입된 실시예와 동일한 목적을 수행하거나 및/또는 동일한 장점을 달성하기 위해 다른 공정 또는 구조를 설계 또는 변형하기 위한 기초로서 본 개시 내용을 용이하게 이용할 수 있음을 알아야 한다. 또한, 당업자들은 등가의 구성이 본 개시 내용의 취지 및 범위를 벗어나지 않으며 그리고 본 개시 내용의 취지 및 범위를 벗어나지 않고 다양한 변화, 대체 및 변경을 이룰 수 있음을 알아야 한다.The foregoing description is a summary of features of the various embodiments to enable those skilled in the art to understand the various aspects of the disclosure. Those skilled in the art will readily appreciate that the present disclosure can readily be used as a basis for designing or modifying other processes or structures to accomplish the same purpose and / or to achieve the same advantages as the embodiments introduced herein. In addition, those skilled in the art should appreciate that equivalent configurations do not depart from the spirit and scope of the present disclosure and that various changes, substitutions and changes can be made without departing from the spirit and scope of the present disclosure.

Claims (10)

패키지로서,
제1 패키지 구조체를 포함하고,
상기 제1 패키지 구조체는,
제1 활성면(active side)과 제1 후면을 가지는 제1 다이;
제2 활성면과 제2 후면을 가지고 상기 제1 다이에 본딩되는 제2 다이; 및
전도성 본딩 재료
를 포함하고,
상기 제1 활성면은 제1 본딩 패드와 제1 절연층을 포함하고,
상기 제2 활성면은 제2 본딩 패드와 제2 절연층을 포함하며, 상기 제2 다이의 상기 제2 활성면은 상기 제1 다이의 제1 활성면과 마주하며, 상기 제2 절연층은 유전체-유전체 본딩을 통해 상기 제1 절연층에 본딩되며,
상기 전도성 본딩 재료는 상기 제1 본딩 패드와 상기 제2 본딩 패드에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가지는 것인, 패키지.
As a package,
A first package structure,
The first package structure may include:
A first die having a first active side and a first back side;
A second die bonded to the first die with a second active surface and a second backside; And
Conductive bonding material
Lt; / RTI >
Wherein the first active surface comprises a first bonding pad and a first insulating layer,
The second active surface comprising a second bonding pad and a second dielectric layer, the second active surface of the second die facing a first active surface of the first die, - bonded to said first insulating layer via dielectric bonding,
Wherein the conductive bonding material is bonded to the first bonding pad and the second bonding pad and the conductive bonding material has a reflow temperature lower than the reflow temperature of the first and second bonding pads.
제1항에 있어서,
상기 제1 절연층은 O-H 결합을 포함하는 개별 결합에 의해 상기 제2 절연층에 본딩되는 것인, 패키지.
The method according to claim 1,
Wherein the first insulating layer is bonded to the second insulating layer by a separate bond comprising an OH bond.
제1항에 있어서,
상기 제1 본딩 패드는 상기 제1 절연층 내로 리세스되는 것인, 패키지.
The method according to claim 1,
Wherein the first bonding pad is recessed into the first insulating layer.
제1항에 있어서,
상기 제1 절연층과 상기 제2 절연층은 i) 모두 중합체로 만들어지거나, ii) 모두 실리콘 질화물, 실리콘 산화물, 포스포실리케이트 유리(phosphosilicate glass; PSG), 보로실리케이트 유리(borosilicate glass; BSG), 붕소 도핑된 포스포실리케이트 유리(boron-doped phosphosilicate glass; BPSG), 또는 이들의 조합으로 만들어지는 것인, 패키지.
The method according to claim 1,
The first insulating layer and the second insulating layer are both made of a polymer; or ii) both are made of silicon nitride, silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG) Boron-doped phosphosilicate glass (BPSG), or a combination thereof.
제1항에 있어서,
상기 전도성 본딩 재료 둘레와 상기 제1 및 제2 본딩 패드 사이에 보이드(void)가 존재하는 것인, 패키지.
The method according to claim 1,
Wherein a void is present between the periphery of the conductive bonding material and the first and second bonding pads.
제1항에 있어서,
상기 제1 패키지 구조체는,
상기 제1 다이의 상기 제1 활성면 상의 전도성 패드;
상기 전도성 패드에 전기적으로 결합된 제1 관통 비아;
상기 제1 다이 상에 있고 상기 제2 다이와 상기 제1 관통 비아를 측방향으로 봉지하는 제1 봉지재로서, 상기 제1 봉지재를 통해 상기 제1 관통 비아가 연장되는 것인, 상기 제1 봉지재; 및
상기 제2 다이, 상기 제1 관통 비아, 및 상기 제1 봉지재 위에 제공되고 상기 제1 관통 비아에 전기적으로 결합된 제1 재배선 구조체
를 더 포함하는 것인, 패키지.
The method according to claim 1,
The first package structure may include:
A conductive pad on the first active surface of the first die;
A first through vias electrically coupled to the conductive pad;
A first encapsulation material on said first die and laterally sealing said second die and said first through vias, said first through vias extending through said first encapsulation material; ashes; And
And a second wiring structure provided on the second die, the first through vias, and the first encapsulation material and electrically coupled to the first through vias,
Further comprising:
제6항에 있어서,
상기 제1 패키지 구조체는,
상기 제1 다이에 인접한 제2 관통 비아; 및
상기 제1 다이, 상기 제1 봉지재, 및 상기 제2 관통 비아를 봉지하는 제2 봉지재로서, 상기 제2 봉지재를 통해 상기 제2 관통 비아가 연장되는 것인, 상기 제2 봉지재
를 더 포함하고,
상기 제1 재배선 구조체는 상기 제2 관통 비아에 전기적으로 결합되는 것인, 패키지.
The method according to claim 6,
The first package structure may include:
A second through vias adjacent the first die; And
Wherein the second encapsulation material is a second encapsulant for encapsulating the first die, the first encapsulation material and the second through vias, wherein the second through-vias extend through the second encapsulation material,
Further comprising:
And the first wiring structure is electrically coupled to the second through via.
제7항에 있어서,
제1 전도성 접속부에 의해 상기 제2 관통 비아에 본딩된 제2 패키지 구조체를 더 포함하는, 패키지.
8. The method of claim 7,
Further comprising a second package structure bonded to the second via via by a first conductive connection.
방법에 있어서,
제1 패키지를 형성하는 단계를 포함하고,
상기 제1 패키지를 형성하는 단계는,
제1 다이의 제1 측면을 전도성 본딩 재료와 제1 및 제2 절연층을 사용하여 제2 다이의 제2 측면에 본딩하는 단계를 포함하며,
상기 제1 측면은 제1 본딩 패드와 상기 제1 절연층을 포함하며, 상기 제2 측면은 제2 본딩 패드와 상기 제2 절연층을 포함하며, 상기 제2 다이의 제2 측면은 상기 제1 다이의 상기 제1 측면과 마주하며, 상기 제2 절연층은 유전체-유전체 본딩을 통해 상기 제1 절연층에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드에 본딩되며, 상기 전도성 본딩 재료는 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가지는 것인, 방법.
In the method,
And forming a first package,
Wherein forming the first package comprises:
Bonding the first side of the first die to the second side of the second die using a conductive bonding material and first and second insulating layers,
Wherein the first side comprises a first bonding pad and the first insulating layer, the second side comprises a second bonding pad and the second insulating layer, and the second side of the second die comprises the first Facing the first side of the die, the second insulating layer being bonded to the first insulating layer via dielectric-dielectric bonding, the conductive bonding material being bonded to the first and second bonding pads, Wherein the bonding material has a reflow temperature lower than the reflow temperature of the first and second bonding pads.
방법에 있어서,
제1 웨이퍼의 제1 측면 위에 제1 절연층을 형성하는 단계;
상기 제1 절연층 내에 리세스를 패턴화하는 단계;
상기 리세스 내부와 상기 제1 절연층 위에 전도성 재료를 등각으로 성막하되, 상기 전도성 재료의 두께가 상기 제1 절연층의 두께보다 작도록, 성막하는 단계;
제1 본딩 패드를 형성하도록 상기 리세스 외부의 상기 전도성 재료의 부분을 제거하되, 상기 제1 본딩 패드와 상기 제1 절연층이 상기 제1 웨이퍼 내의 제1 다이의 제1 활성면 상에 위치되도록, 제거하는 단계;
제2 본딩 패드와 제2 절연층을 가지는 제2 활성면을 포함하는 제2 다이를 형성하는 단계;
상기 제2 본딩 패드 상에 전도성 범프를 형성하되, 상기 전도성 범프가 상기 제1 및 제2 본딩 패드의 리플로우 온도보다 낮은 리플로우 온도를 가지도록, 전도성 범프를 형성하는 단계;
상기 제2 본딩 패드 상의 상기 전도성 범프를 상기 제1 본딩 패드에 본딩하는 단계; 및
상기 제2 다이의 상기 제2 절연층을 상기 제1 절연층에 본딩하는 단계
를 포함하는, 방법.
In the method,
Forming a first insulating layer on a first side of a first wafer;
Patterning the recess in the first insulating layer;
Depositing a conductive material on the recess and the first insulating layer at an equal angle so that the thickness of the conductive material is less than the thickness of the first insulating layer;
Removing portions of the conductive material outside the recess to form a first bonding pad such that the first bonding pad and the first insulating layer are positioned on the first active surface of the first die in the first wafer , ≪ / RTI >
Forming a second die comprising a second active surface having a second bonding pad and a second insulating layer;
Forming a conductive bump on the second bonding pad such that the conductive bump has a reflow temperature lower than the reflow temperature of the first and second bonding pads;
Bonding the conductive bump on the second bonding pad to the first bonding pad; And
Bonding the second insulating layer of the second die to the first insulating layer
/ RTI >
KR1020180087179A 2017-07-27 2018-07-26 Semiconductor packages and methods of forming same KR102193505B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762537736P 2017-07-27 2017-07-27
US62/537,736 2017-07-27
US15/980,541 2018-05-15
US15/980,541 US10290611B2 (en) 2017-07-27 2018-05-15 Semiconductor packages and methods of forming same

Publications (2)

Publication Number Publication Date
KR20190013578A true KR20190013578A (en) 2019-02-11
KR102193505B1 KR102193505B1 (en) 2020-12-22

Family

ID=65003986

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180087179A KR102193505B1 (en) 2017-07-27 2018-07-26 Semiconductor packages and methods of forming same

Country Status (5)

Country Link
US (4) US10290611B2 (en)
KR (1) KR102193505B1 (en)
CN (1) CN109309074B (en)
DE (1) DE102018112657A1 (en)
TW (1) TWI692838B (en)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11625523B2 (en) 2016-12-14 2023-04-11 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips
TW202404049A (en) 2016-12-14 2024-01-16 成真股份有限公司 Logic drive based on standard commodity fpga ic chips
US10957679B2 (en) 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
US10630296B2 (en) 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
US10510650B2 (en) 2018-02-02 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device packaging structure having through interposer vias and through substrate vias
US10623000B2 (en) 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10622321B2 (en) * 2018-05-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures and methods of forming the same
US10340249B1 (en) 2018-06-25 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11011494B2 (en) * 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11616046B2 (en) 2018-11-02 2023-03-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11084715B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Segmented pedestal for mounting device on chip
US11056453B2 (en) * 2019-06-18 2021-07-06 Deca Technologies Usa, Inc. Stackable fully molded semiconductor structure with vertical interconnects
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US11837575B2 (en) * 2019-08-26 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding passive devices on active device dies to form 3D packages
US11264343B2 (en) * 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11637056B2 (en) 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
DE102020108481B4 (en) * 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package and manufacturing process
US11387222B2 (en) 2019-10-18 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
DE102020114141B4 (en) * 2019-10-18 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. INTEGRATED CIRCUIT PACKAGE AND METHOD
CN112117195B (en) * 2019-12-16 2023-06-02 中芯集成电路(宁波)有限公司 Packaging method
CN111162041A (en) * 2020-01-09 2020-05-15 长江存储科技有限责任公司 Semiconductor structure and forming method thereof
US11600526B2 (en) 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
WO2022011622A1 (en) 2020-07-16 2022-01-20 Yangtze Memory Technologies Co., Ltd. Methods for bonding semiconductor structures and semiconductor devices thereof
US11728327B2 (en) * 2021-02-12 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11756924B2 (en) * 2021-03-25 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor chip having strength adjustment pattern in bonding layer
CN117352481A (en) * 2022-06-27 2024-01-05 长鑫存储技术有限公司 Semiconductor structure and preparation method thereof
TWI822634B (en) * 2022-07-20 2023-11-11 強茂股份有限公司 Wafer level chip size packaging method
TWI828598B (en) * 2023-06-27 2024-01-01 力晶積成電子製造股份有限公司 Method of forming pattern

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US20150318246A1 (en) * 2014-04-30 2015-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-wafer package and method of forming same
KR20160085197A (en) * 2015-01-07 2016-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 3d integrated circuit (3dic) structure and method of making same
KR20170046557A (en) * 2015-10-21 2017-05-02 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Structure and formation method for chip package
KR20170072105A (en) * 2015-12-16 2017-06-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Package structure and method for forming the same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3865989B2 (en) * 2000-01-13 2007-01-10 新光電気工業株式会社 Multilayer wiring board, wiring board, multilayer wiring board manufacturing method, wiring board manufacturing method, and semiconductor device
US7741714B2 (en) * 2004-11-02 2010-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure with stress-buffering layer capping interconnection metal layer
EP1732116B1 (en) * 2005-06-08 2017-02-01 Imec Methods for bonding and micro-electronic devices produced according to such methods
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9224647B2 (en) * 2010-09-24 2015-12-29 Stats Chippac, Ltd. Semiconductor device and method of forming TSV interposer with semiconductor die and build-up interconnect structure on opposing surfaces of the interposer
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9190380B2 (en) * 2012-12-06 2015-11-17 Intel Corporation High density substrate routing in BBUL package
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9728453B2 (en) * 2013-03-15 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding integrated with CMOS processing
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
CN105575930A (en) * 2014-10-13 2016-05-11 中芯国际集成电路制造(上海)有限公司 Semiconductor device, preparation method and packaging method thereof
US9595496B2 (en) * 2014-11-07 2017-03-14 Qualcomm Incorporated Integrated device package comprising silicon bridge in an encapsulation layer
US10636773B2 (en) * 2015-09-23 2020-04-28 Mediatek Inc. Semiconductor package structure and method for forming the same
US9773768B2 (en) 2015-10-09 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure of three-dimensional chip stacking
US9935072B2 (en) * 2015-11-04 2018-04-03 Sfa Semicon Co., Ltd. Semiconductor package and method for manufacturing the same
US9972603B2 (en) * 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US20150318246A1 (en) * 2014-04-30 2015-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-wafer package and method of forming same
KR20160085197A (en) * 2015-01-07 2016-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 3d integrated circuit (3dic) structure and method of making same
KR20170046557A (en) * 2015-10-21 2017-05-02 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Structure and formation method for chip package
KR20170072105A (en) * 2015-12-16 2017-06-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Package structure and method for forming the same

Also Published As

Publication number Publication date
TWI692838B (en) 2020-05-01
US20190035767A1 (en) 2019-01-31
CN109309074B (en) 2021-09-14
US20200343224A1 (en) 2020-10-29
KR102193505B1 (en) 2020-12-22
TW201911476A (en) 2019-03-16
US11342309B2 (en) 2022-05-24
US10714457B2 (en) 2020-07-14
US20190267354A1 (en) 2019-08-29
DE102018112657A1 (en) 2019-01-31
US10290611B2 (en) 2019-05-14
US20220285323A1 (en) 2022-09-08
CN109309074A (en) 2019-02-05

Similar Documents

Publication Publication Date Title
KR102193505B1 (en) Semiconductor packages and methods of forming same
US11682655B2 (en) Semiconductor packages and methods of forming the same
TWI642157B (en) Semiconductor package and method of forming the same
US11189603B2 (en) Semiconductor packages and methods of forming same
CN110034026B (en) Package structure and method
CN109427702B (en) Heat dissipation device and method
US20210098434A1 (en) Package Structure and Method of Forming the Same
KR101908859B1 (en) Redistribution layers in semiconductor packages and methods of forming same
US11942403B2 (en) Integrated circuit package and method
KR102108981B1 (en) Semiconductor package and method
KR20190003403A (en) Semiconductor package and method
TWI783269B (en) Package, semiconductor package and method of forming the same
KR20190055705A (en) Semiconductor package and method
TWI724653B (en) Semiconductor device and method of forming the same
KR102455197B1 (en) Integrated circuit package and method
CN113140516A (en) Package and method of forming the same
KR102502811B1 (en) Redistribution structure for integrated circuit package and method of forming same
US20230335536A1 (en) Semiconductor Packages and Methods of Forming the Same
TW202410216A (en) Semiconductor package methods of forming the same
JP2022023830A (en) Heat dissipation in semiconductor package and method of forming the same
TW202347678A (en) Integrated circuit device
TW202347650A (en) Semicondcutor packages and methods of forming thereof
TW202336867A (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant