KR20180135042A - Hhg 소스, 검사 장치, 및 측정 수행 방법 - Google Patents

Hhg 소스, 검사 장치, 및 측정 수행 방법 Download PDF

Info

Publication number
KR20180135042A
KR20180135042A KR1020187033728A KR20187033728A KR20180135042A KR 20180135042 A KR20180135042 A KR 20180135042A KR 1020187033728 A KR1020187033728 A KR 1020187033728A KR 20187033728 A KR20187033728 A KR 20187033728A KR 20180135042 A KR20180135042 A KR 20180135042A
Authority
KR
South Korea
Prior art keywords
harmonic
configuring
emission spectrum
wavelength
drive laser
Prior art date
Application number
KR1020187033728A
Other languages
English (en)
Other versions
KR102217258B1 (ko
Inventor
난 린
뵈프 아리에 제프리 덴
샌더 배스 루볼
사이먼 지스버트 조세푸스 마시젠
니엘즈 게이펜
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180135042A publication Critical patent/KR20180135042A/ko
Application granted granted Critical
Publication of KR102217258B1 publication Critical patent/KR102217258B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/355Non-linear optics characterised by the materials used
    • G02F1/3551Crystals
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/37Non-linear optics for second-harmonic generation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/005Optical devices external to the laser cavity, specially adapted for lasers, e.g. for homogenisation of the beam or for manipulating laser pulses, e.g. pulse shaping
    • H01S3/0092Nonlinear frequency conversion, e.g. second harmonic generation [SHG] or sum- or difference-frequency generation outside the laser cavity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/10Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
    • H01S3/13Stabilisation of laser output parameters, e.g. frequency or amplitude
    • H01S3/1305Feedback control systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/14Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range characterised by the material used as the active medium
    • H01S3/16Solid materials
    • H01S3/1601Solid materials characterised by an active (lasing) ion
    • H01S3/162Solid materials characterised by an active (lasing) ion transition metal
    • H01S3/1625Solid materials characterised by an active (lasing) ion transition metal titanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/14Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range characterised by the material used as the active medium
    • H01S3/16Solid materials
    • H01S3/163Solid materials characterised by a crystal matrix
    • H01S3/1631Solid materials characterised by a crystal matrix aluminate
    • H01S3/1636Al2O3 (Sapphire)
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8845Multiple wavelengths of illumination or detection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

검사 장치에서 측정을 수행하는 방법, 및 연관된 검사 장치 및 HHG 소스가 개시된다. 이러한 방법은, 고 고조파 생성 방사선 소스에 의하여 제공되는 조명 방사선의 출력 방출 스펙트럼을 제어하도록, 상기 고 고조파 생성 방사선 소스의 적어도 하나의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 단계; 및 상기 조명 방사선으로 타겟 구조체를 조명하는 단계를 포함한다. 이러한 방법은 출력 방출 스펙트럼이 복수 개의 이산 고조파 피크를 포함하도록 구동 레이저 펄스를 구성하는 단계를 포함한다. 또는, 이러한 방법은 출력 방출 스펙트럼이 실질적으로 단색이 되도록, 상이한 파장의 복수 개의 구동 레이저 펄스를 사용하는 단계를 포함할 수 있다.

Description

HHG 소스, 검사 장치, 및 측정 수행 방법
관련 출원에 대한 상호 참조
본 출원은 2016 년 4 월 28 일에 출원되고 그 전체 내용이 원용되어 본원에 통합되는 EP 출원 번호 제 16167512.9 의 우선권을 주장한다.
본 발명은 HHG 소스, 검사 장치, 및 측정 수행 방법에 관한 것이다. 특히, 본 발명은 리소그래피 장치에 포함되는 검사 장치, 및 이러한 검사 장치를 사용하여 측정을 수행하는 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 목표 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 특정 패턴 및 재료 조성물을 각각 포함하는 다수의 층이 도포되어 마감된 제품의 기능성 디바이스 및 상호연결을 형성한다.
리소그래피 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경, 및 디바이스에 있는 두 개의 층들의 정렬 정확도인 오버레이를 측정하는 전문 툴과 같이, 이러한 측정을 하기 위한 다양한 툴들이 알려져 있다. 최근, 다양한 형태의 산란계들이 리소그래피 분야에서 사용되도록 개발되어 왔다.
공지된 산란계의 예들은 흔히 전용 계측 타겟의 공급에 의존한다. 예를 들어, 방법은, 측정 빔이 격자 보다 작은 스폿을 생성하도록(즉, 격자는 언더필됨) 충분히 큰 단순 격자의 형태인 타겟을 요구할 수 있다. 소위 재구성 방법에서, 격자의 특성은 산란된 방사선과 타겟 구조체의 수학적 모델 사이의 상호작용을 시뮬레이션함으로써 계산될 수 있다. 모델의 파라미터는, 시뮬레이션된 상호작용이 실제 타겟으로부터 관찰된 것과 유사한 회절 패턴을 생성할 때까지 조절된다.
복원에 의해 피쳐 형상을 측정하는 것과 함께, 공개 특허 출원 제 US2006066855A1 에 기술된 것처럼, 이러한 장치를 사용하여 회절 기초 오버레이가 측정될 수 있다. 회절 차수들의 암-시야 이미징을 사용하는 회절-기초 오버레이를 통해, 더 작은 타겟에 대한 오버레이 측정이 가능해진다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 웨이퍼 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 암시야 이미징 계측의 예는, 예를 들어 US2011102753A1 및 US20120044470A와 같은 다수의 공개된 특허 출원에서 발견될 수 있다. 복합 격자 타겟을 사용하여 하나의 이미지 내의 다수의 격자들이 측정될 수 있다. 공지된 산란계는 가시광 또는 near-IR 파 범위에 있는 광을 사용하는 경향이 있는데, 그러면 격자의 피치가 그 특성이 실제로 관심 대상인 실제 제품 구조체보다 훨씬 성겨야 한다. 이러한 제품 피쳐는 훨씬 더 짧은 파장을 가지는 심자외선(DUV) 또는 극자외(EUV) 방사선을 사용하여 규정될 수도 있다. 불행하게도, 이러한 파장은 일반적으로 계측을 위해서는 이용가능하거나 사용가능하지 않다.
반면에, 현대의 제품 구조체의 치수는 너무 작아서 광계측 기법으로는 이미징될 수 없다. 예를 들어 작은 피쳐에는 다수의 패터닝 프로세스, 및/또는 피치-복제에 의해 형성되는 것들이 있다. 그러므로, 대량 계측을 위해 사용되는 타겟은, 그 오버레이 오차 또는 임계 치수가 관심 대상 특성인 제품 보다 훨씬 큰 피쳐를 흔히 사용한다. 측정 결과는 실제 제품 구조체의 치수에 간접적으로만 관련되고, 계측 타겟이 리소그래피 장치 내의 광학 투영 하에서 동일한 왜곡을 겪지 않기 때문에, 및/또는 제조 프로세스의 다른 단계에서 상이하게 처리되기 때문에 부정확할 수 있다. 스캐닝 전자 현미경(SEM)이 이러한 현대의 제품 구조체를 직접적으로 해상할 수 있지만, SEM은 광학적 측정보다 훨씬 더 시간이 많이 걸린다. 더욱이, 전자는 두꺼운 프로세스 층을 통과할 수 없어서, 계측 애플리케이션을 위해서는 적합하지 않게 된다. 콘택 패드를 사용하여 전기적 특성을 측정하는 것과 같은 다른 기법도 알려져 있는데, 이것은 실제 제품 구조체의 간접적 증거만을 제공한다.
계측 중에 사용되는 방사선의 파장을 감소시킴으로써 (즉 "소프트 X-선" 파장 스펙트럼으로 이동시킴으로써), 방사선이 더 작은 구조체를 해상하고 구조체의 구조적 변동에 더 민감해지기 때문에 측정 성능이 개선된다. 그러나, 그러려면 계측 시스템의 스펙트럼 분해능도 대응하여 개선되어야 한다. 또한, 제품 구조체가 점점 많은 개수의 층을 포함하고 따라서 두께가 증가함과 함께, 제품 구조체의 복잡도도 증가되고 있다. 따라서, 계측 측정을 수행하기 위해서 요구되는 스펙트럼 분해능이 증가된다.
본 발명의 제 1 양태에서, 검사 장치에서 측정을 수행하는 방법으로서, 고 고조파 생성 방사선 소스에 의하여 제공되는 조명 방사선의 출력 방출 스펙트럼을 제어하도록, 상기 고 고조파 생성 방사선 소스의 적어도 하나의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 단계; 및 상기 조명 방사선으로 타겟 구조체를 조명하는 단계를 포함하는, 측정 방법이 제공된다.
본 발명은, 고 고조파 생성 방사선 소스를 포함하고, 제 1 양태의 방법을 수행하도록 동작가능한 검사 장치를 더 제공한다.
본 발명은, 검사 장치로서, 고 고조파 생성 방사선 소스를 포함하고, 상기 고 고조파 생성 방사선 소스는 구동 레이저 펄스를 방출하도록 동작가능한 구동 레이저 소스를 포함하며, 상기 구동 레이저 펄스의 하나 이상의 제어가능 특성은, 상기 고 고조파 생성 방사선 소스의 출력 방출 스펙트럼이 복수 개의 이산 고조파 피크를 포함하도록 구성되는, 검사 장치를 더 제공한다.
본 발명은 검사 장치로서, 고 고조파 생성 방사선 소스를 포함하고, 상기 고 고조파 생성 방사선 소스는, 상이한 중심 파장을 가지는 구동 레이저 펄스를 방출하도록 각각 동작가능한 복수 개의 구동 레이저 소스를 포함하는, 검사 장치를 더 제공한다.
본 발명은 고 고조파 생성 방사선 소스로서, 상이한 중심 파장을 가지는 구동 레이저 펄스를 방출하도록 각각 동작가능한 복수 개의 구동 레이저 소스를 포함하는, 고 고조파 생성 방사선 소스를 더 제공한다.
본 발명은 고 고조파 생성 방사선 소스로서, 구동 레이저 펄스를 방출하도록 동작가능한 구동 레이저 소스를 포함하며, 상기 구동 레이저 펄스의 하나 이상의 제어가능 특성은, 상기 고 고조파 생성 방사선 소스의 출력 방출 스펙트럼이 복수 개의 이산 고조파 피크를 포함하도록 구성되는, 고 고조파 생성 방사선 소스를 더 제공한다.
앞선 내용에서, "복수 개의 구동 레이저 소스"가, 여러 빔들 중 일부 또는 전부가 그들의 파장을 변경하기 위해 어떤 방식 (예를 들어, 주파수 변환기 요소에 의하여 주파수 변환됨)으로 조절되는, 복수 개의 개별 레이저 디바이스, 또는 다수의 빔으로 분할되는 출력을 가지는 단일(통상적으로는 더 많은) 레이저 디바이스를 포함할 수 있다는 것이 이해되어야 한다. 그러므로, 이러한 콘텍스트에서, 소스는 레이저 디바이스 자체 및/또는, 예를 들어 주파수 변환기 요소일 수 있다.
본 발명은 제 1 양태에 따른 방법에서 구성하는 단계를 구현하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램 제품을 더 제공한다.
본 발명의 다른 양태, 특징 및 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
본 발명의 실시예가 오직 예시를 통하여, 대응하는 참조 심벌들이 대응하는 부분을 표시하는 첨부된 개략도를 참조하여 이제 설명될 것이다.
도 1 은 반도체 디바이스용 생산 설비를 제조하는 다른 장치와 함께 리소그래피 장치를 도시한다;
도 2 는 (a) 본 발명의 제 2 실시예에 따른 계측 방법에서의 격자 타겟에 상대적인 입사광 및 반사광의 기하학적 구조; 및 (b)도 2 의 (a)의 방법을 수행하는, 계측 장치의 구성 요소를 개략적으로 도시한다;
도 3 은 본 발명의 일 실시예에 따른 방법을 수행하도록 적응된 검사 장치를 개략적으로 예시한다;
도 4 는 도 2 의 (b) 또는 도 3 의 장치에서 사용되는 방사선 소스의 구성을 개략적으로 도시한다;
도 5 는 본 발명의 일 실시예의 HHG 소스의 방출 스펙트럼을 나타내는, 세기(y-축) 대 에너지(x-축)의 그래프이다;
도 6 은 본 발명의 일 실시예의 HHG 소스의 레이저 구동 펄스를 나타내는, 세기(y-축) 대 시간(x-축)의 그래프이다;
도 7 은 세 개의 상이한 중심 구동 파장을 가지는 레이저에 의해 구동되는 HHG 소스의 방출 스펙트럼들을 나타내는, 세기(y-축) 대 에너지(x-축)의 그래프이다; 그리고
도 8 은 분산에 대한 파장의 효과를 예시하는, 40 nm의 피치를 가지는 격자 상의 수직 입사 방사선에 대한 제 1 차수 회절 각도(y-축) 대 파장(x-축)의 그래프이다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 대량의 리소그래피 제조 프로세스를 구현하는 산업 설비의 일부로서, 리소그래피 장치(LA)를 200 에서 도시한다. 제공된 예에서, 제조 프로세스는 반도체 웨이퍼와 같은 기판 상에 반도체 제품(집적 회로)을 제조하기 위해 적응된다. 상이한 타입의 기판을 이러한 프로세스를 변형하여 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 당업자는 이해할 것이다. 반도체 제품의 생산은 오늘날 상업적으로 매우 중요한 일 예로서만 사용된다.
리소그래피 장치(또는 간략히 "리소 툴(200)") 내에는 202 에 측정 스테이션(MEA)이 도시되고, 204 에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 206 에 도시된다. 이러한 예에서, 각각의 기판은 패턴이 적용되게 하기 위해 측정 스테이션 및 노광 스테이션에 진입한다. 광학적 리소그래피 장치에서, 컨디셔닝된 방사선 및 투영 시스템을 사용하여 패터닝 디바이스(MA)로부터 기판 상에 제품 패턴을 전사하기 위해서 예를 들어 투영 시스템이 사용된다. 이것은 패턴의 이미지를 방사선-감응 레지스트 재료의 층에 형성함으로써 이루어진다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 패터닝(MA) 디바이스는 마스크 또는 레티클일 수 있고, 이것은 패터닝 디바이스에 의해 투과되거나 반사된 방사선 빔에 패턴을 부여한다. 알려진 동작 모드는 스테핑 모드 및 스캐닝 모드를 포함한다. 잘 알려진 바와 같이, 투영 시스템은 기판에 대한 지지 및 위치설정 시스템 및 패터닝 디바이스와 다양한 방식으로 협동하여 원하는 패턴을 기판에 걸친 많은 타겟 부분에 적용시킬 수 있다. 프로그래밍가능한 패터닝 디바이스는 고정된 패턴을 가지는 레티클 대신에 사용될 수 있다. 예를 들어, 방사선은 심자외선(DUV) 또는 극자외(EUV) 파대역에 있는 전자기 방사선을 포함할 수 있다. 본 발명은 다른 타입의 리소그래피 프로세스, 예를 들어 전자 빔에 의한, 예를 들어 임프린트 리소그래피 및 다이렉트 라이팅(direct writing) 리소그래피에도 역시 적용가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어하여, 기판(W) 및 레티클(MA)을 수용하고 패터닝 동작을 구현한다. LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다.
패턴이 노광 스테이션(EXP)에서 기판에 적용되기 전에, 기판은 측정 스테이션(MEA)에서 처리되어 다양한 준비 단계들이 수행될 수 있게 한다. 준비 단계는 레벨 센서를 사용하여 기판의 표면 높이를 매핑하는 것과 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수도 있다. 공칭적으로, 정렬 마크는 규칙적인 그리드 패턴으로 배치된다. 그러나, 마크를 생성할 때 생기는 부정확성과 처리되는 동안 발생하는 기판의 변형 때문에, 마크들은 이상적인 그리드로부터 벗어나게 된다. 결과적으로, 이러한 장치가 제품 피쳐를 매우 높은 정확도로 올바른 위치에 인쇄하려면, 기판의 위치 및 배향을 측정하는 것에 추가하여, 실무상 정렬 센서는 기판 면적에 걸쳐 많은 마크의 위치를 자세하게 측정해야 한다. 이러한 장치는 두 개의 기판 테이블을 가지는 소위 이중 스테이지 타입일 수 있고, 각 테이블에는 제어 유닛(LACU)에 의해 제어되는 위치설정 시스템이 있다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다른 기판은 측정 스테이션(MEA)에 있는 다른 기판 테이블에 로딩될 수 있어서, 다양한 준비 단계들이 수행될 수 있다. 그러므로, 정렬 마크를 측정하는 것은 시간이 많이 걸리는 작업이고, 두 개의 기판 테이블을 제공하면 장치의 쓰루풋이 크게 증가하게 될 수 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 예를 들어, 리소그래피 장치(LA)는 두 개의 기판 테이블(WTa 및 WTb)과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 가지는, 소위 듀얼 스테이지 타입일 수 있다.
생산 설비 내에서, 장치(200)는, 이러한 장치(200)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 적용시키기 위한 코팅 장치(208)를 역시 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(200)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(210) 및 현상 장치(212)가 제공된다. 이러한 장치들 모두 사이에서, 기판 핸들링 시스템은 기판을 지지하고 이들을 장치의 일부에서 다른 부분으로 전달하는 것을 담당한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)(238)에 의해 제어되는 트랙 제어 유닛의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 장치 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다. 감독 제어 시스템(SCS)은 각각의 패터닝된 기판을 생성하기 위해 수행될 단계들의 정의를 상세히 제공하는 레시피 정보(R)를 수신한다.
리소셀 내에서 패턴이 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226 에 예시되는 것과 같은 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 처리 단계들이 구현된다. 예시를 위하여, 이러한 실시예에서 장치(222)는 에칭 스테이션이고, 및 장치(224)는 에칭후 어닐링 단계를 수행한다. 추가적인 물리적 및/또는 화학적 처리 단계들이 다른 장치(226 등)에 적용된다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 이식 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 요구될 수 있다. 실무상, 장치(226)는 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계를 나타낸다.
잘 알려진 바와 같이, 반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(230)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(226)를 떠나는 기판(232)은 동일한 리소 클러스터 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품일 수도 있다.
제품 구조체의 각각의 층은 상이한 세트의 프로세스 단계를 요구하고, 각각의 층에서 사용되는 장치(226)는 타입이 완전히 다를 수도 있다. 더 나아가, 장치(226)에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우에도, 큰 설비에서는 상이한 기판들에 단계 (226)를 수행하도록 병렬적으로 동작하는, 동일해 보이는 여러 머신들이 존재할 수도 있다. 이러한 머신들 사이에서 셋-업 또는 고장에 있어서 작은 차이가 발생한다는 것은, 다른 기판들이 다른 방식으로 영향받게 된다는 것을 의미할 수 있다. 심지어, 에칭(장치(222))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 더욱이, 실무적으로는, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
선행 및/또는 후속 프로세스는 전술된 것처럼 다른 리소그래피 장치에서 수행될 수 있고, 심지어 상이한 타입의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터가 매우 중요한 일부 층들은 디바이스 제작 프로세스 중에 덜 중요한 다른 층들 보다 더 진보된 리소그래피 툴에서 처리될 수 있다. 그러므로 일부 층들이 침지 타입 리소그래피 툴에서 노광될 수 있는 반면에 다른 층들은 '건식' 툴에서 노광된다. 일부 층들은 DUV 파장에서 동작하는 툴 안에서 노광될 수 있는 반면에, 다른 층들은 EUV 파장 방사선을 사용하여 노광된다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속 층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 계측이 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 다른 처리를 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가의 노광이 수행될 수 있다.
도 1 에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(240)도 역시 도시된다. 현대의 리소그래피 생산 설비 내에 있는 계측 장치의 공통적인 예는, 예를 들어 각도-분해 산란계 또는 분광식 산란계인데, 이것은 장치(222)에서의 에칭 이전에 220 에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 사용하면, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다는 것이 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(220)을 재처리할 기회가 있다. 역시 잘 알려진 바와 같이, 장치(240)로부터의 계측 결과(242)는, 시간에 따라 미세하게 조절하는 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(206)에 의해서, 패터닝 동작의 정확한 성능을 유지하여 제품이 사양에 벗어나게 제작되거나 재작업해야 하는 위험을 최소화시키기 위해 사용될 수 있다. 물론, 계측 장치(240) 및/또는 다른 계측 장치(미도시)는 처리된 기판(232, 234), 및 인입하는 기판(230)의 특성을 측정하기 위해 적용될 수 있다.
리소그래피 제조 기술의 각각의 세대(일반적으로 기술 "노드"라고 불림)는 CD와 같은 성능 파라미터에 대해 더 엄격한 사양을 가진다. 계측 시의 주된 어려움 중 하나는, 계측 타겟 크기가 계측 장치(240) 내에서 통상적으로 사용되는 타겟보다 더 작을 것이 소망된다는 것이다. 예를 들어, 본 발명의 목적은 5μm x 5μm 이하의 크기를 가지는 타겟을 사용하는 것이다. 이렇게 크기가 작으면, 타겟들이 제품 구조체들 사이에 위치되는(제품 영역들 사이의 스크라이브 레인 영역 내로 한정되는 대신에) 소위 "인-다이" 계측 또는 타겟들이 제품 구조체 자체인 "제품상(on product)" 계측에서 더 널리 사용될 수 있다. 제품상 CD 계측을 위해 현재 사용되는 유일한 계측 기법은 전자 현미경법(CD-SEM)이다. 이러한 공지된 기법은 장래 노드에 대해서는 제한되며, 구조체에 대해 매우 제한된 기하학적 정보만을 제공한다.
최소 구조체의 계측을 개선하는 하나의 접근법은 방사선의 더 짧은 파장, 예를 들어 극자외(EUV), 소프트 x-선 또는 심지어 하드 x-선 범위에 있는 파장을 사용하는 것이다. 예를 들어, 분광식 EUV 반사측정법을 포함하는 EUV 반사측정법이 장래의 기술적 노드를 위한 CD-계측 방법으로서 고려될 수 있다. 소각도 x-선 산란과 같은 X-선 산란 기법 역시 투과 모드(T-SAXS) 또는 그레이징 입사 모드(GI-SAXS)에서 고려될 수 있다. 이러한 콘텍스트에서의 EUV 계측법의 이론과 실무가 전술된 특허 출원 제 EP15160786 에 제공된다. 이러한 문헌에서 EUV 반사측정이 높은 민감도, 프로세스 변동에 대한 견실성 및 관심 파라미터에 대한 선택성이라는 이점을 제공한다는 것이 증명될 것이다.
본 발명의 목적을 위하여, 하드 x-선은 약 0.1 nm보다 적은, 예를 들어 0.01 내지 0.1 nm의 범위를 포함하는 범위를 가진 광선이라고 간주된다. 소프트-x-선 또는 EUV란 대략적으로 0.1 nm 내지 125 nm 범위의 파장을 가리킨다. 조사 대상인 구조체의 치수에 맞도록 이러한 범위의 상이한 서브-범위가 선택될 수 있다. 예를 들어, 현재의 리소그래피 기법의 한계에 있는 반도체 구조체의 경우, 0.1 내지 20 nm, 또는 0.1 내지 10 nm, 또는 1 내지 5 nm의 범위에 있는 파장이 고려될 수 있다. 구조체의 크기뿐만 아니라 그들의 재료 특성도 검사에서 사용할 파장을 선택하는 데에 영향을 줄 수 있다. 예를 들어, 반사측정을 수행하기 위해서, 적어도 구조체의 배경 재료는 사용되는 파장에서 양호한 반사 강도를 요구한다. 매립된 피쳐를 조사하기 위해서, 파장은 오버라잉 재료를 통한 충분한 침투를 얻도록 선택돼야 한다.
EUV 계측은 리소 셀 내에서 처리되는 레지스트 재료 내의 구조체를 측정하고(전사후검사(After Develop Inspection; ADI)) 및/또는 구조체가 더 견고한 재료 내에 형성된 이후에 구조체를 측정하기 위해(에칭후 검사(After Etch Inspection; AEI)) 사용될 수 있다. 예를 들어, 기판은 전사 장치(212), 에칭 장치(222), 어닐링 장치(224) 및/또는 다른 장치(226)에 의해 처리된 이후에 EUV 계측 장치(244)를 사용하여 검사될 수 있다.
대량 제조 애플리케이션을 위해서는, 각각의 측정에 대한 획득 시간을 감소시키려면 고휘도 방사선 소스가 바람직할 것이다. 현재의 콤팩트한 x-선 소스의 파워가 제한된다는 것은, 공지된 T-SAXS 기법이, 특히 작은 크기 계측 타겟에 대해서 매우 낮은 쓰루풋을 겪게 된다는 것을 의미한다. 이것은 기판 상의 소타겟 영역을 조명하기 위해서 매우 작은 스폿 크기를 얻으려고 하는 경우에 특히 그러하다. 알려진 EUV 소스도 역시 휘도가 제한되고, 파장의 선택에 있어서도 제한된다. 타겟 구조체 내의 콘트라스트를 최대화하고 상이한 재료의 구조체들을 구별하기 위하여, 넓은 범위에 걸친 파장의 구조체가 바람직할 것이다.
도 1 에 도시된 제조 시스템은 광학 산란계(240)에 추가하여 하나 이상의 EUV 계측 장치(244)를 포함한다. 이러한 EUV 계측 장치는 품질을 더욱 제어하고 리소그래피 제조 시스템 전체로서의 성능을 개선하도록 감독 제어 시스템(SCS)에 의해 사용될 수 있는 추가적 계측 결과(246)를 제공한다. 광학적 산란계(240)와 유사하게, 계측 장치(244)는 제조 단계의 상이한 스테이지, 예컨대 전술된 ADI 및 AEI에 적용될 수 있다.
EUV 반사측정법
도 2 는 (a) 계측 방법 및 (b)계측 장치(300)를 도시한다. 이러한 장치는 도 1 의 제조 시스템에서 처리된 기판(W)의 파라미터를 측정하기 위한 EUV 계측 장치(244)의 일 예로서 사용될 수 있다. 장치는 EUV가 아닌 파대역에서 사용될 수 있다,
도 2 의 (a)에서, 타겟(T)은 구형 기준 프레임의 원점에 1-차원의 격자 구조체를 포함하는 것으로 개략적으로 표현된다. 축들(X, Y 및 Z)이 타겟에 대해 규정된다. (물론, 이론 상 임의의 좌표계가 규정될 수 있고, 각각의 컴포넌트는 도시된 것에 대해 규정될 수 있는 자기 자신의 로컬 기준 프레임을 가질 수 있다.) 타겟 구조체의 주기 방향(D)은 X 축과 정렬된다. 도면은 완전한 투시도가 아니고, 개략적인 예시일 뿐이다. X-Y 평면은 타겟 및 기판의 평면이고, 명확화를 위하여 관찰자에 대해 기울어진 것으로 원(302)의 비스듬한 도면에 의해 표현되어 도시된다. Z 방향은 기판에 수직인 방향(N)을 규정한다. 도 2 의 (a)에서, 입사 방사선 중 하나의 광선은 304 로 명명되고 그레이징 입사각 α를 가진다. 이러한 예에서, 입사 광선(304)(및 방사선 스폿(S))을 형성하는 모든 입사 광선)은 X-Z 평면에 평행인 평면, 즉 방향(D) 및 N을 규정하고 원(306)으로 표현되는 평면에 실질적으로 놓여 있다. 타겟(T)의 주기적 구조체에 의해 산란되지 않는 반사된 광선(308)(즉, 경면 반사의 광선)은 다이어그램에서 타겟의 우측을 향해 고도각 α로 나오게 된다.
다른 광선(310)은 타겟의 회절 특성에 따라서 경면 반사와 상이한 각도에서 산란된다. 이러한 광선과 경면 광선 사이의 분리각은 방사선의 파장과 타겟의 피쳐의 스페이싱 사이의 파장에 따라 달라질 것이다. 도면은 반드시 척도에 맞게 도시되는 것은 아니다. 예를 들어, 검출기(312)는 도시된 것보다 타겟에 더 가깝거나 더 멀 수 있고, 타겟 격자는 검출기에 비해서 작을 가능성이 높을 것이다; 광선(310)의 회절각은 표시된 것보다 훨씬 더 클 수 있다.
반사측정을 수행하기 위해, 광선(308) 및/또는 산란된 광선(310)이 광검출기(312)에 의해 캡쳐된다. 검출기(312)는, 통상적으로 검출기 요소들의 어레이인 위치-감응 EUV 검출기를 포함한다. 어레이는 선형 어레이일 수 있고, 하지만 실무상 엘리먼트(픽셀)의 2-차원의 어레이가 제공될 수도 있다. 검출기(313)는 예를 들어 CCD(전하 결합 디바이스) 이미지 센서 또는 CMOS 이미지 센서일 수 있다. 이러한 검출기는 반사된 방사선을 전기 신호로 그리고 종국에는 분석하기 위한 디지털 데이터로 변환하기 위해 사용된다. 이론 상 일부 타입의 측정을 위해서는 단일 픽셀 검출기로 충분할 수 있다. 2-차원의 이미지 검출기를 가진다면 더 많은 동작 유연성이 가능해질 것이다.
하나 이상의 파장과 입사각 α의 하나 이상의 값에 대해 획득된 측정된 스펙트럼으로부터, 타겟 구조체(T)의 특성의 측정이 상세히 후술되는 방식으로 계산될 수 있다.
EUV 반사측정 장치
도 2 의 (b)로 돌아가면, 기판(W) 상에 형성된 계측 타겟(T)의 특성을 도 2 의 (a)의 방법을 사용하여 측정하기 위해서 계측 장치(300)가 제공된다. 다양한 하드웨어 컴포넌트들은 개략적으로 표현된다. 이러한 컴포넌트의 실용적 구현형태는 현존 컴포넌트 및 특수 설계된 컴포넌트의 혼합물을 잘 알려진 디자인 원리에 따라 적용시키는 당업자에 의해 수행될 수 있다. 설명될 다른 컴포넌트에 대한 원하는 위치 및 배향에서 기판을 홀딩하기 위해 지지체(자세하게 도시되지 않음)가 제공된다. 방사선 소스(330)는 방사선을 조명 시스템(332)으로 제공한다. 조명 시스템(332)은 타겟(T) 상에 포커싱된 조사 스폿을 형성하는(조사 빔을 형성하는 다른 빔들과 함께) 광선(304)에 의해 표현되는 EUV 방사선의 빔을 제공한다. 검출기(312) 및 임의의 보조 광학 컴포넌트는 편리하게 검출 시스템(333)이라고 간주될 수 있다.
이러한 예에서 기판(W)은 위치설정 시스템(334)을 가지는 가동 지지체 상에 탑재되어, 광선(304)의 입사각 α가 조절될 수 있게 한다. 이러한 예에서, 기판(W)을 틸팅하여 입사각을 변경하는 동안 소스(330) 및 조명 시스템(332)은 정지된 상태를 유지하는 것은 오직 편의에 따라 선택된 것이다. 반사된 광선(308)을 잡아내기 위해서, 검출 시스템(333)에는 추가적 가동 지지체(336)가 제공되어, 이것이 정지된 조명 시스템에 대해 각도 2α를 통해, 또는 기판에 대해 각도 α를 통해 이동하게 한다. 반사측정의 그레이징 입사 레짐(regime)에서, 입사각 α를 도시된 바와 같이 기판 평면에 대해 정의하는 것이 편리하다. 물론, 이것은 입사 광선(304)의 입사 방향과 기판에 수직인 방향(N) 사이의 각도라고도 마찬가지로 정의될 수 있다.
다른 실시예들에서, 입사각은, 예를 들어 원추형 마운트를 사용함으로써 둘 이상의 차원에서 변동될 수 있다. 이러한 타입의 장치와 그 잠재적인 이점은 전술된 유럽 특허 출원 번호 제 15160786 에 설명된다. 이러한 출원의 내용은 그 전체가 본 명세서에 원용되어 통합된다.
각각의 타겟(T)을 방사선의 스폿(S)이 위치되는 위치로 이동시키기 위해서 도시되지 않은 추가적 액츄에이터가 제공된다. (다르게 보면, 스폿을 타겟이 위치된 위치로 이동시키는 것과 같음) 실제 응용예에서, 단일 기판 상에서 측정될 개개의 타겟 또는 타겟 위치의 연속이 존재할 수 있고, 기판들의 연속도 존재할 수 있다. 이론상, 조명 시스템 및 검출기가 정지된 상태를 유지하는 동안 기판 및 타겟이 이동되고 재배향되는지, 조명 시스템 및 검출기가 이동되는 동안 기판이 정지된 상태를 유지하는지, 또는 상대 운동을 하는 상이한 컴포넌트들이 이러한 기법을 조합해서 얻어지는지는 중요하지 않다. 본 발명은 이러한 변형예 모두를 망라한다.
도 2 의 (a)를 참조하여 전술된 바와 같이, 타겟(T) 및 기판(W)에 의해 반사된 방사선은, 검출기(312)에 충돌하기 전에 상이한 파장의 광선들의 스펙트럼(310) 내로 분할된다. 일반적으로 레퍼런스로서 사용하기 위하여 입사 빔의 세기를 측정하기 위해 제 2 검출기(338)도 역시 제공될 것이다. 프로세서(340)는 검출기(312 및 338)로부터 신호를 수신한다. 하나 이상의 입사각에 대한 결과적으로 얻어지는 반사 데이터는 타겟의 특성, 예를 들어 CD 또는 오버레이의 측정을 계산하기 위해서 프로세서 내에서 사용된다.
도 3 의(a)는 소위 암시야 이미징 계측을 구현하는 검사 장치의 주요 요소들을, 특히 오버레이 계측의 콘텍스트에서 개략적으로 도시한다. 이러한 장치는 독립형 디바이스이거나, 예를 들어 측정 스테이션(202)에 있는 리소그래피 장치(200) 또는 계측 스테이션(240, 244)에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 타겟 격자 구조체(T) 및 회절된 광선들이 도 3 의 (b)에 좀 더 상세히 표시된다.
도입부에 인용된 종래의 출원들에서 기술되어 있는 바와 같이, 도 3 의 (a)의 암시야-이미징 장치는 분광 산란계 대신에 또는 추가하여 사용될 수 있는 다목적 각도-분해(angle-resolved) 산란계의 일부일 수 있다. 이러한 타입의 검사 장치에서, 방사원(11)에 의해 방출된 방사선은 조명 시스템(12)에 의해 컨디셔닝된다. 예를 들어, 조명 시스템(12)은 시준 렌즈 시스템, 및 애퍼쳐 디바이스를 포함할 수 있다. 컨디셔닝된 방사선은 조명 경로를 따라가는데, 여기에서 부분 반사면(15)에 의해 반사되고 현미경 대물 렌즈(16)를 통해 기판(W) 상의 스폿(S) 상에 집광된다. 계측 타겟(T)은 기판(W) 상에 형성될 수 있다. 렌즈(16)는 높은 개구수(NA), 바람직하게는 적어도 0.9 및 더 바람직하게는 적어도 0.95 의 개구수를 가진다. 원할 경우 1 이 넘는 개구수를 얻기 위해서 침지 유체가 사용될 수 있다. 다목적 산란계는 두 개 이상의 측정 브랜치를 가질 수 있다. 또한, 추가적인 광학 시스템 및 브랜치가, 예를 들어 세기 정규화, 캡쳐 타겟의 거친 이미징, 포커싱 및 기타 등등을 위한 레퍼런스 방사선을 집광하도록, 실제 장치 내에 포함될 것이다. 이들의 세부사항은 전술된 이전의 공개 문헌에서 발견될 수 있다. 본 발명의 목적을 달성하기 위하여, 암시야 이미징 계측을 위한 관심 대상인 측정 브랜치만이 상세히 예시되고 설명된다.
암시야 이미징을 위한 집광 경로에서, 이미징 광학 시스템(21)은 기판(W) 상의 타겟의 이미지를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 애퍼쳐 스톱(20)이 집광 경로에 있는 평면 P'에 제공된다. 평면 P'은 대물 렌즈(16)의 퓨필 평면 P(미도시)에 공액인 평면이다. 애퍼쳐 스톱(20)은 퓨필 스톱이라고도 불릴 수 있다. 조명 애퍼쳐가 다른 형태를 가질 수 있는 것처럼, 애퍼쳐 스톱(20)도 다른 형태를 가질 수 있다. 렌즈(16)의 유효 애퍼쳐와 함께, 애퍼쳐 스톱(20)은 산란 방사선의 어느 부분이 센서(23) 상에 이미지를 형성하기 위해 사용되는지를 결정한다. 통상적으로, 애퍼쳐 스톱(20)은 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 일차 빔에 의해서만 형성되게 하는 기능을 한다. 양자 모두의 1차 빔이 결합되어 이미지를 형성하는 예에서, 이것은 암시야 현미경 검사와 균등한 소위 암시야 이미지일 것이다. 그러나, 본 발명에서는, 아래에서 설명되는 바와 같이 1차 빔 중 하나만이 한 번에 이미징된다. 센서(23)에 의하여 캡쳐된 이미지는 이미지 프로세서 및 제어기(40)로 출력되고, 이들의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. 본 발명의 목적을 달성하기 위하여, 타겟 구조체의 비대칭의 측정이 수행된다. 비대칭 측정은 타겟 구조체에 대한 지식과 결합되어 이들을 형성하기 위해 사용되는 리소그래피 프로세스의 성능 파라미터의 측정치를 획득할 수 있다. 이러한 방식으로 측정될 수 있는 성능 파라미터는, 예를 들어 오버레이, 초점 및 선량을 포함한다.
계측 타겟(T)이 기판(W) 상에 제공되는 경우, 타겟은 1-D 격자일 수도 있으며, 이 1-D 격자는 현상 후에 바(bar)가 고상의 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟은 2-D 격자일 수도 있으며, 이 2-D 격자는 현상 후에 바(bar)가 고상 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 바, 필러 또는 비아는 이와 달리 기판 내로 에칭될 수도 있다. 이러한 격자 각각은 그 특성을 검사 장치를 사용하여 조사할 수 있는 타겟 구조체의 일 예이다.
조명 시스템(12)의 다양한 컴포넌트들은 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조절가능할 수 있다. 특정 레시피의 특징으로서 파장(컬러) 및 편광을 선택하는 것에 추가하여, 조명 시스템(12)은 상이한 조명 프로파일들을 구현하도록 조절될 수 있다. 평면 P"이 대물 렌즈(16)의 퓨필 평면 P 및 검출기(19)의 평면과 공액이기 때문에, 면 P" 내의 조명 프로파일은 스폿(S)에서 기판(W) 상에 입사하는 광의 각도 분포를 규정한다. 상이한 조명 프로파일을 구현하기 위하여, 애퍼쳐 디바이스가 조명 경로에 제공될 수 있다. 애퍼쳐 디바이스는 가동 슬라이드 또는 휠 상에 탑재된 그 외의 애퍼쳐를 포함할 수 있다. 또는, 이것은 프로그래밍가능한 공간 광 변조기를 포함할 수 있다. 추가적인 대안으로서, 광섬유가 평면 P"의 상이한 위치에 배치되고, 그들의 개별 위치에 광을 전달하거나 전달하지 않도록 선택적으로 사용될 수 있다. 이러한 변형예는 모두 전술된 문서에서 논의되고 예시된다.
제 1 예시적인 조명 모드에서, 광선(30a)은, 입사각이 'I'에 표시된 바와 같고, 타겟(T)에 의해 반사된 0차 광선이 '0'으로 표시되도록 제공된다(광축 'O'와 혼동하면 안됨). 제 2 조명 모드에서, 광선(30b)이 제공될 수 있고, 이러한 경우에 입사 및 반사각은 스왑될 것이다. 이러한 조명 모드 양자 모두는 오프-축 조명 모드라고 이해할 것이다. 그 외의 많은 조명 모드들이 그 외의 목적들에 대해서 구현될 수 있다.
도 3 의(b)에 상세히 도시된 바와 같이, 타겟 구조체의 일 예로서의 격자 타겟(T)은 대물 렌즈(16)의 광축(O)에 직교하는 상태로 기판(W)에 배치된다. 오프-축 조명 프로파일의 경우, 축(O)에서 벗어난 각도로부터 격자(T)에 충돌하는 조명의 광선(I)은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟 격자의 경우에, 이러한 광선들은 계측 타겟 격자(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 조명 광선(30a)의 빔이 유한한 폭(광의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사 광선(I)은 사실상 각도의 일정한 범위를 차지할 것이고, 회절된 광선 0 및 +1/-1 은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1 은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다.
도 3 의(a)를 다시 참조하면, 광선(30a)이 있는 제 1 조명 모드에서, 타겟 격자로부터의 +1차 회절된 광선은 대물 렌즈(16)에 진입하고, 센서(23)에 기록되는 이미지에 기여할 것이다. 제 2 조명 모드가 사용되면, 광선(30b)은 광선(30b)에 반대인 각도로 입사하고, 따라서 -1차 회절된 광선이 대물 렌즈에 진입하고 이미지에 기여한다. 오프-축 조명을 사용하는 경우 애퍼쳐 스톱(20)이 0차 방사선을 차단한다. 종래의 문헌들에서 설명된 바와 같이, 조명 모드는 X 및 Y 방향에서 오프-축 조명으로 규정될 수 있다.
이러한 상이한 조명 모드들의 타겟 격자의 이미지들을 비교함으로써, 비대칭 측정치가 획득될 수 있다. 또는, 비대칭 측정치는 동일한 조명 모드를 유지하지만 타겟을 회전시킴으로써 얻어질 수 있다. 오프-축 조명이 도시되지만, 그 대신에 타겟의 온-축 조명이 사용되고 변경될 수 있으며, 회절된 광의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 오프-축 애퍼쳐(20)가 사용될 수 있다. 추가적인 예에서, +1 및 -1차 광선을 센서(23) 상의 상이한 위치로 우회시켜서, 두 개의 순차적인 이미지 캡쳐 단계들을 수행할 필요가 없이 이들이 검출되고 비교될 수 있게 하는 프리즘이 애퍼쳐 스톱(20) 대신에 사용된다. 이러한 기법은 공개된 특허 출원 제 US2011102753A1 에서 개시되는데, 이러한 문서의 내용은 그 원용되어 본원에 통합된다. 2차, 3차 및 더 고차인 빔(도 3 에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다. 추가적인 변형예로서, 오프-축 조명 모드는 일정하게 유지되는 반면에, 타겟 자체가 대물 렌즈(16) 아래에서 180 도 회전되어 반대 회절 차수들을 사용하여 이미지를 캡쳐한다.
일부 산란측정 기법은 통상적으로 가시 파장을 가지는 방사선을 사용하여 수행된다. 이를 고려하여, 산란측정 타겟 (또는 적어도 더 높은 차수의 회절 측정이 수행되는 타겟)은 기판 상의 제품 구조체보다 큰 피치를 가진다. 일 예로서, 산란측정 타겟은 마이크론 (μm) 단위로 측정되는 타겟 격자 피치를 가질 수 있는 반면에, 동일한 기판 상의 제품 구조체는 나노미터(nm) 단위로 측정되는 피치를 가질 수 있다.
이렇게 피치가 달라지면, 측정된 오버레이와 제품 구조체 상의 실제 오버레이 사이에 오프셋이 생기게 된다. 오프셋은 적어도 부분적으로 리소그래피 장치 내에서의 광학 투영 왜곡 및/또는 제조 프로세스의 다른 단계에서의 상이한 처리에 기인한다. 현재로서는, 이러한 오프셋은 전체 측정된 오버레이에 대한 큰 기여분을 포함한다. 그러므로, 이러한 오프셋을 감소시키거나 제거하면 전체 오버레이 성능이 개선될 것이다.
제품 구조체의 피치에 대응하는 피치를 가지는 구조체에 회절-기초 측정을 수행하기 위해서는, 가시 광보다 짧은 파장을 가지는 방사선을 사용할 필요가 있다. 그러나, 현재 제품 구조체의 많은 층에서 사용되는 다결정 실리콘 또는 비정질 탄소와 같은 여러 재료는 자외 방사선을 흡수한다. 그러나, "소프트 X-선" 스펙트럼(약 2 nm-40 nm) 내의 방사선에 대한 흡수 손실이 자외 방사선에 대한 경우보다 훨씬 낮다는 것이 발견되었다. 물론, 제품 구조체의 특정한 흡수는 그러한 층에서 사용되는 특정한 재료에 따라 달라진다는 것에 주의해야 한다. 특정 구조체에서 사용되는 재료의 특성에 대한 지식이 주어지면, 사용되는 방사선 파장을 흡수 손실을 최소화하도록 선택하는 것이 가능하다.
예를 들어 결정 오버레이 오차를 결정하기 위하여 회절-기초 측정의 정확도를 최대화하려면, 검출기에 도달하는 방사선의 특성을 최적화하는 것이 필요하다. 산란된 방사선의 특성은 사용되는 방사선의 특성 및 측정 대상인 구조체의 특성에 따라 달라진다. 산란된 방사선의 품질을 기술하기 위하여 사용될 수 있는 하나의 파라미터는 소위 "스택 감도(stack sensitivity)"이다. 이러한 파라미터는 하부 타겟 격자에 상대적인 상부 타겟 격자 천이에 의해 초래되는 측정된 비대칭의 세기를 기술한다. "스택 감도"가 방사선의 파장 및 타겟 구조체의 두께에 의존하여 주기적으로 변한다는 것이 발견되었다. 적층 두께 T에 대한 분해능을 결정하는 이러한 변동의 주기
Figure pct00001
는 다음과 같이 기술될 수 있다:
Figure pct00002
여기에서 λ는 방사선의 파장이고, T는 측정되는 구조체의 광학적 두께이다. 제품 구조체의 예시적인 광학적 두께는 400 nm일 수 있고, 예시적인 방사선 파장은
Figure pct00003
nm일 수 있다. 이러한 예에서, "스택 감도" 변동의 주기
Figure pct00004
Figure pct00005
nm이다.
검출기에서 측정된 방사선을 최적화하기 위하여, 검사 장치는 적층 감도의 주기적 변동
Figure pct00006
의 크기보다 양호한 스펙트럼 분해능을 가질 필요가 있다. 구체적으로 설명하면, 적층 감도의 주기적 변동을 완전하게 해상하려면, 검사 장치의 요구된 스펙트럼 분해능
Figure pct00007
은 변동 주기
Figure pct00008
의 분해능보다 적어도 두 배가 되어야 한다. 그러므로, 이러한 예에서, 검사 장치에 대하여 요구되는 스펙트럼 분해능
Figure pct00009
은 약
Figure pct00010
nm일 수 있다.
도 2 의 (b) 또는 도 3 에 도시된 것과 같은 검사 장치의 스펙트럼 분해능은 광학 시스템의 특성 및 타겟 구조체의 특성에 의하여 결정된다. 타겟 크기 제약 때문에, 통상적인 검사 장치의 스폿 직경은 약 2 μm로 한정된다. 조명 방사선이 가우시안 빔이라고 가정하면, 빔 웨이스트 직경 D와 조명 방사선의 개구수 NA (하프 각도) 사이에 다음과 같은 관계가 유도될 수 있다:
Figure pct00011
Figure pct00012
nm의 파장을 가지는 조명 방사선의 경우, 개구수는
Figure pct00013
mrad로 유도될 수 있다.
현재, 제품 구조체의 피치는 약
Figure pct00014
nm이다. 이러한 피치로써 타겟 구조체를 측정하는 회절-기초 검사 장치 (예를 들어 산란계)의 스펙트럼 분해능(즉, 격자 분해능)
Figure pct00015
(λ<<피치 크기라고 가정함)은 다음과 같이 유도될 수 있다:
Figure pct00016
격자 분해능
Figure pct00017
는 0.1 nm의 요구되는 스펙트럼 분해능
Figure pct00018
보다 크다. 달리 표현하면, 적층 감도의 주기적 변동을 적절하게 해상하는 것이 불가능하다. 개구수의 크기를 감소시킴으로써 격자 분해능
Figure pct00019
를 개선하는 것이 가능하다. 그러나, 그러려면 타겟 크기가 증가되어야 할 것이다. 이것은 NA가 감소되면 스폿 직경이 더 커질 것이기 때문이다. 위에서 논의된 바와 같이, 타겟은 "언더필"되어야 한다(즉 스폿 직경이 타겟의 크기보다 작아야 한다). 스폿 직경이 증가된다면, 따라서 타겟의 크기도 비례하여 증가되어야 한다. 더 큰 타겟은 기판의 표면 상에서 더 많은 공간을 차지하고, 이것은 제품당 제작비를 증가시키기 때문에 생산 환경에 있어서 바람직하지 않다. 후속하는 설명에서, 검사 장치의 스펙트럼 분해능을 개선시키는 방법 및 장치가 설명될 것이다.
HHG(High Harmonic Generation) 소스의 휘도가 높기 때문에, 이것은 제품-분해능 피치의 측정을 위해서 요구되는 파장을 생성하기에 바람직하다. 이러한 HHG 소스는 높은 광자 플럭스에서 회절 기초 오버레이(diffraction based overlay; DBO) 타겟 상에 작은 집속된 스폿이 생길 수 있게 한다. 그러나, HHG의 출력은 단일 스펙트럼 라인이 아니다; 그 대신에 이것은 이산 고조파 차수를 보유하거나 또는 소프트 x-선 파장의 초-연속체(super-continuum 일 수 있다. 이러한 DBO 기법의 정확도는 양호한 스펙트럼적으로 해상된 측정에 따라 달라지고, 즉 Δλ g ≤Δλ r <Δλ s 이다. 단색화장치(monochromator)가 사용될 수 있지만, 이것은 소프트 x-선 도메인에서 매우 손실이 크다. 더욱이, 이것은 크기가 커지고 측정 셋-업의 유연성을 제한할 것이다.
도 4 는 예를 들어 고 고조파 생성 (HHG) 기법에 기초한 EUV 방사선 생성기를 포함하는 소스(402)를 도시한다. 방사선 소스의 주된 구성 요소는 펌프 레이저(420)와 HHG 가스 셀(422)이다. 가스 서플라이(424)는 적합한 가스를 가스 셀로 공급한다. 펌프 레이저는 예를 들어 Ti:Saph 기초 레이저일 수 있고, 800 nm의 파장을 가지고서 수 kHz의 반복률로 서브-피코초 범위 내의 펄스를 생성한다. 또는 펌프 레이저는, 필요에 따라 수 메가헤르쯔에 달하는 펄스 반복률을 가지는, 광 증폭기를 포함하는 섬유-기초 레이저일 수도 있다. 통상적인 펄스 지속기간은 서브-피코초 범위에 있을 수 있다. 파장은 예를 들어 1 μm의 영역에 있을 수 있다. 레이저 펄스는 방사선의 제 1 빔(428)으로서 HHG 가스 셀(422)로 전달되는데, 여기에서 방사선의 일부가 더 높은 주파수로 변환된다. 출력 방사선 빔(430)은 요구되는 EUV 파장 또는 파장들을 가지는 간섭성 방사선을 포함한다. 또는, 하나 이상의 필터링 디바이스(432)가 제공될 수도 있다. 예를 들어, 알루미늄(Al)의 박막과 같은 필터는 기본 IR 방사선이 검사 장치 내로 더 들어가는 것을 막는 역할을 할 수 있다. 요구되는 EUV 방사선이 공기 중에서 진행할 때 흡수된다는 것에 유념하면, 방사선 경로 중 일부 또는 전부는 진공 환경 내에 보유될 수 있다. 방사선 소스(402) 및 조명 광학기(404)의 다양한 컴포넌트들은 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조절가능할 수 있다. 예를 들어, 상이한 파장 및/또는 편광이 선택가능해질 수 있다.
스펙트럼 분해능을 최적화하고 및/또는 특정 파장에서의 출력을 최대화하도록 HHG 방출 스펙트럼을 구성하는 것이 제안된다. 제 1 실시예에서, 협대역폭 고조파 피크를 포함하는 HHG 방출 스펙트럼을 획득하도록 구동 레이저 펄스의 HHG의 하나 이상의 제어가능 특성을 구성하는 것이 제안되는데, 여기에서 고조파 피크 중 하나 이상은 전술된 스펙트럼 분해능 요구 사항을 만족시키는 대역폭을 가진다. 이와 같이, 이러한 대역폭 (예를 들어, 측정된 FWHM(full-width-half-maximum)과 같은 대역폭)은 0.2nm 미만, 0.15nm 미만 또는 0.12nm 미만일 수 있다. 일 실시예에서, 이러한 대역폭은 0.1nm의 영역에 속할 수 있다. 구동 펄스는 또한, 수학식 3 에 의해 기술된 바와 같이 작은 NA 및 격자 피치 요건에 기인한 스펙트럼 블러를 극복하도록 인접한 고조파 피크의 분리가 충분히 커지게 하도록 구성될 수 있다.
도 5 는 y-축의 세기 대 x-축의 에너지 (고조파 차수)의 그래프로 개략적인 HHG 방출 스펙트럼(500)을 보여준다. 단일 고조파(510)의 대역폭(도 5 에서 a로 명명됨)은 일반적으로 구동 레이저의 스펙트럼 대역폭에 관련된다. 이러한 구동 레이저의 스펙트럼 대역폭이 작아질수록(즉, 레이저 구동 펄스가 시간적으로 더 길어질수록), 각각의 고조파 피크는 더 좁아질 것이다. 이것은, 통상적인 구동 레이저 필드가 다중-사이클 발진을 포함하고, 각각의 사이클이 고조파 발생파(harmonic generation)를 생성할 수 있기 때문이다. 최종 HHG 방출 스펙트럼은 모든 생성파들의 간섭성 중첩이다. 더 많은 사이클이 평균에 가까워질수록, 고조파는 더 첨예해질 것이다.
도 6 은 레이저 구동 펄스(600)를 y-축의 세기(a. u.) 대 x-축의 시간(fs)의 그래프로 보여준다. 레이저 구동 펄스(600) 내에는, 방출 스펙트럼(500)의 컷-오프 영역에서 HHG를 생성할 수 있는 상대적으로 적은 사이클 (즉, 사각형(610) 내의 사이클들)이 존재한다(상대적으로 높은 광자 에너지를 가지는 차수, 예를 들어 그러한 71 차 내지 81 차 사이). 그러므로 이러한 고조파에 대한 대역폭은 평균 세기가 낮기 때문에 상대적으로 넓다. 그러나, 상대적으로 낮은 광자 에너지가 있는 HHG 스펙트럼(500)의 평탄(plateau) 영역 내의 고조파는, 많은 수의 사이클 (즉, 사각형(620) 내의 사이클들)에 의해서 생성되고, 그 결과 일반적으로 매우 첨예한 스펙트럼 선폭을 가진다.
그러므로, 상대적으로 긴 레이저 구동 펄스를 사용하고 HHG 스펙트럼(500)의 평탄 영역 내의 고조파를 선택함으로써, 첨예한 고조파 피크 (및 그러므로 높은 스펙트럼 분해능)를 얻는 것이 제안된다. 이러한 콘텍스트에서 "선택한다(selecting)"는 것은 생성된 고조파를 특정한 측정을 위해서 사용한다는 것을 포함할 수 있다. 이것은, 선택된 고조파로부터의 요구된 회절 차수가 검출기에 닿게 되고 이러한 고조파로부터 측정이 이뤄지도록 시스템을 구성하는 것을 포함할 수 있다. 그러나, 레이저 구동 펄스 지속기간에는 실제 한계가 있다. 터널 이온화 영역(tunnel ionization regime)에 진입하려면 피크 세기가 충분히 클 필요가 있다. 더욱이, 펄스의 지속기간이 너무 커지면, 바닥 상태의 이온화 결핍(ionization depletion)이 생겨서 HHG를 위한 전자가 남지 않게 된다. 그러므로, 레이저 구동 펄스는 10 개 내지 30 개의 사이클 (따라서 약 25 내지 75fs의 지속기간) 또는 15 개 내지 30 개의 사이클 (약 37 내지 75fs의 지속기간)을 포함하는 것이 제안된다. 더 특정한 실시예에서, 레이저 구동 펄스는 15 개 내지 25 개의 사이클 (약 37 내지 63fs의 지속기간), 또는 17 개 내지 22 개의 사이클 (약 43 내지 55fs의 지속기간), 또는 19 개 또는 20 개의 사이클의 범위(약 50fs의 지속기간)를 포함할 수 있다.
인접한 고조파 차수들 사이의 스펙트럼 간격(도 5 에서 b로 표시됨)
Figure pct00020
는 다음과 같이 주어진다:
Figure pct00021
여기에서
Figure pct00022
는 레이저 구동 펄스의 파장이고, λ는 고조파 N (기수 고조파만이 존재함)에 대응하는 EUV 파장이다. 수학식 1 의 변동
Figure pct00023
주기
Figure pct00024
와 유사하게, 이러한 스펙트럼 간격
Figure pct00025
Figure pct00026
에 비례한다는 것에 주의한다.
레이저 구동 펄스의 제어가능한 다른 특성은 그 중심 파장이다. HHG 방출 스펙트럼의 스펙트럼 위치는 이러한 레이저 구동 펄스 중심 파장에 따라 달라지고, 따라서 특정 고조파의 위치는 레이저 구동 펄스 중심 파장의 적절한 튜닝에 의하여 스펙트럼적으로 튜닝될 수 있다. 측정 감도가 측정 방사선 파장의 함수이기 때문에, 이것이 측정 감도를 최적화하기 위하여 이용될 수 있다. 일 실시예에서, 이것은, 선택된 고조파(예를 들어, 방출 스펙트럼의 평탄 영역에 있는 고조파)가 소망되는 파장, 예를 들어 어떠한 측정에 대한 최대 감도의 파장에 대응하는 피크 세기를 가지도록 레이저 구동 펄스 중심 파장을 튜닝하는 것을 포함한다.
도 7 은 제 1 레이저 구동 펄스 중심 파장(예를 들어, 790nm)에 대응하는 제 1 방출 스펙트럼(700)(쇄선), 제 2 레이저 구동 펄스 중심 파장(예를 들어, 795nm)에 대응하는 제 2 방출 스펙트럼(710)(실선) 및 제 3 레이저 구동 펄스 중심 파장(예를 들어, 800nm)에 대응하는 제 3 방출 스펙트럼(720)(점선)을 보여주는, 세기(y-축) 대 출력 파장(x-축)의 그래프이다. 하단 그래프는, x-축 상의 파장의 범위에 걸친 스펙트럼들 대 y-축 상의 세기(로그 스케일)를 보여준다. 상단 그래프는 스펙트럼들 각각의 단일의 대응하는 고조파의 영역에서의 하단 그래프의 세부사항을 보여준다(상단 그래프에서는 y-축이 선형 스케일이어서 피크가 더 넓어 보인다는 것에 주의한다). 이러한 단일 고조파의 파장(이러한 특정한 예에서는 약 12.79nm 내지 12.96nm 사이)이 레이저 구동 펄스 중심 파장을 적절하게 제어함으로써 변할 수 있다는 것을 알 수 있다.
광학 파라미터 증폭(OPA) 시스템과 같은 다른 시스템은 추가적인 튜닝 가능성이 구현되게 할 수 있는데, 그 이유는 이러한 시스템 (예를 들어, 제 2 고조파 생성 기능을 가짐)이 레이저 구동 펄스 중심 파장에 대해서 넓은 튜닝 범위, 예를 들어 580nm 내지 2600nm의 튜닝 범위가 가능해지게 하기 때문이다.
도 7 로부터, 각각의 고조파 피크에 대한 대역폭이, 수학식 1 에 따른 오버레이 감도에 대해 요구되는 스펙트럼 분해능보다 작은, 약 50fs(19 개 내지 20 개의 사이클)의 레이저 구동 펄스에 대하여 약 0.1nm라는 것이 역시 관찰될 수 있다.
다수의 파장 HHG 소스 출력의 스펙트럼 분해능을 증가시키는 것에 대한 대안으로서, 다른 실시예는 튜닝가능한 단색 HHG 출력을 제공하는 것을 제안한다. 실무상, 단색 소스는 측정을 더 간단하게 만들 것이다. 일 실시예에서, 단색 HHG 방출이 타겟에 대한 최대 오버레이 및/또는 CD 감도에 대응하도록, 이것을 튜닝하는 것이 제안된다. 이를 위해서 단색화장치가 사용될 수 있지만, 소프트 x-선 도메인에서는 손실이 매우 클 것이다. 더욱이, 부피가 커지고 측정 셋-업의 유연성이 제한된다. 여기에서, HHG 방출의 요구된 스펙트럼 영역을 게이팅(gate out)하기 위한 광학적 방법이 설명된다. 이러한 기법의 튜닝가능한 피쳐는, 출력 파장을 타겟 피치 크기에 더 가깝게 만듦으로써 격자 분해능을 증가시키기 위해서도 사용될 수 있다. 수직 입사 방사선에 대한 제 1 차 격자 방정식에 따르면 회절 각도
Figure pct00027
는 다음과 같이 주어진다:
Figure pct00028
여기에서 p는 격자 피치 크기이고 (예를 들어, p=40nm), λ는 방출 파장이다.
도 8 은 피치 p=40nm의 격자에 대한, 회절 각도 θ(y-축)와 파장 λ(x-축) 사이의 관계를 나타내는 그래프이다. 알 수 있는 바와 같이, 더 작은 파장의 경우에 비교할 때, 분산(dispersion)이 피치 크기 p에 가까운 파장에 대해서 매우 커진다. 격자 분해능 Δλg, NA 및 피치 사이의 관계를 기술하는 수학식 3은 λ << p인 한계 조건에서 기본적으로 수학식 5 의 선형화이고, 이제 빔의 전체 풀 개구 각도 2NA에 적용된다. 작은 파장의 한정이 가정되지 않으면, 관계식은 다음에 의해서 주어진다:
Figure pct00029
이제, 파장 λ를 피치 p에 가깝게 만들면 최적의 분해능 Δλg가 얻어진다는 것이 분명해진다. 예를 들어, 이러한 수학식을 약 13nm의 파장 λ 및 0.004 의 NA에 대해서 평가하면, 격자 분해능 Δλg=0.3 nm이 되는데, 이것은 요구된 분해능 Δλr=0.1 nm보다 크다. 그러므로, 이러한 분산은 그러한 적층 감도에서 고속 발진을 해상하기에는 충분하지 않다. 그러나, HHG의 파장이 약 38nm의 파장 λ 으로 튜닝되면, Δλg=0.1nm의 격자 분해능이 얻어지고, 이것은 이러한 적층 감도에서 고속 발진을 해상하기에 충분할 것이다. 수학식 1 도 역시 파장 비례하고, 따라서 38 nm보다 짧은 파장이 이미 충분한 분해능을 제공할 것이기 때문에, 파장이 증가함에 따라 요구된 분해능 Δλr도 역시 증가될 수 있다는 것에 주의한다.
HHG 방출 스펙트럼이 좁은 파장 대역에서는 확장되지만 다른 곳에서는 억제되도록 하는 방식으로 총 전기적 구동 필드를 시간적으로 성형(shape)하는 것이 제안된다. 이것은 상이한 중심 파장을 각각 가지는 다수의 레이저 필드를 결합함으로써 수행될 수 있다. 이러한 개념이 논문 "Control of bandwidth and central wavelength of an enhanced extreme ultraviolet spectrum generated in shaped laser field", Zhang C. et al; Optics Express Vol.20, No.15 에 기술된다. 특정한 비한정적인 예에서, 400nm (second harmonic generation; SHG), 800nm 및 2000nm(OPA) 구동 레이저 펄스를 사용하여 각각의 필드를 생성하는 것이 제안된다. 두 개의 OPA들이 필요하지 않기 때문에, Zhang의 논문에서 교시된 기법과 비교할 때, 이러한 파장은 더 실용적인 것으로 결정되었다. 400nm 펄스는 800nm 다이렉트 레이저 출력의 주파수 이배화에 의하여 획득될 수 있다(예를 들어, β-바륨 보레이트(BBO) 결정과 같은 주파수 변환기 요소를 사용함). 이러한 실시예에서, 2000nm 펄스만이 OPA를 사용하여 획득된다.
상이한 파장의 구동 레이저 펄스들 각각의 하나 이상의 제어가능 특성을 튜닝하여 좁은 방출 스펙트럼을 획득함으로써, HHG 방출 스펙트럼을 최적화하는 것이 제안된다(예를 들어, 단일 고조파만이 바람직하고 단색에 가까운 출력이 획득되게 함). 이러한 콘텍스트에서, 근사 단색 출력은, 피크 고조파 방출의 세기가 HHG 방출 스펙트럼의 다른 고조파 방출 보다 적어도 한 자릿수 큰 출력을 포함할 수 있다. 제어가능 특성은 세기, 펄스 지속기간 및/또는 CEP(carrier-envelope phase) 중 하나 이상을 포함할 수 있다. 이러한 최적화가 수행되면, 구동 레이저 펄스들 중 하나와 다른 구동 레이저 펄스들 중 하나 또는 두 개 사이의 세기 비율은, 실제 스펙트럼 영역 출력 (예를 들어, 출력 피크 파장)을 선택하도록 변동될 수 있다. 특정 실시예에서, 구동 필드를 변경하고 따라서 방출 스펙트럼 영역을 선택하기 위하여 변경되는 것은, 2000nm 구동 레이저 펄스의 400nm 및 800nm 구동 레이저 펄스의 합에 상대적인 세기 비율일 수 있다.
제안된 방법은, 피크 HHG 고조파 방출 (예를 들어, HHG 방출 스펙트럼의 다른 고조파 방출보다 적어도 한 자릿수 큰 세기를 가짐)을 10nm 미만 (예를 들어, 2nm) 내지 40nm의 넓은 스펙트럼 범위에서, 또는 10nm 내지 15nm 사이의 범위에서 튜닝하기 위하여 사용될 수 있다.
본 명세서에서 설명된 바와 같은 튜닝가능한 HHG 소스는 EUV-오버레이 및 CD 측정에 있어서 큰 자유를 허용한다. 상이한 적층 두께, 반사도, 피치 크기를 가지는 상이한 타겟에 따라서, EUV-오버레이 성능을 최적화하기 위하여 EUV 방사선 소스를 자유롭게 성형하는 것이 가능하다. 파장의 선택은 다양한 양태들: 예를 들어, 투과(중간 층의 투명도), 격자 재료 대 주위 재료 광학적 콘트라스트 및 스펙트럼 분해능 (예를 들어, 피치에 가까운 파장) 사이의 트레이드오프일 것이다.
본 발명에 따른 다른 실시예들은 아래의 번호가 매겨진 절에서 제공된다:
1. 검사 장치에서 측정을 수행하는 방법으로서,
고 고조파 생성 방사선 소스에 의하여 제공되는 조명 방사선의 출력 방출 스펙트럼을 제어하도록, 상기 고 고조파 생성 방사선 소스의 적어도 하나의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 단계; 및
상기 조명 방사선으로 타겟 구조체를 조명하는 단계를 포함하는, 측정 방법.
2. 제 1 절에 있어서,
상기 구성하는 단계는,
상기 출력 방출 스펙트럼이 복수 개의 이산 고조파 피크를 포함하도록, 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 것을 포함하는, 측정 방법.
3. 제 2 절에 있어서,
각각의 고조파 피크의 대역폭은 0.2 nm보다 작은, 측정 방법.
4. 제 2 절 또는 제 3 절에 있어서,
인접한 고조파 피크들 사이의 스펙트럼 간격은 상기 고조파 피크들의 대역폭보다 큰, 측정 방법.
5. 제 2 절 내지 제 4 절 중 어느 한 절에 있어서,
상기 구성하는 단계는,
상기 고조파 피크 중 적어도 하나의 파장을 제어하기 위하여 상기 구동 레이저 펄스의 중심 파장을 구성하는 것을 포함하는, 측정 방법.
6. 제 2 절 내지 제 5 절 중 어느 한 절에 있어서,
상기 구성하는 단계는,
상기 타겟 구조체의 최대 측정 감도를 위하여 상기 고조파 중 적어도 하나의 파장을 최적화하도록 상기 구동 레이저 펄스의 중심 파장을 구성하는 것을 포함하는, 측정 방법.
7. 제 5 절 또는 제 6 절에 있어서,
상기 출력 방출 스펙트럼은,
유사한 세기의 복수 개의 상기 고조파 피크를 가지는 평탄(plateau) 영역 및 세기가 각각의 연속되는 고조파 피크에 대하여 실질적으로 감소하는 컷오프 영역을 포함하고,
파장이 제어/최적화되는 상기 고조파 피크 중 상기 적어도 하나는 상기 평탄 영역 내의 고조파 피크인, 측정 방법.
8. 제 1 절 내지 제 7 절 중 어느 한 절에 있어서,
상기 구동 레이저 펄스의 세기는 시간이 지남에 따라서 사이클을 이루고,
상기 구성하는 단계는, 상기 구동 레이저 펄스의 사이클의 개수를 15 개보다 많도록 구성하는 것을 포함하는, 측정 방법.
9. 제 8 절에 있어서,
상기 구성하는 단계는, 상기 구동 레이저 펄스의 사이클의 개수를 15 개 내지 30 개로 구성하는 것을 포함하는, 측정 방법.
10. 제 1 절에 있어서,
상기 구성하는 단계는, 적어도 일부가 서로 상이한 중심 파장을 포함하는 복수 개의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성함으로써 상기 고 고조파 생성 방사선 소스의 구동 필드를 구성하는 것을 포함하는, 측정 방법.
11. 제 10 절에 있어서,
상기 구동 레이저 펄스의 개수는 3 개인, 측정 방법.
12. 제 10 절 또는 제 11 절에 있어서,
상기 구성하는 단계는, 상기 출력 방출 스펙트럼의 협소한 대역이 확장되고 상기 출력 방출 스펙트럼의 나머지가 억제되게끔 상기 고 고조파 생성 방사선 소스의 구동 전기장을 시간적으로 성형(shape)하도록, 상기 복수 개의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 것을 포함하는, 측정 방법.
13. 제 12 절에 있어서,
협소한 대역의 상기 확장은, 상기 출력 방출 스펙트럼이 실질적으로 단색이 되게 하는, 측정 방법.
14. 제 12 절 또는 제 13 절에 있어서,
상기 복수 개의 구동 레이저 펄스 중 하나의 상기 복수 개의 구동 레이저 펄스 중 다른 것 중 하나 이상에 상대적인 세기는, 상기 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장을 제어하도록 변경되는, 측정 방법.
15. 제 12 절 내지 제 14 절 중 어느 한 절에 있어서,
상기 복수 개의 구동 레이저 펄스 중 하나의 상기 복수 개의 구동 레이저 펄스 중 다른 것 중 하나 이상에 상대적인 세기는, 상기 타겟 구조체의 최대 측정 감도를 위하여 확장되는 상기 출력 방출 스펙트럼의 상기 협소한 대역의 피크 파장을 최적화하도록 변경되는, 측정 방법.
16. 제 12 절 내지 제 15 절 중 어느 한 절에 있어서,
상기 구성하는 단계는, 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장이 2nm와 40nm 사이가 되도록 상기 복수 개의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 것을 포함하는, 측정 방법.
17. 제 12 절 내지 제 16 절 중 어느 한 절에 있어서,
상기 구성하는 단계는, 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장이 9nm와 15nm 사이가 되도록 상기 복수 개의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 것을 포함하는, 측정 방법.
18. 제 10 절 내지 제 17 절 중 어느 한 절에 있어서,
상기 복수 개의 구동 레이저 펄스 중 하나는 구동 레이저 출력으로부터 직접적으로 획득되고, 상기 복수 개의 구동 레이저 펄스 중 적어도 하나의 다른 구동 레이저 펄스는 상기 구동 레이저 출력을 주파수 변환기 요소를 사용하여 변환함으로써 획득되는, 측정 방법.
19. 제 18 절에,
상기 복수 개의 구동 레이저 펄스 중 다른 구동 레이저 펄스는 광 파라미터 증폭을 통해 획득되는, 측정 방법.
20. 제 1 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 방법은,
상기 타겟 구조체를 조명하는 단계로부터 산란된 방사선을 검출하는 단계; 및
상기 산란된 방사선으로부터 상기 타겟 구조체의 상이한 층들 사이의 오버레이 오프셋을 결정하는 단계를 포함하는, 측정 방법.
21. 고 고조파 생성 방사선 소스를 포함하고, 제 1 절 내지 제 20 절 중 어느 한 절의 방법을 수행하도록 동작가능한 검사 장치.
22. 적합한 프로세서에서 실행될 때 상기 프로세서가 제 1 절 내지 제 20 절 중 어느 한 절의 방법에서 적어도 상기 구성하는 단계를 수행하게 하는 머신 판독가능 명령을 포함하는 컴퓨터 프로그램 제품.
23. 검사 장치로서,
고 고조파 생성 방사선 소스를 포함하고,
상기 고 고조파 생성 방사선 소스는 구동 레이저 펄스를 방출하도록 동작가능한 구동 레이저 소스를 포함하며,
상기 구동 레이저 펄스의 하나 이상의 제어가능 특성은, 상기 고 고조파 생성 방사선 소스의 출력 방출 스펙트럼이 복수 개의 이산 고조파 피크를 포함하도록 구성되는, 검사 장치.
24. 제 23 절에 있어서,
각각의 고조파 피크의 대역폭은 0.2nm보다 작은, 검사 장치.
25. 제 23 절 또는 제 24 절에 있어서,
인접한 고조파 피크들 사이의 스펙트럼 간격은 상기 고조파 피크들의 대역폭보다 큰, 검사 장치.
26. 제 23 절 내지 제 25 절 중 어느 한 절에 있어서,
상기 구동 레이저 펄스의 중심 파장은 상기 고조파 피크 중 적어도 하나의 파장을 제어하도록 구성가능한, 검사 장치.
27. 제 23 절 내지 제 26 절 중 어느 한 절에 있어서,
상기 구동 레이저 펄스의 중심 파장은, 측정되는 타겟 구조체의 최대 측정 감도를 위하여 상기 고조파 중 적어도 하나의 파장을 최적화하도록 구성가능한, 검사 장치.
28. 제 26 절 또는 제 27 절에 있어서,
상기 출력 방출 스펙트럼은,
유사한 세기의 복수 개의 상기 고조파 피크를 가지는 평탄(plateau) 영역 및 세기가 각각의 연속되는 고조파 피크에 대하여 실질적으로 감소하는 컷오프 영역을 포함하고,
파장이 제어/최적화되는 상기 고조파 피크 중 상기 적어도 하나는 상기 평탄 영역 내의 고조파 피크인, 검사 장치.
29. 제 23 절 내지 제 28 절 중 어느 한 절에 있어서,
상기 구동 레이저 펄스의 세기는 시간이 지남에 따라서 사이클을 이루고, 상기 구동 레이저 펄스의 사이클의 개수는 15 개보다 많은, 검사 장치.
30. 제 29 절에 있어서,
상기 구동 레이저 펄스의 사이클의 개수는 15 개 내지 30 개인, 검사 장치.
31. 검사 장치로서,
고 고조파 생성 방사선 소스를 포함하고,
상기 고 고조파 생성 방사선 소스는, 상이한 중심 파장을 가지는 구동 레이저 펄스를 방출하도록 각각 동작가능한 복수 개의 구동 레이저 소스를 포함하는, 검사 장치.
32. 제 31 절에 있어서,
상기 구동 레이저 소스의 개수는 3 개인, 검사 장치.
33. 제 31 절 또는 제 32 절에 있어서,
각각의 구동 레이저 펄스의 하나 이상의 제어가능 특성은, 상기 고 고조파 생성 방사선 소스의 출력 방출 스펙트럼의 협소한 대역이 확장되고 상기 출력 방출 스펙트럼의 나머지가 억제되게끔 상기 고 고조파 생성 방사선 소스의 구동 전기장을 시간적으로 성형하도록 구성되는, 검사 장치.
34. 제 33 절에 있어서,
상기 각각의 구동 레이저 펄스의 하나 이상의 제어가능 특성은, 협소한 대역의 상기 확장의 결과 상기 출력 방출 스펙트럼이 실질적으로 단색이 되도록 구성되는, 검사 장치.
35. 제 33 절 또는 제 34 절에 있어서,
상기 복수 개의 구동 레이저 펄스 중 하나의 상기 복수 개의 구동 레이저 펄스 중 다른 것 중 하나 이상에 상대적인 세기는, 상기 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장을 제어하도록 구성가능한, 검사 장치.
36. 제 33 절 내지 제 35 절 중 어느 한 절에 있어서,
상기 구동 레이저 펄스 중 하나의 상기 구동 레이저 펄스 중 다른 것 중 하나 이상에 상대적인 세기는, 측정되는 타겟 구조체의 최대 측정 감도를 위하여 확장되는 상기 출력 방출 스펙트럼의 상기 협소한 대역의 피크 파장을 최적화하도록 구성가능한, 검사 장치.
37. 제 33 절 내지 제 36 절 중 어느 한 절에 있어서,
상기 복수 개의 구동 레이저 소스는 상기 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장이 20nm보다 작도록 구성되는, 검사 장치.
38. 제 33 절 내지 제 36 절 중 어느 한 절에 있어서,
상기 복수 개의 구동 레이저 소스는 상기 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장이 40nm의 범위 안에 있도록 구성되는, 검사 장치.
39. 제 31 절 내지 제 38 절 중 어느 한 절에 있어서,
상기 복수 개의 구동 레이저 소스는, 상기 구동 레이저 소스 중 하나가 상기 레이저 디바이스 출력으로부터 직접적으로 획득되고, 상기 구동 레이저 소스 중 적어도 하나의 다른 구동 레이저 소스가 상기 레이저 디바이스 출력을 주파수 변환기 요소를 사용하여 변환함으로써 획득되도록, 단일 레이저 디바이스로부터 유도되는, 검사 장치.
40. 제 39 절에 있어서,
상기 구동 레이저 소스 중 다른 구동 레이저 소스는 상기 레이저 디바이스 출력의 광 파라미터 증폭을 통하여 획득되는, 검사 장치.
41. 고 고조파 생성 방사선 소스로서,
상이한 중심 파장을 가지는 구동 레이저 펄스를 방출하도록 각각 동작가능한 복수 개의 구동 레이저 소스를 포함하는, 고 고조파 생성 방사선 소스.
42. 제 41 절에 있어서,
상기 구동 레이저 소스의 개수는 3 개인, 고 고조파 생성 방사선 소스.
43. 제 41 절 또는 제 42 절에 있어서,
각각의 구동 레이저 펄스의 하나 이상의 제어가능 특성은, 상기 고 고조파 생성 방사선 소스의 출력 방출 스펙트럼의 협소한 대역이 확장되고 상기 출력 방출 스펙트럼의 나머지가 억제되게끔 상기 고 고조파 생성 방사선 소스의 구동 전기장을 시간적으로 성형하도록 구성되는, 고 고조파 생성 방사선 소스.
44. 제 43 절에 있어서,
상기 각각의 구동 레이저 펄스의 하나 이상의 제어가능 특성은, 협소한 대역의 상기 확장의 결과 상기 출력 방출 스펙트럼이 실질적으로 단색이 되도록 구성되는, 고 고조파 생성 방사선 소스.
45. 제 43 절 또는 제 44 절에 있어서,
상기 복수 개의 구동 레이저 펄스 중 하나의 상기 복수 개의 구동 레이저 펄스 중 다른 것 중 하나 이상에 상대적인 세기는, 상기 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장을 제어하도록 구성가능한, 고 고조파 생성 방사선 소스.
46. 제 43 절 내지 제 45 절 중 어느 한 절에 있어서,
상기 고 고조파 생성 방사선 소스는 검사 장치를 위한 것이고,
상기 구동 레이저 펄스 중 하나의 상기 구동 레이저 펄스 중 다른 것 중 하나 이상에 상대적인 세기는, 측정되는 타겟 구조체의 최대 측정 감도를 위하여 확장되는 상기 출력 방출 스펙트럼의 상기 협소한 대역의 피크 파장을 최적화하도록 구성가능한, 고 고조파 생성 방사선 소스.
47. 제 43 절 내지 제 46 절 중 어느 한 절에 있어서,
상기 복수 개의 구동 레이저 소스는 상기 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장이 20nm보다 작도록 구성되는, 고 고조파 생성 방사선 소스.
48. 제 43 절 내지 제 46 절 중 어느 한 절에 있어서,
상기 복수 개의 구동 레이저 소스는 상기 출력 방출 스펙트럼의 확장되는 상기 협소한 대역의 피크 파장이 40nm 범위 안에 있도록 구성되는, 고 고조파 생성 방사선 소스.
49. 제 41 절 내지 제 48 절 중 어느 한 절에 있어서,
상기 복수 개의 구동 레이저 소스는, 상기 구동 레이저 소스 중 하나가 상기 레이저 디바이스 출력으로부터 직접적으로 획득되고, 상기 구동 레이저 소스 중 적어도 하나의 다른 구동 레이저 소스가 상기 레이저 디바이스 출력을 주파수 변환기 요소를 사용하여 변환함으로써 획득되도록, 단일 레이저 디바이스로부터 유도되는, 고 고조파 생성 방사선 소스.
50. 제 49 절에 있어서,
상기 구동 레이저 소스 중 다른 구동 레이저 소스는 상기 레이저 디바이스 출력의 광 파라미터 증폭을 통하여 획득되는, 고 고조파 생성 방사선 소스.
51. 고 고조파 생성 방사선 소스로서,
구동 레이저 펄스를 방출하도록 동작가능한 구동 레이저 소스를 포함하며,
상기 구동 레이저 펄스의 하나 이상의 제어가능 특성은, 상기 고 고조파 생성 방사선 소스의 출력 방출 스펙트럼이 복수 개의 이산 고조파 피크를 포함하도록 구성되는, 고 고조파 생성 방사선 소스.
52. 제 51 절에 있어서,
각각의 고조파 피크의 대역폭은 0.2nm보다 작은, 고 고조파 생성 방사선 소스.
53. 제 51 절 또는 제 52 절에 있어서,
인접한 고조파 피크들 사이의 스펙트럼 간격은 상기 고조파 피크들의 대역폭보다 큰, 고 고조파 생성 방사선 소스.
54. 제 51 절 내지 제 53 절 중 어느 한 절에 있어서,
상기 구동 레이저 펄스의 중심 파장은 상기 고조파 피크 중 적어도 하나의 파장을 제어하도록 구성가능한, 고 고조파 생성 방사선 소스.
55. 제 51 절 내지 제 54 절 중 어느 한 절에 있어서,
상기 고 고조파 생성 방사선 소스는 검사 장치를 위한 것이고,
상기 구동 레이저 펄스의 중심 파장은, 측정되는 타겟 구조체의 최대 측정 감도를 위하여 상기 고조파 중 적어도 하나의 파장을 최적화하도록 구성가능한, 고 고조파 생성 방사선 소스.
56. 제 54 절 또는 제 55 절에 있어서,
상기 출력 방출 스펙트럼은,
유사한 세기의 복수 개의 상기 고조파 피크를 가지는 평탄(plateau) 영역 및 세기가 각각의 연속되는 고조파 피크에 대하여 실질적으로 감소하는 컷오프 영역을 포함하고,
파장이 제어/최적화되는 상기 고조파 피크 중 상기 적어도 하나는 상기 평탄 영역 내의 고조파 피크인, 고 고조파 생성 방사선 소스.
57. 제 51 절 내지 제 56 절 중 어느 한 절에 있어서,
상기 구동 레이저 펄스의 세기는 시간이 지남에 따라서 사이클을 이루고, 상기 구동 레이저 펄스의 사이클의 개수는 15 개보다 많은, 고 고조파 생성 방사선 소스.
58. 제 57 절에 있어서,
상기 구동 레이저 펄스의 사이클의 개수는 15 개 내지 30 개인, 고 고조파 생성 방사선 소스.
비록 본문에서 IC의 제조에서 리소그래피 장치를 사용하는 것에 대해서 특별히 언급하였지만, 본 명세서에서 설명되는 리소그래피 장치는 다른 응용 분야, 예컨대 집적 광 시스템의 제조, 자기장 도메인 메모리용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드, 등을 가질 수 있다는 것이 이해되어야 한다. 당업자는, 이러한 다른 응용예의 문맥에서, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 기판은, 예를 들어 트랙(통상적으로 레지스트 층을 기판에 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴 및/또는 검사 툴에서, 노광 전 또는 노광 후에 처리될 수 있다. 적용 가능한 범위에서, 본 명세서에서의 개시물은 이러한 기판 처리 툴 및 다른 기판 처리 툴에 적용될 수 있다. 또한, 예컨대 다층 집적회로를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수 있다.
비록 위에서 광 리소그래피의 콘텍스트에서 본 발명의 실시예를 사용하는 것에 대해 특정하여 언급하였지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
리소그래피 장치와 관련하여 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (15)

  1. 검사 장치에서 측정을 수행하는 방법으로서,
    고 고조파 생성 방사선 소스(high harmonic generation radiation source)에 의하여 제공되는 조명 방사선의 출력 방출 스펙트럼을 제어하도록, 상기 고 고조파 생성 방사선 소스의 적어도 하나의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 단계; 및
    상기 조명 방사선으로 타겟 구조체를 조명하는 단계를 포함하는, 측정 방법.
  2. 제 1 항에 있어서,
    상기 구성하는 단계는,
    상기 출력 방출 스펙트럼이 복수 개의 이산 고조파 피크를 포함하도록, 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성하는 것을 포함하는, 측정 방법.
  3. 제 2 항에 있어서,
    각각의 고조파 피크의 대역폭은 0.2 nm보다 작은, 측정 방법.
  4. 제 2 항 또는 제 3 항에 있어서,
    인접한 고조파 피크들 사이의 스펙트럼 간격은 상기 고조파 피크들의 대역폭보다 큰, 측정 방법.
  5. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 구성하는 단계는,
    상기 고조파 피크 중 적어도 하나의 파장을 제어하기 위하여 상기 구동 레이저 펄스의 중심 파장을 구성하는 것을 포함하는, 측정 방법.
  6. 제 2 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 구성하는 단계는,
    상기 타겟 구조체의 최대 측정 감도를 위하여 상기 고조파 중 적어도 하나의 파장을 최적화하도록 상기 구동 레이저 펄스의 중심 파장을 구성하는 것을 포함하는, 측정 방법.
  7. 제 5 항 또는 제 6 항에 있어서,
    상기 출력 방출 스펙트럼은,
    유사한 세기의 복수 개의 상기 고조파 피크를 가지는 평탄(plateau) 영역 및 세기가 각각의 연속되는 고조파 피크에 대하여 실질적으로 감소하는 컷오프 영역을 포함하고,
    파장이 제어/최적화되는 상기 고조파 피크 중 상기 적어도 하나는 상기 평탄 영역 내의 고조파 피크인, 측정 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 구동 레이저 펄스의 세기는 시간이 지남에 따라서 사이클을 이루고,
    상기 구성하는 단계는, 상기 구동 레이저 펄스의 사이클의 개수를 15 개보다 많도록 구성하는 것을 포함하는, 측정 방법.
  9. 제 8 항에 있어서,
    상기 구성하는 단계는, 상기 구동 레이저 펄스의 사이클의 개수를 15 개 내지 30 개로 구성하는 것을 포함하는, 측정 방법.
  10. 제 1 항에 있어서,
    상기 구성하는 단계는, 적어도 일부가 서로 상이한 중심 파장을 포함하는 복수 개의 구동 레이저 펄스의 하나 이상의 제어가능 특성을 구성함으로써 상기 고 고조파 생성 방사선 소스의 구동 필드를 구성하는 것을 포함하는, 측정 방법.
  11. 제 10 항에 있어서,
    상기 구동 레이저 펄스의 개수는 3 개인, 측정 방법.
  12. 제 10 항 또는 제 11 항에 있어서,
    상기 구성하는 단계는,
    상기 출력 방출 스펙트럼의 협소한 대역이 확장되고 상기 출력 방출 스펙트럼의 나머지가 억제되게끔 상기 고 고조파 생성 방사선 소스의 구동 전기장을 시간적으로 성형(shape)하도록, 상기 복수 개의 구동 레이저 펄스의 상기 하나 이상의 제어가능 특성을 구성하는 것을 포함하는, 측정 방법.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 방법은,
    상기 타겟 구조체를 조명하는 단계로부터 산란된 방사선을 검출하는 단계; 및
    상기 산란된 방사선으로부터 상기 타겟 구조체의 상이한 층들 사이의 오버레이 오프셋을 결정하는 단계를 포함하는, 측정 방법.
  14. 고 고조파 생성 방사선 소스를 포함하고, 제 1 항 내지 제 13 항 중 어느 한 항의 방법을 수행하도록 동작가능한 검사 장치.
  15. 적합한 프로세서에서 실행될 때 상기 프로세서가 제 1 항 내지 제 13 항 중 어느 한 항의 방법에서 적어도 상기 구성하는 단계를 수행하게 하는 머신 판독가능 명령을 포함하는 컴퓨터 프로그램 제품.
KR1020187033728A 2016-04-28 2017-04-12 Hhg 소스, 검사 장치, 및 측정 수행 방법 KR102217258B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP16167512 2016-04-28
EP16167512.9 2016-04-28
PCT/EP2017/058771 WO2017186491A1 (en) 2016-04-28 2017-04-12 Hhg source, inspection apparatus and method for performing a measurement

Publications (2)

Publication Number Publication Date
KR20180135042A true KR20180135042A (ko) 2018-12-19
KR102217258B1 KR102217258B1 (ko) 2021-02-18

Family

ID=55862605

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187033728A KR102217258B1 (ko) 2016-04-28 2017-04-12 Hhg 소스, 검사 장치, 및 측정 수행 방법

Country Status (7)

Country Link
US (2) US10234771B2 (ko)
JP (1) JP6895985B2 (ko)
KR (1) KR102217258B1 (ko)
CN (1) CN109313390B (ko)
IL (1) IL262210B (ko)
TW (1) TWI631311B (ko)
WO (1) WO2017186491A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6895985B2 (ja) * 2016-04-28 2021-06-30 エーエスエムエル ネザーランズ ビー.ブイ. Hhg源、検査装置、および測定を実施する方法
WO2017191084A1 (en) 2016-05-04 2017-11-09 Asml Netherlands B.V. Method and apparatus for generating illuminating radiation
EP3321739A1 (en) * 2016-11-11 2018-05-16 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
CN110462521B (zh) 2017-03-15 2022-10-18 Asml荷兰有限公司 用于输送气体的设备及用于产生高谐波辐射的照射源
EP3467588A1 (en) 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation
EP3518041A1 (en) * 2018-01-30 2019-07-31 ASML Netherlands B.V. Inspection apparatus and inspection method
US20210239464A1 (en) * 2018-04-30 2021-08-05 B.G.Negev Technologies And Applications Ltd., At Ben-Turion University Scatterometry with High Harmonic Generation (HHG) Sources
US11060846B2 (en) * 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
EP3783436A1 (en) * 2019-08-19 2021-02-24 ASML Netherlands B.V. Illumination and detection apparatus for a metrology apparatus
US11126063B2 (en) * 2019-11-07 2021-09-21 Onyx Optics, Inc. Nonlinear optical crystal with corrected phase matching angle
JP7176508B2 (ja) * 2019-12-26 2022-11-22 株式会社Sumco シリコンウェーハの欠陥検査方法及びシリコンウェーハの欠陥検査システム
US11600966B2 (en) * 2020-02-03 2023-03-07 Analog Devices International Unlimited Company Light source system
CN111404011A (zh) * 2020-03-26 2020-07-10 富通尼激光科技(东莞)有限公司 一种高次谐波激光器
JP2022020938A (ja) * 2020-07-21 2022-02-02 株式会社日本製鋼所 レーザアニール装置、レーザアニール方法、及び半導体装置の製造方法
EP3968090A1 (en) 2020-09-11 2022-03-16 ASML Netherlands B.V. Radiation source arrangement and metrology device
TWI816446B (zh) * 2022-06-21 2023-09-21 米雷迪恩飛秒光源股份有限公司 一種雷射應用處理系統及其方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6014252A (en) * 1998-02-20 2000-01-11 The Regents Of The University Of California Reflective optical imaging system
WO2015185166A1 (en) * 2014-06-02 2015-12-10 Asml Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5898718A (en) * 1997-05-19 1999-04-27 Altos Inc. Method and apparatus for optimizing the output of a multi-peaked frequency harmonic generator
JP3899411B2 (ja) * 2004-02-19 2007-03-28 独立行政法人情報通信研究機構 3つの反射面による多重反射で構成される光路を用いたスラブ型固体レーザ媒体、またはスラブ型非線形光学媒体
US7359052B2 (en) * 2004-05-14 2008-04-15 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7729403B2 (en) * 2006-05-19 2010-06-01 Colorado State University Research Foundation High-order harmonic generation in a capillary discharge
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP5208825B2 (ja) * 2008-09-12 2013-06-12 オリンパス株式会社 光学顕微鏡
US8704198B2 (en) * 2009-12-14 2014-04-22 Massachusetts Institute Of Technology Efficient high-harmonic-generation-based EUV source driven by short wavelength light
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
CN103582819B (zh) * 2011-04-06 2016-09-14 科磊股份有限公司 用于提供经改进过程控制的质量度量的方法及系统
US8860937B1 (en) * 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US9214317B2 (en) * 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
US9627844B2 (en) 2013-09-04 2017-04-18 The Regents Of The University Of Colorado, A Body Generation of VUV, EUV, and X-ray light using VUV-UV-VIS lasers
KR101890783B1 (ko) * 2013-11-26 2018-08-22 에이에스엠엘 네델란즈 비.브이. 리소그래피 계측을 위한 방법, 장치 및 기판
TWI646401B (zh) * 2013-12-19 2019-01-01 美商應用材料股份有限公司 帶有簡化光學元件的極紫外線(euv)基板檢查系統及其製造方法
US9347890B2 (en) 2013-12-19 2016-05-24 Kla-Tencor Corporation Low-noise sensor and an inspection system using a low-noise sensor
US9496681B2 (en) * 2014-11-20 2016-11-15 University Of Ottawa Apparatus and method for tunable generation of coherent radiation
CN107430352B (zh) 2015-03-25 2020-01-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
CN107924118B (zh) 2015-08-12 2022-08-09 Asml荷兰有限公司 量测方法、辐射源、量测设备及器件制造方法
KR20180096741A (ko) 2015-12-23 2018-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 측정 수행 방법
JP6895985B2 (ja) 2016-04-28 2021-06-30 エーエスエムエル ネザーランズ ビー.ブイ. Hhg源、検査装置、および測定を実施する方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6014252A (en) * 1998-02-20 2000-01-11 The Regents Of The University Of California Reflective optical imaging system
WO2015185166A1 (en) * 2014-06-02 2015-12-10 Asml Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CHAOJIN ZHANG 외 5명, "CONTROL OF BANDWIDTH AND CENTRAL WAVELENGTH OF AN ENHANCED EXTREME ULTRAVIOLET SPECTRUM GENERATED IN SHAPED LASER FIELD", OPTICS EXPRESS, VOL.20, NO.15, PP16544-16551. *
TETSUO HARADA 외 4명, "DEVELOPMENT OF STANDALONE COHERENT EUV SCATTEROMETRY MICROSCOPE WITH HIGH-HARMONIC-GENERATION EUV SOURCE", PROCEEDINGS OF SPIE, VOL.8441. *

Also Published As

Publication number Publication date
CN109313390A (zh) 2019-02-05
TWI631311B (zh) 2018-08-01
CN109313390B (zh) 2021-05-25
IL262210B (en) 2022-04-01
JP2019521368A (ja) 2019-07-25
IL262210A (en) 2018-11-29
US10816906B2 (en) 2020-10-27
US10234771B2 (en) 2019-03-19
US20190155171A1 (en) 2019-05-23
KR102217258B1 (ko) 2021-02-18
WO2017186491A1 (en) 2017-11-02
US20170315456A1 (en) 2017-11-02
JP6895985B2 (ja) 2021-06-30
TW201740077A (zh) 2017-11-16

Similar Documents

Publication Publication Date Title
KR102217258B1 (ko) Hhg 소스, 검사 장치, 및 측정 수행 방법
KR102190305B1 (ko) 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
CN107924118B (zh) 量测方法、辐射源、量测设备及器件制造方法
US10649344B2 (en) Illumination source for an inspection apparatus, inspection apparatus and inspection method
JP6626208B2 (ja) リソグラフィ装置の焦点性能を測定するための方法、パターニングデバイス、計測装置、リソグラフィシステム、コンピュータプログラムおよびデバイス製造方法
JP6727327B2 (ja) 照明放射を発生するための方法及び装置
US20170176879A1 (en) Inspection Apparatus and Method
TWI544287B (zh) 檢測裝置及方法、微影裝置、微影處理製造單元及元件製造方法
US20190049861A1 (en) Methods and Apparatus for Determining the Position of a Spot of Radiation, Inspection Apparatus, Device Manufacturing Method
CN110312968B (zh) 对准衍射光学系统的方法和衍射光学元件
KR20190113932A (ko) 측정 방법의 성능을 예측하기 위한 방법 및 장치, 측정 방법 및 장치
TWI631321B (zh) 用於檢測裝置之照明源、檢測裝置及檢測方法
US10474043B2 (en) Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
EP3441820A1 (en) Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
US10678145B2 (en) Radiation receiving system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant