KR20180061061A - 유동가능한 cvd를 위한 이중 원격 플라즈마 소스들의 통합 - Google Patents

유동가능한 cvd를 위한 이중 원격 플라즈마 소스들의 통합 Download PDF

Info

Publication number
KR20180061061A
KR20180061061A KR1020170160757A KR20170160757A KR20180061061A KR 20180061061 A KR20180061061 A KR 20180061061A KR 1020170160757 A KR1020170160757 A KR 1020170160757A KR 20170160757 A KR20170160757 A KR 20170160757A KR 20180061061 A KR20180061061 A KR 20180061061A
Authority
KR
South Korea
Prior art keywords
channels
processing chamber
ring
rps
inner portion
Prior art date
Application number
KR1020170160757A
Other languages
English (en)
Other versions
KR102210390B1 (ko
Inventor
잉 마
데미안 라지
2세 제이 디. 핀슨
동칭 리
징메이 리앙
이첸 장
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180061061A publication Critical patent/KR20180061061A/ko
Application granted granted Critical
Publication of KR102210390B1 publication Critical patent/KR102210390B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본원에서 설명되는 구현들은 일반적으로, 유동가능한 필름들을 형성하기 위한 장치에 관한 것이다. 일 구현에서, 장치는, 프로세싱 챔버의 덮개에 커플링된 제 1 RPS 및 프로세싱 챔버의 측벽에 커플링된 제 2 RPS를 포함하는 프로세싱 챔버이다. 제 1 RPS는 증착 라디칼들을 프로세싱 챔버의 프로세싱 영역 내로 전달하는 데에 활용되고, 제 2 RPS는 세정 라디칼들을 프로세싱 영역 내로 전달하는 데에 활용된다. 프로세싱 챔버는, 세정 라디칼들을 제 2 RPS로부터 프로세싱 영역 내로 전달하기 위한, 샤워헤드와 기판 지지부 사이에 배치된 라디칼 전달 링을 더 포함한다. 별개의 전달 채널들을 사용하여 RPS들로부터 프로세싱 영역 내에 라디칼들을 유입시키는 것과 더불어, 증착 및 세정을 위해 개별 RPS들을 갖는 것은, RPS들 상에서 교차 오염(cross contamination) 및 주기적인 교환(cyclic change)을 최소화하여, 개선된 증착 레이트 드리프팅 및 입자 성능(particle performance)으로 이어진다.

Description

유동가능한 CVD를 위한 이중 원격 플라즈마 소스들의 통합{INTEGRATION OF DUAL REMOTE PLASMAS SOURCES FOR FLOWABLE CVD}
[0001] 본원에서 설명되는 구현들은 일반적으로, 유동가능한 필름들을 형성하기 위한 장치에 관한 것이다.
[0002] 반도체 디바이스의 기하학적 구조들(semiconductor device geometries)은, 반도체 디바이스가 수십 년 전에 도입된 이래 크기가 극적으로 감소되어왔다. 현대의 반도체 제조 장비는 45 nm, 32 nm, 및 28 nm 피처(feature) 크기들을 가지는 디바이스들을 일상적으로(routinely) 생산하며, 그보다 훨씬 더 작은 기하학적 구조들을 가지는 디바이스들을 제조하기 위해 새로운 장비가 개발되고 있고 구현되고 있다. 감소하는 피처 크기들은, 감소된 폭을 갖는, 디바이스 상의 구조적인 피처들을 초래한다. 디바이스들 상의 트렌치들 및 갭들의 폭들이 협소(narrow)하여, 갭을 유전체 재료로 충전하는(filling) 것이 더욱 난제가 된다. 최근, 유동가능한 필름들이 갭들, 예컨대, 고-종횡비 갭들을 충전하는 데에 사용된다. 유동성(flowability)을 달성하기 위해, 라디칼들이 RPS(remote plasma source)에서 생성되는 CVD(chemical vapor deposition)를 사용하여 필름들이 갭들 내에 증착된다. RPS는 또한, CVD 챔버를 세정하기 위한 라디칼들을 생성하는 데에 활용된다. 증착 및 세정 양자 모두를 위해 RPS를 사용하는 것은, RPS에서 그리고 가스 및 라디칼 전달 시스템의 컴포넌트들에서 표면 변화들을 야기하며, 이는 결함들, 증착 레이트(rate) 드리프팅(drifting), 및 짧은 서비스 수명을 초래한다.
[0003] 그러므로, 유동가능한 필름들을 형성하기 위해, 개선된 장치가 필요하다.
[0004] 본원에서 설명되는 구현들은 일반적으로, 유동가능한 필름들을 형성하기 위한 장치에 관한 것이다. 일 구현에서, 링은 외측 부분, 내측 부분, 외측 부분과 내측 부분을 연결하는 상부 부분, 및 외측 부분과 내측 부분을 연결하는 하부 부분을 포함하고, 환형 채널이 외측 부분과 내측 부분 사이에 형성되며, 복수의 채널들이 내측 부분에 형성되고, 복수의 채널들은 링의 중심축에 대해 비대칭적으로 배치된다.
[0005] 다른 구현에서, 프로세싱 챔버는 덮개, 챔버 벽, 덮개 아래에 배치된 샤워헤드, 샤워헤드 아래에 배치된 기판 지지부, 및 샤워헤드와 기판 지지부 사이에 배치된 라디칼 전달 링을 포함하고, 덮개는 챔버 벽 위에 배치되며, 라디칼 전달 링은 외측 부분, 내측 부분, 외측 부분과 내측 부분을 연결하는 상부 부분, 및 외측 부분과 내측 부분을 연결하는 하부 부분을 포함하고, 복수의 채널들이 내측 부분에 형성된다.
[0006] 또 다른 구현에서, 프로세싱 챔버는 덮개, 덮개 위에 배치된 제 1 원격 플라즈마 소스, 챔버 벽, 챔버 벽에 커플링된 제 2 원격 플라즈마 소스, 덮개 아래에 배치된 샤워헤드, 샤워헤드 아래에 배치된 기판 지지부, 및 샤워헤드와 기판 지지부 사이에 배치된 라디칼 전달 링을 포함하고, 덮개는 챔버 벽 위에 배치된다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 개시내용의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 선택된 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이, 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0008] 도 1은, 일 구현에 따른 프로세싱 툴의 개략적인 상면도이다.
[0009] 도 2는, 일 구현에 따른 프로세싱 챔버의 개략적인 측단면도이다.
[0010] 도 3은, 일 구현에 따른 라디칼 전달 링의 개략적인 상면 단면도이다.
[0011] 도 4a-4b는, 구현들에 따른 라디칼 전달 링의 부분의 측단면도들이다.
[0012] 도 5는, 일 구현에 따른 라디칼 전달 디바이스의 개략적인 사시도이다.
[0013] 도 6은, 다른 구현에 따른 프로세싱 챔버의 개략적인 측단면도이다.
[0014] 도 7은, 일 구현에 따른 튜브의 개략적인 측단면도이다.
[0015] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내기 위해, 동일한 참조번호들이 사용되었다. 부가적으로, 일 구현의 엘리먼트들은 유리하게, 본원에서 설명되는 다른 구현들에서의 활용을 위해 적응될 수 있다.
[0016] 본원에서 설명되는 구현들은 일반적으로, 유동가능한 필름들을 형성하기 위한 장치에 관한 것이다. 일 구현에서, 장치는, 프로세싱 챔버의 덮개에 커플링된 제 1 RPS 및 프로세싱 챔버의 측벽에 커플링된 제 2 RPS를 포함하는 프로세싱 챔버이다. 제 1 RPS는 증착 라디칼들을 프로세싱 챔버의 프로세싱 영역 내로 전달하는 데에 활용되고, 제 2 RPS는 세정 라디칼들을 프로세싱 영역 내로 전달하는 데에 활용된다. 프로세싱 챔버는, 세정 라디칼들을 제 2 RPS로부터 프로세싱 영역 내로 전달하기 위한, 샤워헤드와 기판 지지부 사이에 배치된 라디칼 전달 링을 더 포함한다. 별개의 전달 채널들을 사용하여 RPS들로부터 프로세싱 영역 내에 라디칼들을 유입시키는 것과 더불어, 증착 및 세정을 위해 개별 RPS들을 갖는 것은, RPS들 상에서 교차 오염(cross contamination) 및 주기적인 교환(cyclic change)을 최소화하여, 개선된 증착 레이트 드리프팅 및 입자 성능(particle performance)으로 이어진다.
[0017] 도 1은, 일 구현에 따른 프로세싱 툴(100)의 개략적인 상면도이다. 프로세싱 툴(100), 예컨대, 도 1에 도시된 바와 같은 클러스터 툴은, 로봇식 아암들(104)에 의해 수용되고 로드 록 챔버들(106) 내에 배치되는 반도체 웨이퍼들과 같은 기판들을 공급하기 위한 FOUP들(front opening unified pods)(102)의 쌍을 포함한다. 제 2 로봇식 아암(110)은 로드 록 챔버들(106)에 커플링된 이송 챔버(112)에 배치된다. 제 2 로봇식 아암(110)은 기판들을 로드 록 챔버(106)로부터, 이송 챔버(112)에 커플링된 프로세싱 챔버들(108a-108f)로 운송하는 데에 사용된다.
[0018] 프로세싱 챔버들(108a-108f)은 유동가능한 필름을 기판 상에 증착, 어닐링, 경화 및/또는 식각하기 위한 하나 또는 둘 이상의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 유동가능한 필름을 기판 상에 증착시키기 위해 2개의 쌍들의 프로세싱 챔버들(예컨대, 108c-108d 및 108e-108f)이 사용될 수 있으며, 증착된 유동가능한 필름을 어닐링/경화하기 위해 제 3 쌍의 프로세싱 챔버들(예컨대, 108a-108b)이 사용될 수 있다. 다른 구성에서, 동일한 2개의 쌍들의 프로세싱 챔버들(예컨대, 108c-108d 및 108e-108f)은, 유동가능한 필름을 기판 상에 증착시키고 어닐링/경화하는 데에 모두 사용될 수 있는 반면에, 제 3 쌍의 프로세싱 챔버들(예컨대, 108a-108b)은 UV(ultraviolet) 또는 E-beam(electron-beam)을 이용하여 기판 상의 유동가능한 필름을 경화하는 데에 사용될 수 있다.
[0019] 유동가능한 필름을 기판 상에 증착시키는 데에 사용되는 프로세싱 챔버들(예컨대, 108c, 108d, 108e, 108f)은 각각, 프로세싱 챔버의 덮개 상에 배치된 제 1 RPS(예컨대, 109c, 109d, 109e, 109f)를 포함할 수 있다. 유동가능한 필름을 기판 상에 증착시키는 데에 사용되는 각각의 쌍의 프로세싱 챔버들(예컨대, 108c-108d 및 108e-108f)은 각각의 쌍의 프로세싱 챔버들 사이에 배치된 제 2 RPS(예컨대, 109g, 109h)를 공유한다. 예컨대, 제 2 RPS(109g)는 프로세싱 챔버(108c)와 프로세싱 챔버(108d) 사이에 배치되고, 제 2 RPS(109h)는 프로세싱 챔버(108e)와 프로세싱 챔버(108f) 사이에 배치된다. 몇몇 구현들에서, 각각의 쌍의 프로세싱 챔버들(108a-108b, 108c-108d, 및 108e-108f)은 2개의 기판 지지부들을 포함하고 2개의 기판들을 프로세싱할 수 있는 단일 프로세싱 챔버이다. 그러한 구현들에서, 각각의 프로세싱 챔버는, 대응하는 기판 지지부 위에서 프로세싱 챔버의 덮개 상에 각각 배치된 2개의 제 1 RPS들, 및 2개의 제 1 RPS들 사이에서 프로세싱 챔버의 덮개 상에 배치된 하나의 제 2 RPS를 포함한다.
[0020] 제 1 RPS들(109c, 109d, 109e, 및 109f) 중 각각은, 각각, 프로세싱 챔버들(108c, 108d, 108e, 및 108f) 중 각각의 챔버에 배치된 기판 상에 유동가능한 필름을 형성하는 전구체 라디칼들을 형성하기 위해, 전구체 가스, 예컨대, 실리콘 함유 가스, 산소 함유 가스, 및/또는 질소 함유 가스를 여기(excite)시키도록 구성된다. 제 2 RPS들(109g 및 109h) 중 각각은, 각각, 프로세싱 챔버들(108c-108d 및 108e-108f) 중 각각의 쌍의 컴포넌트들을 세정하는 세정 라디칼들을 형성하기 위해, 세정 가스, 예컨대, 불소 함유 가스를 여기시키도록 구성된다.
[0021] 도 2는, 일 구현에 따른 프로세싱 챔버(200)의 개략적인 측단면도이다. 프로세싱 챔버(200)는 증착 챔버, 예컨대, CVD 증착 챔버일 수 있다. 프로세싱 챔버(200)는 유동가능한 필름을 기판 상에 적어도 증착시키도록 구성된 프로세싱 챔버들(108a-108f) 중 임의의 프로세싱 챔버일 수 있다. 프로세싱 챔버(200)는 챔버 벽(235) 위에 배치된 덮개(212), 및 덮개(212)와 챔버 벽(235) 사이에 배치된 절연 링(220)을 포함한다. 제 1 RPS(201)는 덮개(212) 상에 배치되고, 제 1 RPS(201)에서 형성된 전구체 라디칼들은 라디칼 유입구 조립체(205) 및 배플(baffle; 206)을 통해 프로세싱 챔버(200)의 플라즈마 구역(215) 내로 유동된다. 제 1 RPS(201)가 덮개(212)에 커플링된 것으로 예시되었지만, 제 1 RPS(201)는 덮개(212)로부터 이격되어 하나 또는 그 초과의 도관들에 의해 덮개(212)에 유체적으로 커플링(fluidly coupled)될 수 있다는 점이 고려된다. 전구체 가스 유입구(202)는 하나 또는 그 초과의 전구체 가스들을 제 1 RPS(201) 내로 유동시키기 위해 제 1 RPS(201) 상에 형성된다.
[0022] 프로세싱 챔버(200)는 이중-구역(dual-zone) 샤워헤드(203)를 더 포함한다. 이중-구역 샤워헤드(203)는 제 1 복수의 채널들(204) 및 제 2 복수의 채널들(208)을 포함한다. 제 1 복수의 채널들(204) 및 제 2 복수의 채널들(208)은 유체 연통(fluid communication)하지 않는다. 동작 동안, 플라즈마 구역(215)의 라디칼들은 이중-구역 샤워헤드(203)의 제 1 복수의 채널들(204)을 통해 프로세싱 영역(230) 내로 유동하고, 하나 또는 그 초과의 전구체 가스들은 제 2 복수의 채널들(208)을 통해 프로세싱 영역(230) 내로 유동한다. 이중-구역 샤워헤드(203)를 이용하여, 라디칼들과 전구체 가스들 간의 조기 혼합 및 반응이 회피된다.
[0023] 프로세싱 챔버(200)는 프로세싱 동안 기판(255)을 지지하기 위한 기판 지지부(265)를 포함한다. 프로세싱 영역(230)은 이중-구역 샤워헤드(203) 및 기판 지지부(265)에 의해 정의된다. 라디칼 전달 링(210)은 이중-구역 샤워헤드(203)와 기판 지지부(265) 사이에 배치된다. 라디칼 전달 링(210)은, 프로세싱 영역(230)에 유체적으로 커플링된 제 2 RPS(214)로부터 프로세싱 챔버(200)의 챔버 벽(235)을 통해, 세정 라디칼들을 프로세싱 영역(230) 내로 전달하는 데에 활용된다. 라디칼 전달 링(210)은 세정 라디칼들을 프로세싱 영역(230) 내로 전달하기 위한 복수의 채널들(216)을 포함한다. 제 2 RPS(214)는 챔버 벽(235)에 형성된 유입구(218)에 커플링될 수 있고, 라디칼 전달 링(210)은 제 2 RPS(214)로부터의 세정 라디칼들을 수용하기 위해 유입구(218)와 정렬된다. 전구체 가스 및 전구체 라디칼들이, 이중-구역 샤워헤드(203) 아래의 프로세싱 영역에서 혼합되어 반응하기 때문에, 일부 작은 역확산(back diffusion)을 제외하고, 증착은 이중-구역 샤워헤드(203) 아래에서 주로 발생한다. 따라서, 이중-구역 샤워헤드(203) 아래에 배치된, 프로세싱 챔버(200)의 컴포넌트들은 주기적인 프로세싱 이후에 세정될 수 있다. 세정은 챔버 컴포넌트들 상에 증착된 재료를 제거하는 것을 지칭한다. 이중-구역 샤워헤드(203)의 위에 있는 위치들(상류)에서 작은 증착이 발생할 수 있기 때문에, 세정 라디칼들을 플라즈마 구역(215) 내로 유동하는 것은 컴포넌트 표면 변화, 예컨대, 표면 불소화(surface fluorination)로 이어질 수 있는데, 이는, 불소 라디칼들이 세정 라디칼들로서 사용될 수 있기 때문이다. 따라서, 세정 라디칼들을 제 1 RPS(201)로부터 유입시키는 것은, 이중-구역 샤워헤드(203) 위에 있는 컴포넌트들의 불필요한 세정으로 이어질 수 있다. 그러므로, 세정 라디칼들은 이중-구역 샤워헤드(203) 아래에 있는 위치(하류)에서 프로세싱 영역(230) 내로 유입된다.
[0024] 제 1 RPS(201)는, 기판 지지부(265) 상에 배치된 기판(255) 상에 유동가능한 필름을 형성하는 전구체 라디칼들을 형성하기 위해, 전구체 가스, 예컨대, 실리콘 함유 가스, 산소 함유 가스, 및/또는 질소 함유 가스를 여기시키도록 구성된다. 제 2 RPS(214)는, 프로세싱 챔버(200)의 컴포넌트들, 예컨대, 기판 지지부(265) 및 챔버 벽(235)을 세정하는 세정 라디칼들을 형성하기 위해, 세정 가스, 예컨대, 불소 함유 가스를 여기시키도록 구성된다. 제 1 RPS(201)를 프로세싱 챔버(200)의 덮개(212) 상에 배치시키는 한편 제 2 RPS(214)를 챔버 벽(235)에 커플링시키는 것은, 증착에 대한 우선 순위에 기인하여 더 양호한 증착 균일성을 달성할 수 있다. 부가적으로, 이중-구역 샤워헤드(203)와 기판 지지부(265) 사이에 세정 라디칼들을 유입시키는 것은 높은 세정 에칭 레이트를 달성할 수 있고, 세정 레이트 분배를 개선할 수 있다. 게다가, 유동가능한 필름을 기판(255) 상에 증착시키는 데에 사용되는 라디칼들은 이중-구역 샤워헤드(203)에 의해 프로세싱 영역 내로 유입되는 반면, 프로세싱 챔버(200)의 컴포넌트들을 세정하는 데에 사용되는 라디칼들은 라디칼 전달 링(210)에 의해 프로세싱 영역 내로 유입된다. 증착 라디칼들 및 세정 라디칼들을 전달하는 데에 사용되는 채널들을 분리시킴으로써, 프로세싱 챔버(200)의 컴포넌트들에 대한 교차 오염 및 주기적인 교환이 감소되며, 이는 개선된 증착 레이트 드리프팅 및 입자 성능을 초래한다.
[0025] 프로세싱 챔버(200)는 바닥부(280), 바닥부(280)에 형성된 슬릿 밸브 개구부(275), 및 바닥부(280)에 커플링된 펌핑 링(250)을 더 포함한다. 펌핑 링(250)은 잔여 전구체 가스들 및 라디칼들을 프로세싱 챔버(200)로부터 제거하는 데에 활용된다. 프로세싱 챔버(200)는 기판(255)을 기판 지지부(265) 및 기판 지지부(265)를 지지하는 샤프트(270)로부터 상승시키기 위한 복수의 리프트 핀들(260)을 더 포함한다. 샤프트(270)는 샤프트(270)를 회전시킬 수 있는 모터(272)에 커플링되는데, 이는 결국 기판 지지부(265) 및 기판 지지부(265) 상에 배치된 기판(255)을 회전시킨다. 프로세싱 또는 세정 동안에 기판 지지부(265)를 회전시키는 것은, 특히, 기판 지지부 포켓의 반경의, 개선된 증착 균일성뿐만 아니라 세정 균일성을 달성할 수 있다.
[0026] 도 3은, 일 구현에 따른 라디칼 전달 링(210)의 개략적인 상면 단면도이다. 도 3에 도시된 바와 같이, 라디칼 전달 링(210)은 외측 부분(302), 내측 부분(304), 및 외측 부분(302)과 내측 부분(304) 사이에 형성된 영역(305), 예컨대, 채널을 포함한다. 영역(305)은, 도 3에 도시된 바와 같이, 환형일 수 있다. 유입구(303)는 외측 부분(302)에 형성된다. 유입구(303)는 챔버 벽(235)에 형성된 유입구(218)(도 2)와 정렬된다. 복수의 채널들(306)이 내측 부분(304)에 형성된다. 복수의 채널들(306)은 도 2에 도시된 복수의 채널들(216)일 수 있다. 몇몇 구현들에서, 복수의 채널들(306)은 동일한 크기를 갖고, 라디칼 전달 링(210)의 중심축(308)에 대해 비대칭적으로 배치된다. 몇몇 구현들에서, 복수의 채널들(306)은 상이한 크기를 갖고, 중심축(308)에 대해 대칭적으로 배치된다.
[0027] 세정 동안, 세정 라디칼들은 제 2 RPS(214)(도 2)로부터 유입구(303)를 통해 영역(305) 내로 유동한다. 그런다음, 세정 라디칼들은 내측 부분(304)에 형성된 복수의 채널들(306)을 통해 프로세싱 영역(230) 내로 유동한다. 중심축(308)은 내측 부분(304)을 상류 부분(310)과 하류 부분(312)으로 분할한다. 상류와 하류는 유입구(303)로부터의 라디칼들의 유동을 지칭한다. 몇몇 구현들에서, 복수의 채널들(306)은 내측 부분(304)에서 중심축(308)에 대해 비대칭적으로 배치될 수 있고, 이로써, 더 많은 채널들(306)이 상류 부분(310)보다 하류 부분(312)에 배치된다. 몇몇 구현들에서, 하류 부분(312)에 배치된 채널들(306)은 상류 부분(310)에 배치된 채널들(306)보다 더 큰 크기를 갖는다. 중심축(308)에 대한 채널들(306)의 상이한 크기들 및 중심축(308)에 대한 채널들(306)의 비대칭적 위치들은, 더 많은 라디칼들이, 플라즈마 농도가 낮은 하류 부분(312)을 통해 유동하게 하여, 챔버 컴포넌트들의 더 균일한 세정으로 이어진다.
[0028] 도 4a-4b는, 구현들에 따른 라디칼 전달 링(210)의 부분의 측단면도이다. 도 4a에 도시된 바와 같이, 라디칼 전달 링(210)은 외측 부분(302), 내측 부분(304), 외측 부분(302)과 내측 부분(304)을 연결하는 상부 부분(402), 및 외측 부분(302)과 내측 부분(304)을 연결하는 하부 부분(404)을 포함한다. 영역(305)은 외측 부분(302), 내측 부분(304), 상부 부분(402), 및 하부 부분(404)에 의해 정의된다. 링(406)은 내측 부분(304)에 커플링된다. 내측 부분(304)은 내측 표면(408)을 포함하고, 링(406)은 내측 표면(410)을 포함한다. 내측 표면들(408, 410)은 세정 라디칼들을 프로세싱 영역(230) 내에 균일하게 분배하기 위해 콘(cone) 형상을 형성한다. 복수의 채널들(306)은, 도 4a에 도시된 바와 같이, 기판 지지부(265)(도 2)의 주요 표면(major surface)에 대해 실질적으로 평행할 수 있다. 대안적으로, 복수의 채널들(306)은, 도 4b에 도시된 바와 같이, 기판 지지부(265)(도 2)를 향하여 하방으로 경사진다(tilted). 몇몇 구현들에서, 복수의 채널들(306)은 동일한 경사 각도를 갖는다. 몇몇 구현들에서, 복수의 채널들(306)은 상이한 경사 각도를 갖는다.
[0029] 도 5는, 일 구현에 따른 라디칼 전달 디바이스(500)의 개략적인 사시도이다. 몇몇 구현들에서, 세정 라디칼들을 프로세싱 영역(230)(도 2) 내에 전달하기 위해 라디칼 전달 링(210)(도 2)을 사용하는 대신, 라디칼 전달 디바이스(500)가 활용될 수 있다. 라디칼 전달 디바이스(500)는 챔버 벽(235)(도 2)에 배치될 수 있다. 라디칼 전달 디바이스(500)는 제 1 단부(502) 및 제 2 단부(504)를 포함한다. 제 2 단부(504)는 제 2 RPS(214)(도 2)에 커플링되고, 제 1 단부(502)는 프로세싱 영역(230)(도 2)을 향한다. 제 2 RPS(214)(도 2)로부터 프로세싱 영역(230) 내로 세정 라디칼들을 전달하기 위해, 복수의 채널들(506)이 라디칼 전달 디바이스(500)에 형성된다. 세정 라디칼들은 제 2 RPS(214)로부터 제 2 단부(504)로 유동하고, 그런다음 복수의 채널들(506)을 통해 프로세싱 영역(230) 내로 유동한다.
[0030] 도 6은, 다른 구현에 따른 프로세싱 챔버(600)의 개략적인 측면도이다. 도 6에 도시된 바와 같이, 프로세싱 챔버(600)는 라디칼 유입구 조립체(601), 기판(604)을 지지하는 기판 지지부(602)를 포함한다. 제 1 RPS(606)는 라디칼 유입구 조립체(601)에 커플링된다. 증착 프로세스들 동안, 제 1 RPS(606)에서 형성된 전구체 라디칼들은 라디칼 유입구 조립체(601)에 의해 프로세싱 영역(608) 내로 유입된다. 이중-구역 샤워헤드, 예컨대, 도 2에 도시된 이중-구역 샤워헤드(203)가 프로세싱 챔버(600)에서 활용될 수 있다. 프로세싱 챔버(600)는 프로세싱 영역(608) 내로 연장되는 복수의 튜브들(610)을 더 포함한다. 복수의 튜브들(610)은 제 2 RPS(612)에 연결된다. 세정 동작들 동안, 제 2 RPS(612)에서 형성된 세정 라디칼들은 복수의 튜브들(610)에 의해 프로세싱 영역(608) 내로 유입된다. 증착 및 세정 균일성을 개선하기 위해, 기판 지지부(602)는 모터, 예컨대, 도 2에 도시된 모터(272)에 의해 회전될 수 있다.
[0031] 도 7은, 일 구현에 따른 튜브(610)의 개략적인 측단면도이다. 도 7에 도시된 바와 같이, 튜브(610)는 세정 라디칼들을 프로세싱 영역(608)(도 6) 내로 유동시키기 위한 복수의 개구부들(702)을 포함한다. 개구부들(702)의 위치 및 크기는 세정 균일성을 개선하기 위해 변화될 수 있다.
[0032] 제 1 RPS를 프로세싱 챔버의 덮개 상에 배치시키는 한편 제 2 RPS를 챔버 벽에 커플링시키는 것은, 증착에 대한 우선 순위에 기인하여 더 양호한 증착 균일성을 달성할 수 있다. 부가적으로, 이중-구역 샤워헤드와 기판 지지부 사이에 세정 라디칼들을 유입시키는 것은 높은 세정 에칭 레이트를 달성할 수 있고, 세정 레이트 분배를 개선할 수 있다. 또한, 증착 라디칼들 및 세정 라디칼들을 전달하는 데에 사용되는 채널들을 분리시킴으로써, 프로세싱 챔버의 컴포넌트들에 대한 교차 오염 및 주기적인 교환이 감소되며, 이는 개선된 증착 레이트 드리프팅 및 입자 성능을 초래한다.
[0033] 전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 구현들이 본 개시내용의 기본적인 범위로부터 벗어나지 않고 안출될 수 있으며, 본 개시내용의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (15)

  1. 링으로서,
    외측 부분;
    내측 부분 - 환형 채널이 상기 외측 부분과 상기 내측 부분 사이에 형성되며, 복수의 채널들이 상기 내측 부분에 형성되고, 상기 복수의 채널들은 상기 링의 중심축에 대해 비대칭적으로 배치됨 -;
    상기 외측 부분과 상기 내측 부분을 연결하는 상부 부분; 및
    상기 외측 부분과 상기 내측 부분을 연결하는 하부 부분을 포함하는,
    링.
  2. 제 1 항에 있어서,
    상기 복수의 채널들은 경사지는(tilted),
    링.
  3. 제 1 항에 있어서,
    상기 복수의 채널들은 상이한 크기들을 갖는,
    링.
  4. 제 1 항에 있어서,
    상기 복수의 채널들은 동일한 크기를 갖는,
    링.
  5. 제 1 항에 있어서,
    상기 내측 부분은 상류 부분 및 하류 부분을 포함하는,
    링.
  6. 제 5 항에 있어서,
    상기 하류 부분은 상기 상류 부분보다, 상기 복수의 채널들 중 더 많은 채널들을 포함하는,
    링.
  7. 제 5 항에 있어서,
    상기 하류 부분은 상기 상류 부분의 복수의 채널들의 채널들보다 더 큰 크기를 갖는 복수의 채널들의 채널들을 포함하는,
    링.
  8. 프로세싱 챔버로서,
    덮개;
    챔버 벽 - 상기 덮개는 상기 챔버 벽 위에 배치됨 -;
    상기 덮개 아래에 배치된 샤워헤드;
    상기 샤워헤드 아래에 배치된 기판 지지부; 및
    상기 샤워헤드와 상기 기판 지지부 사이에 배치된 라디칼 전달 링을 포함하고, 상기 라디칼 전달 링은,
    외측 부분;
    내측 부분 - 복수의 채널들이 상기 내측 부분에 형성됨 -;
    상기 외측 부분과 상기 내측 부분을 연결하는 상부 부분; 및
    상기 외측 부분과 상기 내측 부분을 연결하는 하부 부분을 포함하는,
    프로세싱 챔버.
  9. 제 8 항에 있어서,
    상기 복수의 채널들은 경사지는,
    프로세싱 챔버.
  10. 제 8 항에 있어서,
    상기 복수의 채널들은 상기 내측 부분에서 축에 대해 비대칭적으로 배치되는,
    프로세싱 챔버.
  11. 제 8 항에 있어서,
    상기 복수의 채널들은 상이한 크기들을 갖는,
    프로세싱 챔버.
  12. 제 8 항에 있어서,
    상기 내측 부분은 상류 부분 및 하류 부분을 포함하는,
    프로세싱 챔버.
  13. 제 12 항에 있어서,
    상기 하류 부분은 상기 상류 부분보다, 상기 복수의 채널들 중 더 많은 채널들을 포함하는,
    프로세싱 챔버.
  14. 프로세싱 챔버로서,
    덮개;
    상기 덮개 위에 배치된 제 1 원격 플라즈마 소스;
    챔버 벽 - 상기 덮개는 상기 챔버 벽 위에 배치됨 -;
    상기 챔버 벽에 커플링된 제 2 원격 플라즈마 소스;
    상기 덮개 아래에 배치된 샤워헤드;
    상기 샤워헤드 아래에 배치된 기판 지지부; 및
    상기 샤워헤드와 상기 기판 지지부 사이에 배치된 라디칼 전달 링을 포함하는,
    프로세싱 챔버.
  15. 제 14 항에 있어서,
    상기 라디칼 전달 링은,
    외측 부분;
    내측 부분 - 복수의 채널들이 상기 내측 부분에 형성됨 -;
    상기 외측 부분과 상기 내측 부분을 연결하는 상부 부분; 및
    상기 외측 부분과 상기 내측 부분을 연결하는 하부 부분을 포함하는,
    프로세싱 챔버.
KR1020170160757A 2016-11-29 2017-11-28 유동가능한 cvd를 위한 이중 원격 플라즈마 소스들의 통합 KR102210390B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662427544P 2016-11-29 2016-11-29
US62/427,544 2016-11-29

Publications (2)

Publication Number Publication Date
KR20180061061A true KR20180061061A (ko) 2018-06-07
KR102210390B1 KR102210390B1 (ko) 2021-02-01

Family

ID=62193173

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170160757A KR102210390B1 (ko) 2016-11-29 2017-11-28 유동가능한 cvd를 위한 이중 원격 플라즈마 소스들의 통합

Country Status (4)

Country Link
US (1) US10934620B2 (ko)
KR (1) KR102210390B1 (ko)
CN (1) CN108118312A (ko)
TW (1) TWI700388B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
WO2024076665A1 (en) * 2022-10-07 2024-04-11 Applied Materials, Inc. Methods for clean rate improvement in multi-rpsc pecvd systems

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016517167A (ja) * 2013-03-13 2016-06-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Epiベースリング

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
JPH05175135A (ja) * 1991-10-03 1993-07-13 Ulvac Japan Ltd 光cvd装置
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US20050274396A1 (en) * 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8702867B2 (en) * 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
KR101562327B1 (ko) * 2008-07-08 2015-10-22 주성엔지니어링(주) 가스분배판 및 이를 포함하는 기판처리장치
US9117670B2 (en) * 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
CN204857653U (zh) * 2014-06-20 2015-12-09 应用材料公司 具有分隔的供气管线的等离子体处理腔室及等离子体处理系统

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016517167A (ja) * 2013-03-13 2016-06-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Epiベースリング

Also Published As

Publication number Publication date
KR102210390B1 (ko) 2021-02-01
US20180148840A1 (en) 2018-05-31
US10934620B2 (en) 2021-03-02
CN108118312A (zh) 2018-06-05
TW201833378A (zh) 2018-09-16
TWI700388B (zh) 2020-08-01

Similar Documents

Publication Publication Date Title
US10964512B2 (en) Semiconductor processing chamber multistage mixing apparatus and methods
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
KR102640272B1 (ko) 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들
EP1361604B1 (en) Device and method for treatment
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
US10312076B2 (en) Application of bottom purge to increase clean efficiency
WO2017040722A9 (en) Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
JP2000507746A (ja) プロセスガスの均一な分配のためのシャワーヘッド
KR102549735B1 (ko) 통합된 직접 유전체 및 금속 증착
US11715667B2 (en) Thermal process chamber lid with backside pumping
JP2024511195A (ja) 適用体積内へのボトムパージの侵入を防止し、ヒータ下のガス拡散を処理するハードウェア
KR102210390B1 (ko) 유동가능한 cvd를 위한 이중 원격 플라즈마 소스들의 통합
US20180258531A1 (en) Diffuser design for flowable cvd
JP2003158081A (ja) 基板処理装置
TWI814291B (zh) 均勻的原位清洗及沉積
US11862475B2 (en) Gas mixer to enable RPS purging
TWI838240B (zh) 具有背側泵送的熱處理腔室蓋
TWI840708B (zh) 用於rps淨化的半導體處理系統
US20220298636A1 (en) Methods and apparatus for processing a substrate
US20220186367A1 (en) Deposition Apparatus and Methods Using Staggered Pumping Locations
KR102181120B1 (ko) 기판 처리 장치
JP2016191147A (ja) プラズマ化学気相蒸着装置および方法
KR20170059725A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant