KR102549735B1 - 통합된 직접 유전체 및 금속 증착 - Google Patents

통합된 직접 유전체 및 금속 증착 Download PDF

Info

Publication number
KR102549735B1
KR102549735B1 KR1020197014092A KR20197014092A KR102549735B1 KR 102549735 B1 KR102549735 B1 KR 102549735B1 KR 1020197014092 A KR1020197014092 A KR 1020197014092A KR 20197014092 A KR20197014092 A KR 20197014092A KR 102549735 B1 KR102549735 B1 KR 102549735B1
Authority
KR
South Korea
Prior art keywords
film
deposition
gas
dielectric
depositions
Prior art date
Application number
KR1020197014092A
Other languages
English (en)
Other versions
KR20190057415A (ko
Inventor
윌리엄 티. 리
바트 제이. 반 슈라벤디즈크
데이비드 찰스 스미스
마이클 다넥
패트릭 에이. 반 클림풋
라메시 찬드라세카란
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190057415A publication Critical patent/KR20190057415A/ko
Application granted granted Critical
Publication of KR102549735B1 publication Critical patent/KR102549735B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1335Structural association of cells with optical devices, e.g. polarisers or reflectors
    • G02F1/133502Antiglare, refractive index matching layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nonlinear Science (AREA)
  • Ceramic Engineering (AREA)
  • Mathematical Physics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

유전체 및 도전체의 교번하는 층들, 단일 툴 내에서, 심지어 단일 프로세스 챔버 내에서 예를 들어 옥사이드/금속 또는 금속 나이트라이드, 예를 들어, SiO2/TiN의 효율적인 통합된 순차적인 증착은 많은 층들을 갖는 OMOM 스택을 직접 증착할 때 품질을 손상시키지 않고 쓰루풋을 향상시킨다. 도전체 막 증착과 유전체 막 증착 사이에 실질적인 교차-오염이 없도록, 막 증착들 사이에 진공을 파괴하지 않고 동일한 프로세싱 툴 또는 챔버에서 적어도 20 개의 도전체/유전체 막 쌍들의 도전체 막 및 유전체 막의 스택이 달성될 수 있다.

Description

통합된 직접 유전체 및 금속 증착
삭제
메모리 밀도가 계속해서 상승함에 따라, 산업계는 3D 구조체들로 바뀌었다. 수직으로-배열된 저장 디바이스들이 3D NAND 디바이스들에서 유전체 및 금속의 교번하는 층들의 스택들로 구성된다. 그리고 유사한 3D 구성들을 또한 활용하는 PCRAM, ReRAM, FeRAM, 등과 같은 새로운 타입들의 메모리가 있다.
현재, 3D NAND 디바이스들에서 유전체 및 금속의 교번하는 층들의 스택들은 영구적인 유전체 (예를 들어, SiO2) 및 일시적/희생적 유전체 (예를 들어, Si3N4) 의 교번하는 층들을 먼저 증착함으로써 구성된다. 이어서, 채널 홀 에칭 및 채널 홀 내에 NAND 디바이스 형성 후에, 통상적으로 습식 에칭에 의해 Si3N4 층들이 제거되고, 금속 층들 (예를 들어, 3D NAND의 측방향 워드라인들을 위한 TiN 배리어 + W 충진 (fill)) 에 의해 대체된다. 스택들이 점점 길어지기 (taller) 때문에 (예를 들어, 24/36-쌍의 제 1 생성으로부터 미래의 96/100 미만-쌍까지), 수직 피처들 (예컨대 채널 홀들, 슬릿들/트렌치들, 계단들 (staircases), 등) 을 에칭하기 보다 어려워지고, 측방향 금속 (3D NAND의 워드라인) 층들이 Si3N4 제거 후 충진하기 보다 어려워진다.
본 개시는 또한 OMOM (옥사이드/금속) 스택으로서 본 명세서에 참조되는, 유전체/도전체 스택을 효율적이고 효과적으로 직접적으로 증착하기 위한 장치 및 방법들에 관한 것이다. 현재, 옥사이드 증착 및 금속/금속 나이트라이드 증착은 일반적으로 옥사이드 및 금속/금속 나이트라이드 전용 프로세스 챔버들을 갖는, 분리된 툴들에서 수행된다. 단일 툴 내에서, 심지어 단일 프로세스 챔버 내에서, 옥사이드 및 금속들의 교번하는 층들의 효율적인 순차적인 증착은 많은 층들을 갖는 OMOM 스택을 직접 증착할 때 툴들 사이에서 또는 챔버들 사이에서 웨이퍼들을 이동시키는 부가가치를 창출하지 못하는 (non-value added) 시간을 감소시키거나 최소화한다. 이러한 장치 및 방법들이 본 명세서에 제공된다.
본 개시의 목적들을 위해, 이 OMOM 맥락에서 사용된 "금속"은 다양한 실시예들에서 500 μΩ ㎝의 최대 저항률을 갖는 도전체를 의미하는 것으로 보다 일반적으로 이해되어야 한다. 그리고 이 OMOM 맥락에서 사용된 "옥사이드"는 다양한 실시예들에서 유전체를 의미하는 것으로 보다 일반적으로 이해되어야 한다. 따라서, 다양한 실시예들에서, OMOM 스택의 도전체는 Ti와 같은 금속 또는 도전성 금속 염, 구체적으로 도전성 금속 나이트라이드, 예를 들어, TiN일 수도 있고; 그리고 유전체는 실리콘 다이옥사이드 (SiO2) 와 같은 옥사이드일 수도 있다.
다양한 실시예들에서, 개시된 장치 및 방법들은, 구체적으로 순차 모드 또는 배치 (batch) 모드에서 페데스탈들 또는 모듈들을 실행하는, 멀티-모듈 플랫폼 상에서, 멀티-페데스탈 프로세스 모듈들을 사용하는, 다른 멀티-막 순차적인 직접 유전체/도전체 증착으로 일반화될 수 있다. 본 개시는 동일한 프로세스 모듈에서 직접 유전체/금속 증착을 구현하기 위한 하드웨어 피처들, 뿐만 아니라 페데스탈들과 프로세스 모듈들 사이에서, 그리고 단일 진공 프로세스 분위기에서, 웨이퍼들을 이동시키는 웨이퍼 핸들링 오버헤드를 감소시키는 방법에 관한 것이다.
패터닝된 반도체 기판 상에 막 스택을 형성하는 방법의 다양한 실시예들이 제공된다. 개시된 방법들은 도전성 막 증착 및 유전체 막 증착이 패터닝된 반도체 기판 상에 도전체/유전체 막 쌍의 형성을 발생시키도록, 도전성 막을 증착하는 단계 및 유전체 막을 증착하는 단계를 수반한다. 이어서, 적어도 20 쌍들의 도전체 막과 유전체 막의 교번하는 층들을 포함하는 막 스택을 형성하도록 상기 도전성 막 및 상기 유전체 막의 증착이 반복된다. 상기 도전성 막 증착 및 상기 유전체 막 증착은 상기 막 증착들 사이에 진공을 파괴하지 않고 (without break), 동일한 프로세싱 툴 또는 챔버에서 수행되고, 그리고 상기 도전성 막 증착과 상기 유전체 막 증착 사이에 실질적인 교차-오염이 없다.
일부 구현예들에서, 도전체 (예를 들어, 금속 및/또는 도전성 금속 나이트라이드) 및 유전체 (예를 들어, 옥사이드), 예를 들어, TiN 및 SiO2의 막 쌍 증착들이 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 상이한 모듈들에서 수행된다. 일부 구현예들에서, 도전체/유전체 막 쌍 증착들은 증착들 사이에서 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 상이한 스테이션들 상에서 수행된다. 일부 다른 구현예들에서, 도전체/유전체 막 쌍 증착들은 증착들 사이에서 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 동일한 스테이션에서 수행된다.
일부 구현예들에서, 적어도 20 쌍들의 도전체/유전체 막 쌍들이 적어도 5 웨이퍼들/시간 (wafers per hour) 의 레이트로 증착된다.
또 다른 양태에서, 본 개시는 패터닝된 반도체 기판 상에 도전체 막 및 유전체 막의 층들을 포함하는 막 스택의 통합 형성 장치에 관한 것이다. 도전체 및 유전체 막 증착들은 막 증착들 사이에서 진공을 파괴하지 않고, 동일한 프로세싱 툴 또는 챔버에서 수행되고; 그리고 도전체 막 증착과 유전체 막 증착 사이에 실질적인 교차-오염이 없다. 장치 아키텍처들이 적어도 5 웨이퍼들/시간의 레이트로 적어도 20 개의 도전체/유전체 막 쌍들의 스택의 증착을 허용한다.
장치의 일부 구현예들에서, 도전체 및 유전체 (예를 들어, 금속 나이트라이드 및 옥사이드, 예를 들어, TiN 및 SiO2) 쌍 증착들은 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 상이한 모듈들에서 수행된다. 장치의 일부 구현예들에서, 도전체/유전체 막 쌍 증착들은 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 상이한 스테이션들 상에서 수행된다. 장치의 일부 다른 구현예들에서, 도전체/유전체 막 쌍 증착들은 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 동일한 스테이션 상에서 수행된다.
본 개시의 이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 OMOM 막 스택을 포함하는 대표적인 3D NAND 디바이스를 도시한다.
도 2는 일 실시예에 따른, 복수의 플라즈마-활성화된 막 증착 페이즈들을 사용하여 OMOM (옥사이드/금속) 막 스택을 인-시츄 (in-situ) 로 증착하는 방법을 예시하는 플로우 차트를 도시한다.
도 3은 제 1 실시예에 따른, 동일한 툴의 상이한 모듈들에서 구현된 통합된 유전체 및 금속 증착을 도시한다.
도 4는 제 2 실시예에 따른, 동일한 툴의 동일한 모듈(들)의 상이한 페데스탈들 상에서 구현된 통합된 유전체 및 금속 증착을 도시한다.
도 5는 제 3 실시예에 따른, 동일한 툴의 동일한 모듈(들)의 동일한 페데스탈(들) 상에서 순차적으로 구현된 통합된 유전체 및 금속 증착을 도시한다.
도 6 및 도 7은 본 명세서에 개시된 실시예들에 따른 화학적 증착 장치를 도시하는 개략도들이다.
도 8은 예시적인 실시예에 따른, 가스 기반 시일링 시스템을 갖는 화학적 증착 장치의 단면도를 도시한다.
도 9는 예시적인 실시예에 따른, 가스 기반 시일링 시스템을 갖는 화학적 증착 장치의 증착 챔버의 일부의 단면도를 도시한다.
도 10은 가스 기반 시일링 시스템을 갖는 화학적 증착 장치의 증착 챔버의 일부의 단면도를 도시한다.
도 11은 예시적인 실시예에 따른, 가스 기반 시일링 시스템을 갖는 화학적 증착 장치의 증착 챔버의 일부의 단면도를 도시한다.
도 12는 예시적인 실시예에 따른, 가스 기반 시일링 시스템을 갖는 화학적 증착 장치의 증착 챔버의 일부의 단면도를 도시한다.
도 13은 예시적인 실시예에 따른, 가스 기반 시일링 시스템의 개략도를 도시한다.
도 14는 샤워헤드 모듈의 절단도를 도시한다.
도 15는 도 14의 샤워헤드 모듈의 또 다른 절단도를 도시한다.
도 16은 단일-스테이션 기판 프로세싱 장치의 단면 개략도를 도시한다.
도 17은 한 쌍의 프로세스 스테이션들의 개략적인 예시를 도시한다.
도 18은 커튼 가스를 프로세스 챔버 내로 방출하도록 구성된 샤워헤드를 포함하는 예시적인 프로세싱 챔버를 도시한다.
도 19는 커튼 가스를 프로세스 챔버 내로 방출하도록 구성되는 제 2 예시적인 프로세싱 챔버를 도시한다.
도 20은 커튼 가스를 프로세스 챔버 내로 방출하도록 구성된 페데스탈을 포함하는 제 3 예시적인 프로세싱 챔버를 도시한다.
도 21은 기판 프로세싱 시스템의 예를 도시한다.
도 22는 도 21의 칼라 (collar) 의 예를 도시한다.
도 23은 도 21의 칼라에 대한 유체 커넥터의 예를 도시한다.
도 24a 및 도 24b는 도 21의 플레이트의 예들을 도시한다.
이하의 기술에서, 다수의 구체적인 상세들이 제공된 실시예들의 완전한 이해를 제공하도록 진술되었다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
도입
단일 툴 내에서, 심지어 단일 프로세스 챔버 내에서 유전체 및 금속들의 교번하는 층들의 효율적인 순차 증착은 많은 층들로 유전체/금속 스택을 직접 증착할 때 품질을 손상시키지 않고 쓰루풋을 향상시킨다. 본 개시의 목적들을 위해, 이 맥락에서 사용된 "금속"은 금속들 및 도전성 금속 염들, 구체적으로 도전성 금속 나이트라이드들, 예를 들어, TiN을 포함하는, 500 μΩ ㎝의 최대 저항률을 갖는 도전체를 의미하는 것으로 이해되어야 한다. 이러한 장치 및 방법들이 본 명세서에 제공된다.
통상적인 현재 3D NAND 제작시, 24 내지 64 쌍들의 SiO2/Si3N4 층들의 스택을 포함하는 "몰드 스택"이 통상적으로 PECVD 유전체 증착 툴에서 증착된다. SiO2 층 및 Si3N4 층은 전체 스택 또는 스택의 상당한 분획 (fraction) 이 증착될 때까지, 웨이퍼를 이동시키지 않고, (또한 페데스탈로 지칭되는) 스테이션 상에서 순차적으로 증착된다. 이어서 수직 채널들이 고 종횡비 에칭에 의해 몰드 스택의 옥사이드 층 및 나이트라이드 층을 통해 에칭 다운되고 (etched down), 콘택트들을 형성하도록 금속으로 충진된다. 이어서, 두꺼운 포토레지스트 층이 도포되고 패터닝되고, 옥사이드/나이트라이드 쌍들의 일 세트가 에칭되고 이어서 포토레지스트 패턴은 축소되고 (shrunk) 옥사이드/나이트라이드 층들의 다음 쌍이 에칭된다. 이 시퀀스는 어레이의 에지에서 계단 (stair step) 구조체를 생성하도록 반복된다. 두꺼운 옥사이드 층이 증착되고 평탄화된 후, 워드라인 슬롯 마스크가 도포되고 슬롯이 모든 옥사이드/나이트라이드 층 쌍들을 통해 에칭된다. 이어서 나이트라이드 층들은 워드라인 슬롯을 통해 완전히 에칭된다 (etched out). 실리콘 다이옥사이드, 실리콘 나이트라이드, 알루미늄 옥사이드, 텅스텐 및 탄탈룸 나이트라이드의 게이트 스택이 증착되고 다시 에칭되고 (etched back) 마지막으로 슬롯은 옥사이드 및 텅스텐으로 충진된다. Lam의 ALTUS Max와 같은 별도의 금속 충진 툴에서 금속 증착이 발생한다. 이러한 방식으로 형성된 통상적인 3D NAND 디바이스 (1) 가 도 1에 도시되고, 스택 (2), 채널 (3) 및 콘택트 금속 충진물 (4), 계단 에칭에 의해 형성된 계단 (5), 슬릿 (6) 및 워드라인 금속 충진물 (7), 및 그 위의 비트라인 (8) 을 도시한다. 하나의 메모리 셀 (9) 이 확대 도시된다.
이 접근방법은 각각 대략 25 ㎚ 내지 30 ㎚의 현재 스택 층 두께의 층들에 작용하지만, 예를 들어 각각 대략 10 ㎚ 내지 15 ㎚의 보다 작은 두께들에는 보다 어려워진다. 보다 얇은 층들에 대한 필요성은 총 스택 두께를 제어하기 위한 필요성에 의해 구동된다 (예를 들어, 리소그래피 동안 총 평탄도를 유지하기 위해, 축적된 응력으로부터 총 웨이퍼 보우 (bow) 를 최소화하기 위해, 에칭 요건들을 용이하게 하도록, 등). 따라서, 금속 또는 다른 금속-함유 도전성 재료에 의한 'N' 번의 교체가 이어지는, 이 "ONON"(옥사이드/나이트라이드) 증착은 결국 실행불가능하게 될 수도 있다.
유전체/금속 스택을 직접 증착하기 위해 현재 이용가능한 방법론들에 따라, 웨이퍼는 통상적으로 반도체 제조 플랜트의 상이한 부분들에 있을 유전체-특정 증착 툴과 금속-특정 증착 툴 사이에서 이동하게 된다. 따라서, n-쌍 스택에 대해, 웨이퍼는 툴들 사이에서 2n 번 이동해야 할 것이다. 이는 매우 시간-소모적이고, 엄청난 양의 WIP (work-in-progress) 웨이퍼 재고를 생성하고, 용인할 수 없는 총 비용을 부가한다.
복수의 타깃들 (유전체를 위한 일 타깃 그리고 금속을 위한 다른 타깃) 을 사용한 PVD (증기 (evaporation) 타입, 또는 스퍼터 (sputter) 타입) 가 진공을 파괴하지 않고 스택으로 교번하는 유전체/금속 층들을 직접 증착하도록 사용될 수 있다. 그러나, 이들 툴들은 하이-레이어 카운트 (high-layer count) 3D 메모리 디바이스들에 사용하기 충분하게 균일하지 않은 막들을 증착하는 경향이 있다, PVD를 사용한 유전체 품질 방법들은 본질적인 손상, 결함들, 및/또는 트랩들 (traps) 로 인해, 불량한 품질의 유전체가 되는 경향이 있다.
본 개시는 동일한 툴 상에서, 심지어 동일한 프로세스 모듈에서 모두 우수한 두께 균일도를 갖는, 매우 매끄럽고, 고-품질 유전체 및 금속/도전성 금속-함유층들을 효율적으로 증착하기 위한 장치 및 프로세스들: 통합된 직접 유전체 및 금속 증착을 기술한다.
통합된 OMOM 막 스택 증착 프로세스들
패터닝된 반도체 기판 상에 막 스택을 형성하는 방법의 다양한 실시예들이 제공된다. 도 2는 유전체 막을 증착하는 단계 (20), 및 유전체 막 증착 및 도전성 막 증착이 패터닝된 반도체 기판 상에 유전체/도전체 막 쌍의 형성을 발생시키도록 도전성 막을 증착하는 단계 (21) 를 포함하는 개시된 방법들에 대한 프로세스 플로우를 제공한다. 이어서, 도전성 막 및 유전체 막을 증착하는 단계는 적어도 20 쌍들의 도전체 막 및 유전체 막의 교번하는 층들을 포함하는, 막 스택을 형성하도록 반복된다 (22). 도전성 막 증착 및 유전체 막 증착은, 막 증착들 사이에 진공을 파괴하지 않고, 동일한 프로세싱 툴 또는 챔버 내에서 수행되고, (23) 그리고 도전성 막 증착과 유전체 막 증착 사이에 실질적인 교차-오염이 없이 (24) 통합된다.
통합된 증착은 쓰루풋을 향상시킨다. 다양한 실시예들에서, 특히 도전체 증착 및 유전체 증착이 동일한 모듈에서 수행되고, 도전성 막 및 유전체 막 쌍들은 적어도 5 웨이퍼들/시간의 레이트로 스택으로 증착된다 (25, 모든 실시예들에 필수적이지 않고 일부 실시예들의 특징으로서, 파선으로 도시됨).
일 양태에서, 본 개시는 패터닝된 반도체 기판 상에 티타늄 나이트라이드 (TiN; 금속) 막 및 실리콘 옥사이드 (SiO2; 옥사이드) 막의 교번하는 층들의 쌍들을 포함하는 막 스택을 형성하는 방법에 관한 것이다. 방법은 TiN 막을 증착하는 단계-TiN 막은 TiN 전구체의 반응으로부터 형성됨-, 및 실리콘 옥사이드 막을 증착하는 단계-실리콘 옥사이드 막은 실리콘을 포함하는 하나 이상의 전구체와 산화제의 반응으로부터 형성됨- 및 적어도 20 쌍들의 TiN 막 및 SiO2들의 교번하는 층들을 포함하는 막 스택을 형성하기 위해 TiN 및 SiO2 막을 증착하는 단계를 반복하는 단계를 수반한다. TiN 막 및 SiO2 막 쌍 증착들은 막 증착들 사이에 진공을 파괴하지 않고 동일한 프로세싱 툴 또는 챔버에서 수행되고, TiN 막 증착과 SiO2 막 증착 사이에 실질적인 교차-오염이 없다.
일부 구현예들에서, 도전체 (예를 들어, 금속 및/또는 도전성 금속 나이트라이드) 및 유전체 (예를 들어, 실리콘 옥사이드) 막 쌍 증착들은 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 상이한 모듈들에서 수행된다. 일부 구현예들에서, 금속 및/또는 도전성 금속 나이트라이드 및 실리콘 옥사이드 막 쌍 증착들은 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 상이한 스테이션들 상에서 수행된다. 일부 다른 구현예들에서, 금속 및/또는 도전성 금속 나이트라이드 및 실리콘 옥사이드 막 쌍 증착들은 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 동일한 스테이션 상에서 수행된다.
일부 구현예들에서, 적어도 20 쌍들의 금속 및/또는 도전성 금속 나이트라이드 및 실리콘 옥사이드 막 쌍들이 적어도 5 웨이퍼들/시간의 레이트로 증착된다. 다른 구현예들에서, 적어도 40 쌍들, 또는 적어도 50, 60, 70, 80, 90 또는 100 쌍들의 금속 및/또는 도전성 금속 나이트라이드 및 실리콘 옥사이드 막 쌍들이 증착된다.
다양한 구현예들에서, 실리콘 옥사이드 전구체는 실란 또는 TEOS일 수 있고, 또는 다른 Si-계 전구체들이 통상적으로 SiO2 증착 프로세스들에 사용된다. 적합한 SiO2 전구체들의 예들은 H, 할라이드류, 알콕사이드류, 알킬 및 아미드 리간드들, 예컨대 SiH4, SiH2Cl2, Si(OET)4, Si(NMe2)4, SiXnHy (여기서, X는 할라이드이고, n = 0 내지 4 이고 y = 4 - n), Si(OR)4 (여기서, R은 알킬기, 예컨대 메틸, 에틸, 등), 그리고 SiHx(NR2)y, (여기서, R은 알킬이고, x =1 내지 3, y = 4 - x) 를 함유하는 Si-계 전구체들을 포함한다. 적합한 산화제들의 예들은 O2, N2O, CO2, O3 및 CO를 포함한다.
다양한 구현예들에서, 하나 이상의 금속 및/또는 금속 나이트라이드 전구체는, 예컨대 금속 할라이드류, 금속 아미드류, 및 티타늄, 티타늄 나이트라이드, 탄탈룸, 탄탈룸 나이트라이드, 텅스텐, 텅스텐 나이트라이드, 코발트 및 코발트 나이트라이드와 같은 금속들/도전체들에 대한 유기금속들과 같은 금속 전구체 또는 금속 나이트라이드 전구체들 박막들의 열적 CVD, PECVD 또는 ALD에 사용될 수 있다. 구체적인 예들은 TiCl4를 사용한 PECVD 또는 TiCl4 및 NH3 또는 H2/N2를 사용한 ALD를 포함한다.
일부 구현예들에서, 불활성 가스 종이 금속 및/또는 금속 나이트라이드 및 옥사이드 증착들 사이에 프로세싱 챔버로 도입된다.
통합된-동일 툴/상이한 모듈들
도 3을 참조하면, 제 1 실시예에서, 통합된 유전체 및 금속 증착은 동일한 툴 (30) 의 상이한 모듈들 (31, 32, 33, 34) 에서 구현될 수도 있다. 예를 들어, Lam Research Strata PECVD 및/또는 Striker ALD 유전체 증착 모듈들이 Lam Research ALTUS 금속 증착 모듈들과 같은 동일한 툴 플랫폼에 통합될 수도 있다. 이러한 방식으로, 층들 사이에 에어 브레이크 (air break) 가 없고, 모듈 각각이 막 타입 (리액터 타입, 압력, 온도, 등) 각각에 대해 최적화된다. 그 결과, 기술적 퍼포먼스는 동일한 프로세스 툴에 금속 및 유전체 프로세스 가스들, 막들 및 부산물들을 가짐으로써 손상되지 않고, 교차-오염이 없어 (모듈들 사이에 게이트 밸브들), 우수한 막 속성들을 발생시킨다.
도 3을 참조하면, 적합한 툴 (30) 이 적어도 한 쌍의 유전체 및 금속 증착 모듈들, 이 구현예에서, 2 개의 유전체 증착 모듈들 (31, 33), 예컨대, CA, Fremont소재의 Lam Research Corporation으로부터 입수가능한, VECTOR Strata PECVD 또는 Striker ALD 유전체 증착 모듈들, 및 2 개의 금속 증착 모듈들 (32, 34), 예컨대 Lam Research ALTUS 금속 증착 모듈로 구성될 수도 있다. 적합한 툴 (30) 구성은 Lam Mach IQ 플랫폼 (35) 상에 4 개의 QSM들 (quad-station modules) (31, 32, 33, 34) 을 포함한다.
플랫폼 (35) 은 로드록 (36) 을 포함하고 로봇 (37) 은 하나 이상의 포드들 (38) 을 통해 로딩된 카세트로부터 웨이퍼들을 대기 포트를 통해 로드록 (36) 내로 이동시키도록 구성된다. 웨이퍼는 로드록 (36) 내에서 인바운드될 때, 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 또는 다른 전처리 이유들로, 가열되거나 플라즈마에 노출될 수도 있다. 나중에 또 다른 로봇 (예를 들어, 39) 이 웨이퍼를 프로세싱을 위해 증착 모듈 내에 위치시킬 수 있다.
도 3에 화살표들 (40 및 41) 로 도시된 바와 같이, 스택을 형성하기 위해 교번하는 유전체 증착 및 금속 증착을 수행하도록 진공을 파괴하지 않고 웨이퍼들이 유전체 증착 모듈과 금속 증착 모듈 사이에서 앞뒤로 이송될 수 있다. 이에 더하여, 같지 않은 유전체 및 금속 프로세스 시간들이 상이한 모듈 비들에 의해 해결될 수 있다 (예를 들어, 유전체 증착 시간이 금속 증착 시간의 2 배이면, 툴은 금속 모듈들의 2 배의 유전체 모듈들로 구성될 수 있다).
잠재적인 대형 웨이퍼 이송 시간 오버헤드는 일부 배치 로딩 및 언로딩을 허용하기 위해 많은 블레이드의 이송 로봇 (39) 의 사용 및 상이한 온도들의 유전체 증착과 금속 증착 사이에 버퍼 스테이션(들) (42), 예를 들어, 가열 스테이션/냉각 스테이션의 사용을 통해 오프셋될 수도 있다.
통합된 인-시츄 순차적인 - 동일 툴 / 동일 모듈 / 상이한 페데스탈들
도 4를 참조하면, 제 2 실시예에서, 통합된 유전체 및 금속 증착이 동일한 툴 (50) 의 동일한 모듈(들)(51) 의 상이한 페데스탈들 (62) 상에서 구현될 수도 있다. 적합한 툴 (50) 이 적어도 하나의 유전체 및 금속 증착 모듈, 이 구현예에서, Lam Mach IQ 플랫폼 (55) 상의 4 개의 QSM들 (quad-station modules) (51, 52, 53, 54) 을 사용하는 이 실시예에 따라 통합된 인-시츄 순차적 프로세싱을 위해 구성될 수도 있다. 플랫폼 (55) 은 로드록 (56) 을 포함하고, 로봇 (57) 이 하나 이상의 포드들 (58) 을 통해 카세트로부터 로딩된 웨이퍼들을 대기 포트를 통해 로드록 (56) 내로 이동시키도록 구성된다. 웨이퍼는 로드록 (56) 내에 인바운드될 때, 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 또는 다른 전처리 이유들로 플라즈마에 노출되거나 가열될 수도 있다. 이어서 또 다른 로봇 (예를 들어, 59) 이 웨이퍼를 프로세싱을 위해 증착 모듈 내로 위치시킬 수 있다.
예를 들어, Lam Research Strata PECVD 및/또는 Striker ALD 유전체 증착 모듈들 스테이션 설계들이 Lam Research ALTUS 금속 증착 모듈 스테이션 설계들과 같은 동일한 모듈에 통합될 수도 있다. 또는, 유전체 및 금속 증착이 동일한 모듈 내 상이한 스테이션들에서 효율적으로 수행될 수도 있도록, Lam Research Strata PECVD 및/또는 Striker ALD 유전체 증착 모듈들 스테이션 설계들이 조정될 (adapt) 수도 있다. 예를 들어, 웨이퍼들이 모듈 (51) 내 스테이션들 사이에 로테이팅되는 화살표 (60) 로 나타낸 바와 같이, 스테이션/페데스탈 (62a) 은 유전체 증착 스테이션일 수도 있고, 스테이션 (62b) 은 금속 증착 스테이션일 수도 있다. 이러한 방식으로, 또한 층들 사이에 에어 브레이크가 없고, 페데스탈로부터 페데스탈로 웨이퍼를 인덱싱하는 것 (indexing) 은 중앙 핸들링 로봇 (59) 을 통해 프로세스 모듈들 사이에서 웨이퍼들을 이송하는 것보다 고속이다. 그 결과, 기술적 퍼포먼스를 실질적으로 희생시키지 않고 효율 (쓰루풋) 이 향상되고, 교차-오염이 제어되거나 최소화되어, 우수한 막 속성들을 발생시킨다.
이에 더하여, 스테이션/페데스탈 각각이 증착 타입 각각에 대해 구성되거나 최적화될 수 있고, 그리고 페데스탈들은, 예를 들어 가스 커튼들 또는 예를 들어, 이와 관련하여 개시가 본 명세서에 참조로서 인용된, 미국 특허 출원 공보 제 2015/0004798 호 및 미국 특허 출원 공보 제 2017/0101710 호에 기술된 바와 같은, 가스 시일링들과 같은 다른 스테이션-특정 배리어들에 의해 유전체 증착 프로세스와 금속 증착 프로세스 사이에 교차-오염/크로스-토크를 감소시키거나 최소화하기 위해 서로로부터 격리될 (isolate) 수 있다. 범위들 내에서, 페데스탈 프로세스 각각은 상이한 온도 및 압력일 수 있다. 그리고 스테이션 각각의 페데스탈, 샤워헤드, 벽, 등에서 온도는 순환할 필요 없이, 프로세스 각각에 대해 최적으로 독립적으로 설정될 수 있다. 스테이션 각각으로 가스 분배는 별도로 유지될 수 있다. 유전체 (예를 들어, 옥사이드) 스테이션들용 하나 및 (예를 들어, TiN) 스테이션들용 하나의, 복수의 쓰로틀 밸브들을 부가함으로써, 압력들이 독립적으로 유지도리 수 있다. 스테이션 각각을 위한 배기부는 국소적일 수 있고 공유되지 않을 수 있어서, 배기부가 가스들의 교차 혼합/오염 없이 독립적으로 유지될 수 있다.
예시적인 가스 시일링 배리어들
일반적으로 2 개의 메인 타입들, 샹들리에 타입 및 플러시 마운트의 증착 샤워헤드들이 있다. 샹들리에 샤워헤드들은 일 단부 상에서 챔버의 상단부에 부착된 스템 및 샹들리에를 닮은, 다른 단부 상의 대면 플레이트를 갖는다. 스템의 일부는 가스 라인들과 RF 전력의 연결을 인에이블하도록 챔버 상단부를 돌출시킬 수도 있다. 플러시 마운트 샤워헤드들은 챔버의 상단부에 통합되고 스템을 갖지 않는다. 본 실시예들은 플러시 마운트 타입 샤워헤드에 관한 것이고, 플러시 마운트 샤워헤드들은 프로세싱 동안 진공 소스에 의해 배기되어야 하는 챔버 체적을 감소시킨다.
도 6 및 도 7은 본 명세서에 개시된 실시예들에 따른 화학적 증착 장치 (100) 를 도시하는 개략도들이다. 도 6 및 도 7에 도시된 바와 같이, 화학적 장치는 화학적 격리 챔버 또는 하우징 (110), 증착 챔버 (120), 샤워헤드 모듈 (130), 및 페데스탈 모듈 (140) 의 상부 표면 상의 포지션의 기판 (또는 웨이퍼) (190) 을 상승시키고 하강시키도록 샤워헤드 모듈 (130) 에 상대적으로 수직으로 상승 또는 하강될 수 있는 이동하는 페데스탈 모듈 (140) 을 포함한다. 샤워헤드 모듈 (130) 은 또한 수직으로 상승 및 하강될 수 있다. 반응물질 재료 가스들 (또는 프로세스 가스들) (192) 은 샤워헤드 모듈 (130) 의 중앙 플레넘 (202) 을 통해 가스 라인들 (112) 을 통해 서브-챔버 (또는 웨이퍼 캐비티) (150) 내로 도입된다. 가스 라인들 (112) 각각은 격리 밸브들 (미도시) 을 사용하여 장치 (100) 로부터 격리될 수 있는, 대응하는 어큐뮬레이터 (accumulator) (미도시) 를 가질 수도 있다. 예시적인 실시예에 따른, 장치 (100) 는 사용된 반응물질 가스들의 수에 따라 격리 밸브들 및 어큐뮬레이터들과 함께 하나 이상의 가스 라인들 (112) 을 갖도록 수정될 수 있다. 또한, 반응물질 가스 전달 라인들 (112) 은 복수의 화학적 증착 장치들 또는 멀티-스테이션 시스템 사이에서 공유될 수 있다.
예시적인 실시예에 따른, 챔버 (120) 는 진공 소스 (미도시) 에 연결되는 하나 이상의 진공 라인들 (160) 을 통해 배기될 수 있다. 예를 들어, 진공 소스는 진공 펌프 (미도시) 일 수 있다. 예를 들어, 동일한 증착 프로세스를 수행하는 복수의 스테이션들 또는 장치들 (100) 을 갖는 멀티-스테이션 리액터들에서, 또 다른 스테이션으로부터 진공 라인 (160) 이 진공 라인 (160) 과 공통 전방선 (foreline) 을 공유할 수도 있다. 이에 더하여, 장치 (100) 는 스테이션 또는 장치 (100) 당 하나 이상의 진공 라인들 (160) 을 갖도록 수정될 수 있다.
예시적인 실시예에 따른, 복수의 배기 도관들 (170) 은 샤워헤드 모듈 (130) 의 대면 플레이트 (136) 내의 하나 이상의 배기 유출구들 (174) 과 유체로 연통하도록 구성될 수 있다. 배기 유출구들 (174) 은 증착 프로세스들 사이에 웨이퍼 캐비티 (150) 로부터 프로세스 가스들 또는 리액터 화학물질들 (192) 을 제거하도록 구성될 수 있다. 복수의 배기 도관들 (170) 은 또한 하나 이상의 진공 라인들 (160) 과 유체로 연통한다. 배기 도관들 (170) 이 기판 (190) 둘레에 원주방향으로 이격될 수 있고 고르게 이격될 수도 있다. 일부 예들에서, 복수의 도관들 (170) 의 간격은 진공 라인들 (160) 의 위치들을 보상하도록 설계될 수도 있다. 일반적으로 복수의 도관들 (170) 보다 적은 진공 라인들 (160) 이 있기 때문에, 진공 라인 (160) 에 가장 가까운 도관 (170) 을 통한 플로우는 보다 이격된 도관에서보다 높을 수도 있다. 매끄러운 플로우 패턴을 보장하기 위해, 도관들 (170) 은 진공 라인들 (160) 로부터 보다 이격된다면 서로 보다 가깝게 이격될 수도 있다.
본 명세서에 개시된 실시예들은 바람직하게 플라즈마 강화된 화학적 증착 장치 (예를 들어, PECVD 장치, PEALD 장치, 또는 PEPDL 장치) 에서 구현된다. 이러한 장치는 장치가 하나 이상의 챔버들 또는 "리액터들" (110) 을 포함할 수 있고, 상기 기술된 바와 같이 하나 이상의 기판들 (190) 을 하우징하고 기판 프로세싱에 적합한 복수의 스테이션들 또는 증착 챔버들 (120) 을 포함할 수 있는, 상이한 형태들을 취할 수도 있다. 챔버 (120) 각각은 프로세싱을 위해 하나 이상의 기판들을 하우징할 수도 있다. 하나 이상의 챔버들 (120) 은 규정된 포지션 또는 포지션들 (그 포지션에서 운동 (motion) 예를 들어, 회전, 진동, 또는 다른 교반과 함께 또는 없이) 에 기판 (190) 을 유지한다. 일 실시예에서, 증착 및 처리를 겪는 기판 (190) 은 프로세스 동안 장치 (100) 내에서 일 스테이션 (예를 들어, 증착 챔버 (120)) 으로부터 또 다른 스테이션으로 이송될 수 있다. 프로세스동안 기판 (190) 각각이 페데스탈, 웨이퍼 척 및/또는 페데스탈 모듈 (140) 의 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 기판 (190) 이 가열되는 특정한 동작들을 위해, 페데스탈 모듈 (140) 은 가열 플레이트와 같은 가열기를 포함할 수도 있다.
도 8은 예시적인 실시예에 따른, 가스 기반 시일링 시스템 (200) 을 갖는 화학적 증착 장치 (100) 의 단면도이다. 도 8에 도시된 바와 같이, 화학적 증착 장치 (100) 는 반도체 기판 (또는 웨이퍼) (190) 을 수용하고 그리고/또는 페데스탈 모듈 (140) 의 상부 표면 (142) 으로부터 방출하도록 구성되는, 기판 페데스탈 모듈 (140) 을 포함한다. 하부 포지션에서, 기판 (190) 이 페데스탈 모듈 (140) 의 상부 표면 (142) 상에 위치되고, 이어서 샤워헤드 모듈 (130) 을 향해 수직으로 상향으로 상승된다. 예시적인 실시예에 따라, 웨이퍼 캐비티 (150) 를 형성하는 페데스탈 모듈 (140) 의 상부 표면 (142) 과 샤워헤드 모듈 (130) 의 하부 표면 (132) 사이의 거리는 약 0.2 인치 (5 ㎜) 내지 약 0.6 인치 (15 ㎜) 일 수 있다. 웨이퍼 캐비티 (150) 에 가까워지도록 페데스탈 모듈 (140) 의 상향 수직 운동은 페데스탈 모듈 (140) 과 샤워헤드 모듈 (130) 의 대면 플레이트 (136) 의 외측 부분 둘레에 단차부 (135) 사이에 좁은 갭 (240) 을 생성한다.
예시적인 실시예에 따라, 가스 기반 시일링 시스템 (200) 이 프로세스 재료 또는 퍼지 가스의 플로우 동안 웨이퍼 캐비티 (150) 로부터 플로우를 조절하고 제어하는 것을 돕도록 구성될 수 있다. 예시적인 실시예에 따라, 웨이퍼 캐비티 (150) 의 배기 또는 퍼지는 샤워헤드 모듈 (130) 을 통해 웨이퍼 캐비티 (150) 내로 피딩되는, 불활성 또는 퍼지 가스 (미도시) 를 사용한다. 예시적인 실시예에 따라, 하나 이상의 도관들 (170) 은 페데스탈 모듈 (140) 아래 존으로부터 불활성 시일링 가스 (182) 를 제거하도록 구성되는, 환형 배기 통로 (176) 를 통해 진공 라인들 (160) 에 연결될 수 있다.
예시적인 실시예에 따른, 샤워헤드 모듈 (130) 은 웨이퍼 캐비티 (또는 반응 챔버) (150) 로 반응기 화학물질들을 전달하도록 구성된다. 샤워헤드 모듈 (130) 은 복수의 유입구들 또는 쓰루홀들 (138) 및 백킹 플레이트 (backing plate) (139) 를 갖는 대면 플레이트 (136) 를 포함할 수 있다. 예시적인 실시예에 따른, 대면 플레이트 (136) 는 대면 플레이트 (136) 의 외측 주변부 (137) 둘레로 연장하는 복수의 유입구들 또는 쓰루홀들 (138) 및 단차부 (135) 를 갖는 단일 플레이트일 수 있다. 대안적으로, 단차부 (135) 는 대면 플레이트 (136) 의 외측 부분 (131) 의 하부 표면에 고정되는, 분리된 링 (133) 일 수 있다. 예를 들어, 단차부 (135) 는 스크루들 (143) 을 사용하여 대면 플레이트 (136) 의 외측 부분 (131) 에 고정될 수 있다.
예시적인 실시예에 따른, 웨이퍼 캐비티 (150) 는 샤워헤드 모듈 (130) 의 대면 플레이트 (136) 의 하부 표면 (132) 밑 그리고 기판 페데스탈 모듈 (140) 의 상부 표면 (142) 에 형성된다. 샤워헤드 모듈 (130) 의 대면 플레이트 (136) 내 복수의 동심 배기 도관들 또는 배기 유출구들 (174) 이 증착 프로세스들 사이에 웨이퍼 캐비티 (150) 로부터 프로세스 가스들 또는 리액터 화학물질들 (192) 을 제거하기 위해 복수의 도관들 (170) 중 하나 이상에 유체로 연통될 수 있다.
도 8에 도시된 바와 같이, 장치 (100) 는 또한 가스 기반 시일링 시스템 (200) 의 외측 플레넘 (204) 으로 하나 이상의 도관들 (184) 을 통해 피딩되는, 불활성 가스 또는 시일링 가스 (182) 의 소스 (180) 를 포함한다. 예시적인 실시예에 따른, 불활성 또는 시일링 가스 (182) 는 질소 가스 또는 아르곤 가스일 수 있다. 예시적인 실시예에 따라, 불활성 가스 소스 (180) 는 웨이퍼 캐비티 (150) 로부터 외측으로 연장하고 대면 플레이트 (136) 의 외측 주변부 (137) 둘레의 단차부 (135) 의 하부 표면 (134) 과 페데스탈 모듈 (140) 의 상부 표면 (142) 사이에 형성되는 좁은 갭 (240) 을 통해 방사상 내측으로 흐르도록 하나 이상의 도관들 (184) 을 통해 불활성 시일링 가스 (182) 를 피딩하도록 구성된다. 예시적인 실시예에 따른, 불활성 시일링 가스 (182) 는 프로세싱 동안 가스 시일링부를 형성하기 위해 좁은 갭 (240) 내에 웨이퍼 캐비티 (150) 로부터 프로세스 가스들 또는 리액터 화학물질들 (192) 과 연통한다. 도 9 및 도 10에 도시된 바와 같이, 불활성 시일링 가스 (182) 만이 부분적으로 좁은 갭 (240) 으로 들어가고, 이는 좁은 갭 내에서 리액터 화학물질들 (192) 과 불활성 가스 (182) 사이에 가스 시일링부를 형성한다. 대안적으로, 도 11 및 도 12에 도시된 바와 같이, 불활성 가스 (182) 의 플로우는 웨이퍼 캐비티 (150) 의 외측 에지로 갈 수 있고 샤워헤드 모듈 (130) 내 하나 이상의 배기 유출구들 (174) 을 통해 웨이퍼 캐비티 (150) 로부터 제거된다.
예시적인 실시예에 따른, 환형 배기 통로 (176) 는 복수의 배기 도관들 (170) 중 하나 이상과 유체로 연통된다. 예시적인 실시예에 따른, 환형 배기 통로 (176) 는 하나 이상의 유출구들 (미도시) 을 갖고 기판 (190) 의 주변부를 둘러싸는 존으로부터 불활성 가스들 (182) 및 좁은 갭 (240) 을 통해 방사상 내측으로 이동하거나 흐르는 불활성 가스들 (182) 을 제거하도록 구성된다. 배기 통로 (176) 는 기판 페데스탈 (140) 의 외측 부분 (144) 내에 형성된다. 환형 배기 통로 (176) 는 또한 기판 페데스탈 (140) 밑으로부터 불활성 가스들 (182) 을 제거하도록 구성될 수 있다. 176과 유사한 복수의 도관들을 갖는 다른 실시예들이 보다 많은 불활성 가스 (182) 를 회수하고 (withdrawing) 배기 통로들 (178) 및 페데스탈 모듈 (140) 아래 부분으로 불활성 가스의 보다 높은 플로우를 인에이블하는 것을 도울 수 있다. 배기 통로들 (178) 은 또한 웨이퍼 캐비티 (150) 내로 시일링 가스의 보다 적은 확산 및 시일링 가스에 대한 보다 높은 압력 강하를 생성하는 것을 도울 수 있다.
도 9는 예시적인 실시예에 따른, 가스 기반 시일링 시스템 (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 도 9에 도시된 바와 같이, 외측 플레넘 (204) 이 대면 플레이트 (136) 의 외측 부분 (131) 에 형성될 수 있다. 외측 플레넘 (204) 은 불활성 가스 소스 (180) 로부터 불활성 가스 (182) 를 수용하도록 구성되는 하나 이상의 도관들 (220) 을 포함할 수 있다. 불활성 가스 (182) 는 외측 플레넘 (204) 을 통해 하나 이상의 도관들 (220) 을 통해 하부 유출부 (228) 로 흐른다. 하부 유출부 (228) 는 좁은 갭 (240) 과 유체로 연통한다. 예시적인 실시예에 따른, 웨이퍼 캐비티 (150) 의 외측 에지 (152) 로부터 외측 플레넘 (204) 과 연통하는 대면 플레이트 (136) 의 외측 주변부 (141) 까지의 거리는 유한하게 제어된 거리이다. 예를 들어, 캐비티 (150) 의 외측 에지 (152) 로부터 외측 플레넘 (204) 과 연통하는 대면 플레이트 (136) 의 외측 에지 (141) 까지의 거리 (또는 폭) 은 약 5.0 ㎜ 내지 25.0 ㎜일 수 있다.
예시적인 실시예에 따른, 외측 플레넘 (204) 은 외측 환형 리세스 (222) 일 수 있다. 외측 환형 리세스 (222) 는 하나 이상의 도관들 (220) 을 통해 웨이퍼 캐비티 (150) 의 외측 에지 상의 좁은 갭 (240) 과 유체로 연통하도록 구성된다. 외측 환형 리세스 (222) 는 상부 환형 리세스 (224) 및 하부 환형 리세스 (226) 를 갖도록 구성될 수 있고, 상부 환형 리세스 (224) 는 하부 환형 리세스 (226) 보다 큰 폭을 갖는다. 예시적인 실시예에 따른, 하부 유출부 (228) 는 좁은 갭 (240) 과 유체로 연통하는, 하부 환형 리세스 (226) 의 하부 부분 상의 환형 유출구이다.
예시적인 실시예에 따라, 도 9에 도시된 바와 같이, 불활성 가스 (182) 는 유한하게 제어된 거리들로 이격된 웨이퍼 캐비티 (150) 의 외측 에지의 외측 플레넘 (204) 을 통해 피딩된다. 외측 플레넘 (204) 을 통해 흐르는 불활성 가스 (182) 의 플로우 레이트는 Peclet 수가 약 1.0보다 크도록 될 수 있어서, 도 9에 도시된 바와 같이 웨이퍼 캐비티 (150) 내에 반응기 가스 화학물질들 (192) 을 담는다. 예를 들어, Peclet 수가 1.0보다 크면, 불활성 가스 (182) 및 반응기 가스 화학물질들 (192) 은 좁은 갭 (240) 의 내측 부분 (242) 내에서 평형 (equilibrium) 을 확립할 수 있다. 그 결과, 반응기 가스 화학물질들 (192) 이 기판 페데스탈 모듈 (140) 밑으로 흐르고 웨이퍼 캐비티 (150) 외부의 증착 챔버 (120) 의 부분들을 오염시키는 것을 방지할 수 있다.
예시적인 실시예에 따라, 프로세스가 정압 (constant pressure) 프로세스라면, 페데스탈 모듈 (140) 아래의 압력과 함께 불활성 가스 (182) 의 단일 (또는 일정한) 플로우가 웨이퍼 캐비티 (150) 내 반응기 가스 화학물질들 (192) 과 좁은 갭 (240) 을 통해 방사상 내측으로 흐르는 불활성 가스 (182) 사이에 불활성 가스 시일링을 보장하기 충분할 수 있다. 예를 들어, 예시적인 실시예에 따른, 가스 기반 시일링 시스템 (200) 은 일반적으로 상대적으로 정압 모드에서 실행될 수 있는, Si의 ALD 옥사이드들을 사용할 수 있다. 이에 더하여, 가스 기반 시일링 시스템 (200) 은 예를 들어, ALD 나이트라이드 프로세스 동안 불활성 가스 (182) 의 플로우 레이트 또는 페데스탈 모듈 (140) 아래의 압력 및/또는 둘의 조합을 가변함으로써, 증착 챔버 (120) 및 웨이퍼 캐비티 (150) 내 압력 레짐들 (pressure regimes) 및 상이한 프로세스들에 걸친 가스 시일링을 제어하기 위한 수단으로서 작용할 수 있다.
예시적인 실시예에 따른, 개별적으로 또는 배기 도관들 (174, 176) 과 연관된 압력들과 함께 개시된 바와 같이, 시일링 가스 시스템 (200) 은 프로세싱 동안 리액터 화학물질들 (192) 이 웨이퍼 캐비티 (150) 로부터 흐르고 그리고/또는 확산하는 것을 방지하는 것을 도울 수 있다. 이에 더하여, 시스템 (200) 은 개별적으로 또는 배기 도관들 (174, 176) 및 배기 도관들 (174, 176) 과 연관된 압력 과 함께 또한 웨이퍼 캐비티 (150) 내로 그리고 기판 (190) 상에서 불활성 가스 (182) 의 벌크 (bulk) 플로우를 방지할 수 있다. 웨이퍼 캐비티 (150) 를 격리하기 위한 좁은 갭 (240) 내로 불활성 가스 (182) 의 플로우 레이트는 배기 유출구들 (174) 에 의해 생성된 압력에 기초하여 조정될 수 있다. 예시적인 실시예에 따른, 예를 들어, 불활성 가스 또는 시일링 가스 (182) 는 웨이퍼 캐비티 (150) 를 격리하도록 사용될 수 있는, 약 100 cc/분 내지 약 5.0 slm (standard liters per minute) 의 레이트로, 외측 플레넘 (204) 을 통해 피딩될 수 있다.
예시적인 실시예에 따른, 하나 이상의 배기 캐비티들 (250) 은 웨이퍼 캐비티 (150) 를 둘러싸는 페데스탈 모듈 (140) 의 외측 부분에 위치될 수 있다. 하나 이상의 배기 캐비티들 (250) 은 좁은 갭 (240) 및 하부 유출부 (228) 와 유체로 연통할 수 있고, 이는 웨이퍼 캐비티 (150) 로부터 불활성 가스 소스 또는 피드 (180) 로의 압력 강하를 부가할 수 있다. 하나 이상의 배기 캐비티들 (250) (또는 환형 채널) 은 또한 예를 들어, ALD 나이트라이드 프로세싱 동안, 다양한 프로세스 및 압력 레짐들에 걸쳐 가스 시일링을 인에이블하도록 부가된 제어 메커니즘을 제공할 수 있다. 예시적인 실시예에 따라, 하나 이상의 배기 캐비티들 (250) 은 증착 챔버 (120) 둘레에 고르게 이격될 수 있다. 예시적인 실시예에서, 하나 이상의 배기 캐비티들 (250) 은 동심이고 하부 유출부 (228) 보다 큰 폭인 환형 채널일 수 있다.
도 10은 가스 기반 시일링 시스템 (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 도 10에 도시된 바와 같이, 리액터 화학물질들 (192) 의 플로우 레이트가 불활성 가스 (182) 의 플로우 레이트 이상이거나 거의 같다면, 리액터 화학물질들 (192) 의 플로우는 웨이퍼 캐비티 (150) 의 외부로 연장할 수도 있고, 이는 바람직하지 않을 수도 있다.
도 10에 도시된 바와 같이, 환형 배기 통로 (176) 는 대면 플레이트 (136) 의 메인 배기 경로 (174) 에 부가하여 보조 배기 경로를 제공한다. 환형 배기 통로 (176) 는 기판 페데스탈 (140) 밑으로부터 그리고 기판 (190) 의 주변부 둘레의 존으로부터 불활성 가스들 (182) 을 제거하도록 구성된다. 예시적인 실시예에 따른, 환형 배기 통로 (176) 는 하나 이상의 유출구들 (미도시) 을 갖고 기판 (190) 의 주변부를 둘러싸는 존으로부터의 불활성 가스들 (182) 및 좁은 갭 (240) 을 통해 방사상 내측으로 확산하거나 흐르는 불활성 가스들 (182) 을 제거하도록 구성된다.
도 11은 예시적인 실시예에 따른, 가스 기반 시일링 시스템 (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 캐비티 (150) 외부로부터 불활성 가스 (182) 의 플로우는 리액터 화학물질들 (192) 의 플로우 레이트를 감소시킴으로써 그리고/또는 불활성 가스 (182) 의 플로우 레이트를 상승시킴으로써 생성될 수 있다. 예시적인 실시예에 따른, 외측 플레넘 (204) 으로부터 불활성 가스 (182) 는 웨이퍼 캐비티 (150) 내로 흐를 것이고 샤워헤드 모듈 (130) 내 하나 이상의 배기 유출구들 (174) 을 통해 제거될 수 있다.
도 12는 예시적인 실시예에 따른, 가스 기반 시일링 시스템 (300) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 예시적인 실시예에 따른, 샤워헤드 모듈 (130) 의 중앙 플레넘 (202) 은 리액터 화학물질들 (192) 을 웨이퍼 캐비티 (150) 로 전달하는, 복수의 유입구들 또는 쓰루홀들 (138) 을 포함한다. 웨이퍼 캐비티 (150) 는 또한 리액터 화학물질들 (192) 및 불활성 가스들 (182) 을 웨이퍼 캐비티 (150) 로부터 제거하는, 동심 도관들 또는 배기 유출구들 (174) 을 포함한다. 동심 도관들 또는 배기 유출구들 (174) 는 백킹 플레이트 (139) 와 상부 플레이트 (310) 사이의 중간 플레넘 (208) 과 유체로 연통할 수 있다. 중간 플레넘 (208) 은 복수의 배기 도관들 (170) 중 하나 이상과 유체로 연통한다.
샤워헤드 모듈 (130) 은 또한 대면 플레이트 (136) 의 외측 주변부 (137) 둘레에 불활성 가스 (182) 를 전달하도록 구성되는 수직 가스 통로 (370) 를 포함할 수 있다. 예시적인 실시예에 따라, 외측 플레넘 (206) 은 대면 플레이트 (136) 의 외측 주변부 (137) 와 격리 링 (214) 의 내측 주변부 또는 에지 (212) 사이에 형성될 수 있다.
도 12에 도시된 바와 같이, 시스템 (300) 은 백킹 플레이트 (139) 의 외측 부분 (320) 및 상부 플레이트 (310) 내의 내측 채널 (360) 내에 형성된 수직 가스 통로 (370) 를 포함한다. 수직 가스 통로 (370) 는 불활성 가스 소스 또는 피드 (180) 로부터의 불활성 가스 (182) 를 수용하도록 구성되는, 하나 이상의 도관들 (312, 322) 을 포함한다. 예시적인 실시예에 따라, 불활성 가스 (182) 는 백킹 플레이트 (139) 의 상부 플레이트 (310) 및 외측 부분 (320) 을 통해 하나 이상의 도관들 (312, 322) 을 통해 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 로 웨이퍼 캐비티 (150) 의 외측 에지로 흐른다.
예시적인 실시예에 따라, 하나 이상의 도관들 (312) 은 상부 환형 리세스 (314) 및 하부 외측 환형 리세스 (316) 를 포함할 수 있다. 예시적인 실시예에 따른, 상부 환형 리세스 (314) 는 하부 외측 환형 리세스 (316) 보다 큰 폭을 갖는다. 이에 더하여, 하나 이상의 도관들 (322) 은 백킹 플레이트 (139) 의 상부 플레이트 (310) 및 외측 부분 (320) 내에 있을 수 있다. 하나 이상의 도관들 (322) 은 상부 플레이트 (310) 상의 유출구 (318) 와 유체로 연통하는 유입구 (326) 및 좁은 갭 (240) 과 유체로 연통하는 유출구 (328) 를 갖는 환형 리세스를 형성할 수 있다. 예시적인 실시예에 따른, 외측 부분 (320) 내 유출구 (328) 는 샤워헤드 모듈 (130) 의 대면 플레이트 (136) 의 외측 주변부 둘레의 불활성 가스 (182) 의 플로우를 좁은 갭 (240) 의 외측 에지 (243) 로 가이드하는 (guide), 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 과 유체로 연통할 수 있다.
예시적인 실시예에 따른, 불활성 가스 (182) 는 수직 가스 통로 (370) 를 통해 외측 플레넘 (206) 으로, 웨이퍼 캐비티 (150) 를 향해 방사상 내측으로 적어도 부분적으로 좁은 갭 (240) 을 통해 피딩된다. 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 을 통해 흐르는 불활성 가스 (182) 의 플로우 레이트는 Peclet 수가 1.0보다 커서, 웨이퍼 캐비티 (150) 내에 반응 가스 화학물질들 (192) 을 담도록, 할 수 있다. 예시적인 실시예에 따른, Peclet 수가 1.0보다 크면, 불활성 가스 (182) 및 반응 가스 화학물질들 (192) 은 좁은 갭 (240) 의 내측 부분 (242) 내 평형을 확립하고, 이는 반응 가스 화학물질들 (192) 이 페데스탈 모듈 (140) 밑으로 흐르고 웨이퍼 캐비티 (150) 의 외부의 증착 챔버 (120) 의 부분들을 오염시키는 것을 방지한다. 예시적인 실시예에 따라, 웨이퍼 캐비티 (150) 로의 반응 가스 화학물질들 (192) 의 플로우를 포함함으로써, 시스템 (200) 은 프로세스 가스 (192) 의 사용을 감소시킬 수 있다. 이에 더하여, 시스템 (200) 은 또한 프로세싱 동안 프로세스 가스 (192) 와 웨이퍼 캐비티 (150) 의 충진 시간을 감소시킬 수 있다.
도 13은 예시적인 실시예에 따른, 가스 기반 시일링 시스템 (400) 의 개략도이다. 도 13에 도시된 바와 같이, 시스템 (400) 은 불활성 또는 시일링 가스 (182) 및 프로세스 가스 (192) 를 각각 웨이퍼 캐비티 (150) 로 전달하도록 구성되는, 불활성 또는 시일링 가스의 소스 (180) 및 프로세스 가스의 소스 (19) 를 포함한다. 시스템 (400) 은 또한 웨이퍼-캐비티 또는 캐비티 압력 (414) 및 하부 챔버 압력 (416) 을 각각 제어하는, 웨이퍼-캐비티 또는 캐비티 압력 밸브 (410) 및 하부 챔버 압력 밸브 (412) 를 포함할 수 있다.
일 실시예에 따라, Peclet 수는 반도체 기판의 외측 주변부를 따라 100보다 클 수 있다. 바람직하게, 전구체 가스들은 최소 유입구 체적 및 축대칭 플로우로 리액터 캐비티 내로 중앙으로 주입되는 한편, 시일링 가스는 리액터 캐비티의 외측 주변부 둘레에 원주방향으로 주입된다. 전구체 가스들은 반도체 상에 막을 증착하도록 반응하고, 부산물 가스들은 리액터 캐비티의 외측 주변부 둘레에 원주방향으로 분배된 배기 유출구들을 향해 방사상 외측으로 흐른다. 동시에, 시일링 가스는 리액터 캐비티의 외측 주변부 둘레에 원주방향으로 분포된 유입구들을 통해 방사상 내측으로 흐른다. 고 Peclet 수를 획득하기 위해, 가스 압력들은 다음의 식에 따라 제어된다:
C 2 (P v ,-P wc ) m wc >>O-> P v ,>>P wc
일 실시예는 가스 유출구들을 갖는 대면 플레이트, 중앙 가스 통로를 갖는 백킹 플레이트, 및 대면 플레이트의 외측 부분 둘레에 원주방향으로 분포된 가스 통로들을 통해 공급된 가스를 사용한 불활성 가스 시일링을 제공하도록 리액션 캐비티 둘레에 원주방향으로 분포된 시일링 가스 통로들을 갖는 격리 링을 포함하는 샤워헤드 모듈을 포함한다. 상기 식을 따르는 미국 특허 공보 제 2017/0101710 호의 도 9에서, m2 및 mvs는 ㎏/s 단위의 질량 유량 레이트를 나타내고, C2, C3 및 C4 는 ℓ/초 단위의 가스 전도도를 나타내고, S eff 는 ℓ/초 단위의 효율적인 펌핑 속도를 나타낸다. 고 Peclet 수를 획득하기 위해, m wc 는 효과적인 펌핑 속도를 압도하도록 너무 크지 않아야 하고, mvs는 커야 하고, C2 는 C3보다 커야 하고, Seff는 커야 하고 P ch 는 이하에 나타낸 바와 같이 클 수 있다 (그러나 희석 이슈들을 발생시킨다) 는 것이 바람직하다.
C 2 /C 3 (C 3 P ch +m vs) -m wc /S eff >>O where S eff =SC 4 /S+C 4 .
웨이퍼 프로세싱 동안, 리액터 캐비티 및 메인 챔버의 압력들이 조정되는 한편, 시일링 가스 플로우 레이트는 일정하게 유지된다. 리액터 캐비티 압력이 메인 챔버 압력과 관련하여 ±1 Torr로 유지된다면, 리액터 캐비티 내에 전구체 가스들을 담을 수 있다. 가상 가스 시일링 장치를 사용하여, 불활성 가스 시일링부를 갖는 리액터 캐비티 내에 목표된 압력을 유지할 수 있다.
도 14는 가스 유입구 (604) 를 갖는 대면 플레이트 (602), 중앙 가스 통로 (608) 를 갖는 백킹 플레이트 (606), 내측 링 (612) 및 외측 링 (614) 을 갖는 격리 링 (610) 을 포함하는 샤워헤드 모듈 (600) 의 절단도를 예시한다. 내측 링 (612) 의 하부 부분 둘레의 시일링부 (613) 가 내측 링과 외측 링의 마주보는 표면들 사이에 환형 플레넘을 제공하도록 내측 링 (612) 및 외측 링 (614) 은 서로 피팅된다 (fit). 내측 링 (612) 은 내측 표면 (618) 의 상부 부분 둘레에 원주방향으로 분포된 시일링 가스 유입구들 (616), 유입구들 (616) 로부터 방사상 외측으로 연장하는 수평 통로들 (620), 수평 통로들 (620) 로부터 하향으로 연장하는 수직 통로들 (622) 및 내측 링 (612) 의 하부 표면 (626) 둘레에 원주방향으로 분포된 시일링 가스 유출구들 (624) 을 포함한다.
내측 링 (612) 은 내측 표면 (618) 의 하부 부분 둘레에 원주방향으로 분포된 방사상으로 연장하는 슬롯들을 포함하는 1차 배기 유출구들 (627) 및 하부 표면 (626) 둘레에 원주방향으로 분포된 2차 배기 유출구들 (628) 을 포함한다. 1차 배기 가스 유출구들 (627) 은 1차 배기 가스 유출구들 (627) 로부터 상향으로 연장하는 수직 통로들 (630) 및 시일링 가스 유입구들 (616) 아래의 위치에 내측 표면 (618) 둘레에 원주방향으로 분포된 1차 배기 가스 유출구들 (632) 을 갖는 내측으로 연장하는 수평 통로들에 연결된다. 2차 배기 가스 유출구들 (628) 은 수직 통로들 (미도시) 및 내측 링 (612) 의 외측 표면 (619) 둘레에 원주방향으로 분포된 2차 배기 가스 유출구들 (629) 을 갖는 수평 통로들에 연결된다. 시일링 가스 유출구들 (624) 은 격리 링 (610) 아래에 가스 시일링부를 생성하도록 시일링 가스를 전달하고 시일링 가스 중 일부는 웨이퍼 캐비티 (150) 내에서 반도체 기판 프로세싱 동안 2차 배기 가스 유출구들 (628) 을 통해 회수된다.
도 15는 도 14의 샤워헤드 모듈의 또 다른 절단도를 도시하고, 내측 링 (612) 이 시일링 가스가 방사상으로 연장하는 시일링 가스 통로들 (652) 로 GDP (606) 의 외측 부분의 시일링 가스 공급 플레넘 (650) 으로부터 공급될 수 있도록 대면 플레이트 (602) 및 백킹 플레이트 (gas distribution plate 또는 GDP) (606) 의 외측 주변부 둘레에 어떻게 피팅되는지 예시한다. 시일링 가스 통로들 (652) 은 상부 가스 시일링부 (654) 와 하부 가스 시일링부 (656) 사이에 위치된 환형 플레넘 (658) 으로 개방된다. 환형 플레넘 (658) 은 내측 링 (612) 의 하부 표면 (626) 내 시일링 가스 유출구들 (624) 을 통해 시일링 가스를 전달하도록 내측 링 (612) 의 내측 표면 (618) 의 시일링 가스 유입구들 (616) 과 유체로 연통한다.
GDP (606) 는 GDP (606) 의 외측 주변부에서 방사상으로 연장하는 1차 배기 유출구들 (682) 에 연결된 1차 배기 가스 플레넘 (680) 을 포함한다. 유출구들 (682) 은 하부 시일링 (656) 과 환형 시일링 (686) 사이의 환형 배기 플레넘 (684) 으로 개방된다. 환형 배기 플레넘 (684) 은 내측 링 (612) 의 내측 표면 (618) 상의 1차 배기 가스 유출구들 (632) 과 연통한다. 1차 배기 가스 유출구들 (632) 은 1차 가스로 하여금 웨이퍼 캐비티 (150) 로부터 배기되게 하도록 수직 통로들 (630) 및 슬롯들 (627) 과 연결된다.
외측 링 (614) 은 내측 링 (612) 의 외측 표면 (619) 과 외측 링 (614) 의 내측 표면 (615) 사이의 플레넘으로 내측 링 (612) 을 둘러싼다. 2차 배기 유출구들 (628) 은 내측 링 (612) 과 외측 링 (614) 사이의 플레넘 내로2차 배기 가스 유출구들 (629) 을 통해 회수되는 2차 배기 가스를 제공한다. GDP는 1차 배기 가스 플레넘 (680) 에 연결된 쓰로틀 밸브 펌핑 장치를 바이패스하는 동안 2차 배기 가스로 하여금 회수되게 하도록 상부 표면에 적어도 하나의 개구부 (670) 를 포함한다. 바람직하게, 2 개의 마주보는 개구부들 (670) 은 가스 플로우의 방위각 균일도를 위해 GDP에 제공된다.
일부 구현예들에서, 상이한 스테이션들은 마이크로-볼륨 스테이션들 각각이고, 모듈 내 스테이션들 사이의 교차-오염을 방지하도록 구체적으로 구성되거나 동작될 수도 있다. 이러한 구성 또는 동작가능 피처들은 상기 기술된 바와 같이 가스 시일링부들, 또는 마이크로-볼륨 각각으로 하여금 보다 큰 챔버 볼륨으로부터 격리되어 실행되게 하는, 불활성 가스들을 사용하는 미국 특허 제 9,738,977 호 및 미국 특허 출원 공보 제 2013/0344245 호에 기술된 바와 같이 커튼 가스를 사용한 가상의 시일링부를 포함한다. 모듈들은 또한 스테이션 각각으로 분리된 플로우를 인에이블하도록 스테이션 각각에서 전용 가스 플로우 밸브를 구비할 수 있고, 그리고 측면 또는 상단부를 통해 마이크로-볼륨으로부터 국부적인 펌핑이 배기물의 혼합을 방지하도록 수행될 수 있다. 완전히 세라믹 스테이션 하드웨어가 Al 또는 다른 금속들로부터 부식 또는 오염을 방지하도록 금속 할라이드 (예를 들어, TiCl4) 스테이션들에 사용될 수 있다.
커튼 가스를 사용한 예시적인 가상 시일링부
대형 멀티-프로세스 챔버 내 보다 작은 챔버 볼륨들을 "시뮬레이팅 (simulate)"하는 일 방법은 다양한 프로세스 스테이션들 사이에서 가스의 커튼들을 흘리고 막 증착 동작들 동안 상이한 프로세스 스테이션들을 체적으로 격리하는 것이다. 예를 들어, ALD 사이클들의 시퀀스 동안, 이러한 "커튼 가스"는 프로세스 스테이션 각각에서 발생하는 반응성 막-증착 프로세스들에 부정적 영향을 주지 않으면서, 반응물질들, 플라즈마 피드 가스들, 등의 혼합을 방지하도록 프로세스 스테이션들 사이에서 흐를 수도 있다. 이는 반응물질 플로우 및 부산물 퍼지를 목적으로 보다 작은 볼륨을 "시뮬레이팅"할 수도 있지만, 보다 큰 챔버 볼륨의 장점들은 고-플라즈마 전력 및 특정한 컴포넌트 비용들의 스케일링에 대해 손상되지 않고 남는다. 더욱이, 전술한 이점들에 더하여, 커튼 가스 플로우를 통한 프로세스 스테이션들의 체적 격리는 동작들의 시퀀스로 하여금 ALD 사이클을 프로세스 스테이션들 사이에서 스태거되게 할 수도 있다.
그러나, 전술한 이점들을 달성되기 위해, 다양한 프로세스 스테이션들이 커튼 가스 플로우에 의해 서로로부터 완벽하게 체적 격리되는 것만은 아니라는 것을 주의한다. 일반적으로, 이는 사실이 아닐 것이라고 기대된다. 따라서, 본 개시의 맥락에서, 일 프로세스 스테이션을 또 다른 스테이션으로부터 커튼 가스 플로우를 통한 "체적 격리"는 프로세스 스테이션들 사이의 커튼 가스 플로우가 이러한 커튼 가스가 채용되지 않는다면 발생할 프로세스 스테이션들 사이에서 가스들의 혼합이 상당히 감소시키도록 작용한다는 것을 의미하도록 해석되어야 한다. 이는 프로세스 스테이션 각각이 고유의 분리된 프로세스 챔버 내에 상주한다면 존재할 "완전한" 또는 "완벽한" 체적 격리와 반대되고; 커튼 가스를 사용한 체적 격리는 이러한 완벽한/완전한 분리/격리를 암시하거나 요구하지 않는다.
실시예에 따라, 프로세스 챔버 내로 커튼 가스의 플로우 레이트는 프로세스 챔버 내로 플라즈마 피드 가스의 플로우 레이트와 상이할 수도 있다는 것을 또한 주의한다. 일부 실시예들에서, 플라즈마 피드 가스는 스테이션 각각에서 스테이션 당 약 5 내지 50 SLM (standard liters/minute), 보다 구체적으로 스테이션 당 약 10 내지 35 SLM, 또는 더욱 구체적으로 스테이션 당 약 15 내지 20 SLM 의 레이트로 프로세스 챔버 내로 흐를 수도 있다. 일부 실시예들에서, 커튼 가스는 스테이션 당 약 3 내지 60 SLM, 또는 보다 구체적으로 스테이션 당 약 10 내지 50 SLM, 또는 더욱 구체적으로 스테이션 당 약 15 내지 40 SLM, 또는 더욱 보다 구체적으로 스테이션 당 약 20 내지 30 SLM의 레이트로 프로세스 챔버 내로 흐를 수도 있다. 이러한 커튼 가스 플로우 레이트들은 반응물질 및 플라즈마 피드 가스들의 프로세스 스테이션들 근방으로부터 프로세싱 챔버의 리모트 영역들 (예컨대 샤워헤드 후면들) 로 역 확산을 감소 (그리고/또는 방지) 한다.
일부 실시예들에서, 멀티-스테이션 막 증착 장치들은 프로세스 스테이션 각각과 연관된 샹들리에-타입 샤워헤드들을 채용할 수도 있다. 이러한 샹들리에 샤워헤드들은 일반적으로 헤드 부분 및 스템 부분을 포함할 수도 있고, 헤드 부분의 하단 표면은 (예를 들어, ALD 동작에서 기판 표면 흡착을 위한) 막 전구체, (예를 들어, ALD 동작에서 플라즈마 활성화를 위한) 플라즈마 피드 가스, 및 가능하게 별개의 퍼지 가스를 프로세스 스테이션 각각의 근방에서 프로세싱 챔버 내로 흘리기 위한 어퍼처들을 제공한다. 샤워헤드의 스템 부분은 프로세싱 챔버 내에서 프로세스 스테이션 각각의 위로 헤드 부분을 지지/매달도록 (hang), 그리고 또한 막 전구체 (및/또는 다른 반응물질들), 플라즈마 피드 가스, 등을 헤드 부분 내의 어퍼처들로 흘리기 위한 유체 경로/연결부를 제공하도록 존재한다. 일반적으로, 샹들리에-타입 샤워헤드 설계들은 기판 표면에 대한 막 전구체 플로우의 우수한 공간적으로 균일한 분포, 및 플로우의 지점 소스들로 역할을 하는 몇몇 노즐들만으로 달리 달성될 것과 비교하여 개선되게 한다는 것을 알 수 있다.
상이한 목적들을 위해 사용되기 때문에, 플라즈마 피드 가스 및 커튼 가스는 일반적으로 프로세싱 챔버 내로 상이한 진입 지점들을 갖는다. 플라즈마 피드 가스가 샤워헤드들 (직전에 기술된 바와 같이) 의 헤드 부분들의 하단 표면의 어퍼처들을 통해 챔버로 들어가는 한편, 커튼 가스는 다양한 프로세스 스테이션들에 체적 격리를 제공하는 (뿐만 아니라 잠재적으로 다른 이점들을 제공하는) 역할에 적합한 진입 지점들로부터 프로세싱 챔버 내로 도입될 수도 있다. 예를 들어, 프로세스-스테이션-특정 샹들리에 샤워헤드들을 채용하는 실시예들에 대해, 커튼 가스는 샹들리에 샤워헤드들 각각의 헤드 부분들 뒤로부터 특히, 일부 실시예들에서, 샤워헤드들의 스템 부분들을 둘러싸는 샤워헤드 칼라들의 어퍼처들을 통해 프로세스 챔버 내로 방출될 수도 있다. 더욱이, 특정한 이러한 실시예들에서, 커튼 가스는 기판의 평면 및/또는 헤드 부분들의 하단 표면들에 실질적으로 평행한 방향들, 따라서 일반적으로 처음에는 샤워헤드의 헤드의 하단 표면으로부터 발산하는 플로우에 수직인 방향들의 이들 어퍼처들로부터 흐를 수도 있다. 커튼 가스의 이 플로우는 이제 샤워헤드의 헤드로부터 플라즈마 피드 및/또는 퍼지 가스의 플로우에 실질적으로 평행한 커튼 가스 플로우가 하향으로 바뀔 수도 있는 지점, 샤워헤드의 후면의 단부 (샤워헤드의 헤드 부분의 상단 표면) 에 도달할 때까지 측방향으로 계속될 수도 있다.
기술된 바와 같이, 멀티-스테이션 프로세싱 챔버에서, 커튼 가스의 이 플로우 패턴은 프로세스 스테이션들 사이에 체적 분리를 제공하도록 사용될 수도 있지만; 단일 프로세스 스테이션 환경의 맥락에서조차, 샤워헤드의 헤드 부분 뒤로부터 커튼 가스 플로우를 확립하는 장점들이 수반될 수도 있다. ―먼저 단일 프로세스 스테이션 실시예의 보다 단순한 맥락에서―이러한 플로우 패턴을 예시하기 위해, 도 16은 프로세싱 챔버 (1702), 샤워헤드 (1706) 및 샤워헤드 칼라 (1730) 를 갖고, 커튼 가스 플로우 경로들 (1720), 및 플라즈마 피드 가스 (및 반응물질 전구체) 플로우 경로들 (1710) 을 특징으로 하는 단일-스테이션 기판 프로세싱 장치 (1700) 의 단면 개략도를 도시한다. 전술한 기술과 일치하는, 도 16에 도시된 구성에서, 플라즈마 피드 가스 소스 (1713) 로부터 플라즈마 피드 가스는 샤워헤드 (1706) 의 헤드 부분의 하단 표면을 통해 챔버 (1702) 내로 흐르는 한편, 커튼 가스 소스 (1722) 로부터 커튼 가스는 샤워헤드 (1706) 의 스템 부분을 둘러싸는 샤워헤드 칼라 (1730) 의 어퍼처들을 통해 챔버 (1702) 내로 흐른다. 따라서, 본 도면에서 (단일 스테이션 맥락에서조차 기술적 구 "커튼 가스"가 유지된다는 것을 주의한다) 커튼 가스는 샤워헤드 (1706) 의 후면의 중심 축에 가까운 프로세싱 챔버 (1702) 내로 도입되고 그리고 페데스탈 (1708) 상에 홀딩된 기판 (1712) 의 평면에 실질적으로 평행한 (그리고 샤워헤드 (1706) 의 헤드 부분의 하단 표면에 실질적으로 평행한) 플로우로 도입된다. 이어서 이렇게 도입된 커튼 가스는 샤워헤드 둘레, 샤워헤드 및 스테이션의 주변부 둘레, 그리고 (도 16에 화살표들로 개략적으로 예시된 바와 같이) 교차-플레이트들 (1703) 의 근방의 챔버를 나가기 전에 챔버 측벽들 아래로 흐르도록 진행한다.
논의되었지만, 멀티-스테이션 기판 프로세싱 챔버에서, 커튼 가스는 프로세스 스테이션들 사이에 체적 격리를 부가적으로 제공할 수도 있다. 도 17은 프로세싱 툴 (1800) 의 멀티-스테이션 프로세싱 챔버 (1802) 내 프로세스 스테이션들 (1811 및 1812) 의 쌍 (도 17의 대시 선들을 참조) 의 개략적인 예시를 도시한다. 가스 플로우의 방향을 나타내는 화살표들로 도면에 예시된 바와 같이, (단일 스테이션의 맥락에서) 도 16에 도시된 커튼 가스 플로우 패턴에 더하여, 본 도면에서 커튼 가스 (1820) 는 부가적으로 프로세스 스테이션들 (1811 및 1812) 사이에서 흘러 서로로부터 체적 격리된다. 이 도면은 프로세스 스테이션들의 쌍을 단면으로 도시하고, 따라서 이 도면은 2-스테이션 프로세싱 챔버 실시예를 나타내고, 또는 4-스테이션 프로세싱 챔버 실시예의 단면도를 나타낼 수 있다는 것을 주의한다. 어떠한 경우든, 도시된 쌍의 프로세스 스테이션 각각은 도 16에 도시된 단일 프로세스 스테이션과 유사하여, 도 16 (뿐만 아니라 참조 번호들) 을 동반한 기술을 또한 적절한 도 17에 적용하고, 도 17에서는 프로세스 스테이션들 (1811 및 1812) 의 쌍이 있고, 이 쌍은 커튼 가스의 플로우 (1820) 에 의해 서로로부터 체적 격리/분리되는 것이 차이이다.
일부 다른 실시예들에서, 커튼 가스는 페데스탈로부터, 샤워헤드로부터, 또는 프로세싱 챔버 자체와 같은 프로세싱 챔버 내 다른 진입 지점들로부터 프로세스 챔버 내로 방출될 수도 있다. 예를 들어, 스테이션 내 페데스탈은 커튼 가스를 프로세스 챔버 내로 방출하도록 구성되는 (예를 들어, 커튼 가스 소스에 유체로 연통하는) 측벽 및/또는 원주형 에지를 따라 슬롯들 및/또는 어퍼처들을 포함할 수도 있다. 다른 예에서, 샤워헤드는 또한 커튼 가스를 프로세스 챔버 내로 방출하도록 구성되는 샤워헤드의 원주형 에지 및/또는 표면 (예를 들어, 원주형 측면 또는 상단부) 을 따라 슬롯들 및/또는 어퍼처들을 포함할 수도 있다. 또 다른 예에서, 프로세싱 챔버는 스테이션 각각 둘레에 커튼 가스를 방출하도록 구성될 수도 있다. 일부 이러한 실시예들에서, 프로세싱 챔버는 노즐들, 어퍼처들, 슬롯들, 또는 이러한 커튼 가스를 흘리기 위해 커튼 가스 소스에 유체로 연통하는 다른 개구부들을 포함할 수도 있고, 이러한 개구부들은 다양한 프로세스 스테이션들에 대한 체적 격리를 적합하게 제공하기 위해 프로세싱 챔버 내에 배치 및 위치될 수도 있다. 예를 들어, 챔버는 커튼 가스가 프로세스 챔버 내로 그리고 프로세스 스테이션 각각의 둘레로 흐를 수도 있도록 프로세스 스테이션 각각의 위로 원형 패턴으로 배치된 일련의 어퍼처들 또는 노즐들을 포함할 수도 있다.
도 18은 커튼 가스를 프로세스 챔버 내로 방출하도록 구성된 샤워헤드를 포함하는 예시적인 프로세싱 챔버를 도시한다. 알 수 있는 바와 같이, 도 18은 프로세싱 챔버 (1702) 및 샤워헤드 (1906), 뿐만 아니라 도 16에 기술되고 포함된 피처들 중 일부를 갖는 장치 (1900) 를 포함한다. 샤워헤드 (1906) 는 커튼 가스 소스 (1722) 에 유체로 연결되고 대시 선으로 식별된 커튼 가스 (1920) 를 프로세싱 챔버 내로 흘리도록 구성된다. 도 18은 샤워헤드 (1906) 로부터 흐르는 커튼 가스의 일반적인 개념을 도시하도록 의도되고, 따라서, 도 18에 도시된 피처들 중 일부는 도 16의 피처들과 유사하고 그리고/또는 동일하고, 샤워헤드 칼라와 같은 일부 피처는 예시적인 목적들로 생략되었다. 커튼 가스 플로우는 원주형 측벽, 상단부 또는 하단부와 같은 샤워헤드의 임의의 부분 또는 부분들로부터 발산될 수도 있다.
유사하게, 도 19는 프로세스 챔버 내로 커튼 가스를 방출하도록 구성되는 제 2 예시적인 프로세싱 챔버를 도시한다. 도 19는 프로세싱 챔버 바디 (2002) 뿐만 아니라 도 16에 기술되고 포함된 피처들 중 일부를 갖는 장치 (2000) 를 포함한다. 프로세싱 챔버 (2002) 는 커튼 가스 소스 (1722) 에 유체적으로 연결되고, 대시 선으로 식별된 커튼 가스 (2020) 를 프로세싱 챔버 내로 흘리도록 구성된다. 도 19는 프로세싱 챔버 바디 예를 들어, 프로세싱 챔버의 상단부로부터 흐르는 커튼 가스의 일반적인 개념을 도시하도록 의도되고, 따라서, 피처들 중 일부는 도 16의 피처들과 유사하고 그리고/또는 동일하고, 샤워헤드 칼라와 같은 일부 피처는 예시적인 목적들로 생략되었다.
도 20은 커튼 가스를 프로세스 챔버 내로 방출하도록 구성된 페데스탈을 포함하는 제 3 예시적인 프로세싱 챔버를 도시한다. 알 수 있는 바와 같이, 도 20은 페데스탈 (2108) 뿐만 아니라 도 16에 기술되고 포함된 피처들 중 일부를 갖는 장치 (2100) 를 포함한다. 페데스탈 (2108) 은 커튼 가스 소스 (1722) 와 유체로 연통하고 대시 선으로 식별된 커튼 가스 (2120) 를 프로세싱 챔버 내로 흘리도록 구성된다. 도 20은 페데스탈 (2018) 예를 들어, 프로세싱 챔버의 상단부로부터 흐르는 커튼 가스의 일반적인 개념을 도시하도록 의도되고, 따라서, 피처들 중 일부는 도 16의 피처들과 유사하고 그리고/또는 동일하고, 샤워헤드 칼라와 같은 일부 피처는 예시적인 목적들로 생략되었다.
본 개시는 샤워헤드의 후면으로부터 축대칭 불활성 가스 플로우를 도입한다. 일부 예들에서, 캐비티 내 퍼지 가스의 플로우는 캐비티 내로 전구체의 역 확산 (back diffusion) (또는 플로우) 을 방지하도록 Peclet 조건 (통상적으로 1보다 큰 Peclet 수) 을 만족한다. 그 결과, 챔버 체적은 캐비티 내 원치 않은 증착을 최소화하는 동안 감소될 수 있고, 이는 세정하기 어려울 수 있다. 추가 개선이 RF 격리/억제 디바이스들을 사용하여 후면 플로우를 결합함으로써 달성될 수도 있다. RF 격리/억제 디바이스들은 캐비티 내 전기장을 감소시킬 수도 있고, 이는 기생 플라즈마 위험성을 감소시킨다.
이제 도 21을 참조하면, 샤워헤드 (870) 를 갖는 프로세싱 챔버 (860) 를 포함하는 기판 프로세싱 시스템 (850) 의 예를 도시한다. 샤워헤드 (870) 는 스템 부분 (872) 및 헤드 부분 (874) 을 포함한다. 헤드 부분 (874) 은 내측 캐비티 (875) 를 규정한다. 전구체 또는 퍼지 가스 플로우와 같은 유체들은 스템 부분 (872) 을 통해, 확산 플레이트 (876) 상으로 그리고 내측 캐비티 (875) 내로 흐른다. 이어서 유체들이 헤드 부분 (874) 의 하단 표면의 이격된 홀들 (878) 및 프로세싱 챔버 내로 통과한다.
샤워헤드 (870) 의 스템 부분 (872) 은 칼라 (880) 에 의해 프로세싱 챔버 (860) 의 상단 벽에 연결된다. 칼라 (880) 는 일반적으로 "T"-형상 단면을 갖고 헤드 부분 (881) 및 스템 부분 (883) 을 포함한다. 칼라 (880) 는 실린더-형상이고 샤워헤드 (870) 의 스템 부분 (872) 을 수용하는 내측 캐비티 (884) 를 형성한다. 복수의 슬롯들 (886) 은 퍼지 가스와 같은 유체로 하여금 내측 캐비티 (884) 로부터 스템 부분 (883) 의 외측 표면으로 흐르게 하도록 스템 부분 (883) 내에 형성된다.
유체 커넥터 (890) 는 칼라 (880) 의 헤드 부분 (881) 의 에지에 연결될 수도 있고 퍼지 가스와 같은 유체를 공급하도록 사용된다. 유체 커넥터 (890) 는 일반적으로 892에서 식별되는 하나 이상의 도관들 및/또는 커넥터들을 포함한다. 유사하게 칼라 (880) 의 헤드 부분 (881) 은 일반적으로 칼라 (880) 의 내측 캐비티 (884) 로 유체의 플로우를 지향시키도록 893에서 식별되는 도관들 및/또는 커넥터들을 포함한다.
플레이트 (900) 가 샤워헤드 (870) 의 헤드 부분 (874) 과 칼라 (880) 사이에 배치된다. 플레이트 (900) 는 상부 표면 (904), 센터링 개구부 또는 보어 (910), 및 하단 표면 (914) 을 포함한다. 일부 예들에서, 플레이트 (900) 는 세라믹으로 이루어진다. 플레이트 (900) 의 두께는 접지 또는 기생 플라즈마로의 재료 및 용량성 커플링을 최소화하도록 선택될 수도 있다. 플레이트 (900) 의 상부 표면 (904) 은 칼라 (880) 의 하단 에지로부터 이격되어 유체로 하여금 그 사이로 통과하게 한다. 센터링 보어 (centering bore) (910) 는 또한 스템 부분 (872) 으로부터 이격되어 유체로 하여금 그 사이를 통과하게 한다. 플레이트의 하단 표면 (914) 은 샤워헤드 (870) 의 상부 표면으로부터 이격되어 유체로 하여금 그 사이를 통과하게 한다. 일부 예들에서, 플레이트 (900) 는 생략될 수도 있고 프로세싱 챔버는 플레이트 (900) 없이 동작될 수도 있다.
칼라를 통해 퍼지 가스를 흘리는 것은 원치 않은 막 증착을 방지하기 위해 캐비티 내 영역들로 들어가는 것으로부터 프로세스 증착 화학물질을 억제한다. 슬롯들 및 다른 갭들의 치수들은 내부에서 플라즈마 발광 (light-up) 을 방지하도록 그리고 목표된 가스 플로우 레이트들을 위한 역 확산을 방지하기 위해 Peclet 조건이 만족되게 하도록 선택될 수도 있다.
이제 도 22를 참조하면, 헤드 부분 (881) 및 스템 부분 (883) 을 포함하는 도 21의 칼라 (880) 의 예를 도시한다. 슬롯들 (886) 은 아치 형상을 가질 수도 있고 스템 부분 (883) 둘레에 배치될 수도 있다. 슬롯들 (886) 은 유체로 하여금 슬롯들 (886) 을 통해 내측 캐비티 (884) 로부터 흐르게 한다. 헤드 부분 (881) 은 유체 커넥터 (890) 상의 대응하는 정합 (mating) 부분과 정합하는 정합 부분 (918) 을 포함할 수도 있다. 연결된다면, 칼라 (880) 의 도관 (893) 은 유체 커넥터 (890) 의 도관 (892) 과 정렬된다.
이제 도 23을 참조하면, 칼라 (880) 에 대한 유체 커넥터 (890) 의 예를 도시하고, 유체 커넥터 (890) 는 제 2 정합 부분 (920), 도관 (930), 커넥터 (932), 도관 (934), 및 커넥터 (936) 를 포함하는 것으로 도시되지만; 그럼에도 불구하고, 유체 커넥터의 다른 구성들이 고려된다.
도 24a 및 도 24b는 도 21의 플레이트 (900) 의 예들을 도시한다. 도 24a에서, 플레이트 (900) 의 상부 표면 (904) 은 일반적으로 원형 단면 및 플레이트 (900) 의 중심에 배치된 센터링 보어 (910) 를 갖는 것으로 도시된다. 센터링 보어 (910) 는 센터링 보어 (910) 로부터 방사상 내측으로 연장하는 하나 이상의 돌기들 (940) 을 포함한다. 돌기들 (940) 은 플레이트 (900) 와 스템 부분 (872) 사이에 균일한 간격을 제공한다. 도 24b에서, 플레이트 (900) 의 하단 표면 (914) 은 프로세싱 챔버의 상단부에 대해 하향으로 연장하는 돌기들 (944) 을 포함하는 것으로 도시된다. 돌기들 (944) 은 플레이트 (900) 의 하단 표면 (914) 과 샤워헤드 (870) 의 헤드 부분 (874) 의 상부 표면 사이에 균일한 간격을 제공한다. 돌기들 (940 및 944) 은 기생 플라즈마를 방지하도록 충분히 가까운 간격을 제공할 수도 있다. 단지 예를 들면, 통상적인 프로세스 조건들에 대해 대략 3 ㎜ 이하의 간격이 기생 플라즈마를 방지하는데 적합할 수도 있다. 통상적인 프로세스 조건들에 대해 이 간격을 사용하면, 플라즈마 시스와 함께 플라즈마를 형성하기 불충분한 공간이 있다 (2 개의 플라즈마 시스 길이들 미만). 플라즈마의 형성은 플라즈마 밀도, 플라즈마 전자 온도, 및 시스에 걸친 전압에 영향을 받을 수도 있다.
또한, 도 4를 다시 참조하면, 멀티-스테이션 프로세스 모듈의 페데스탈들의 수가 예를 들어, 2 이상이지만 4일 필요는 없이, 가변될 수 있다. 금속 페데스탈들에 대한 유전체의 비는 예를 들어 단계 시간들의 변동성을 설명하기 위해 예를 들어 QSM 2:2로 또는 1:3과 같은 상이한 비로 가변될 수 있다. 금속이 단일 층이 아니라 양 사이드에 배리어/라이너들을 갖는다면 (예를 들어, WN/W/WN), 멀티-스테이션 모듈 내 페데스탈들 (스테이션들) 은 이에 따라, 예를 들어 페데스탈 1 = 유전체, 페데스탈 2 = 라이너 1, 페데스탈 3 = 금속, 페데스탈 4 = 라이너 2으로 구성될 수도 있다. 페데스탈은 또한 예열 (pre-heat), 화학적 전-처리 (pre-treat), 냉각, 후-처리, 핵생성, 등 또는 다른 동작과 같은 상이한 기능을 제공할 (serve) 수 있다.
잠재적인 대량 웨이퍼 이송 시간 오버헤드는, 스택 증착 완료 전 및 후를 포함하여, 일부 배치 로딩 및 언로딩을 허용하도록 많은 날을 가진 (many-bladed) 이송 로봇 (59) 의 사용을 통해 오프셋될 수도 있고, 또는 웨이퍼들이 예를 들어 웨이퍼-대-웨이퍼 균일도를 향상시키기 위해, 스택 증착 동안 모듈들 사이에서 이송될 수도 있다. 버퍼 스테이션(들) (61), 예를 들어, 유전체 증착과 금속 증착 사이에 상이한 온도들의 가열 스테이션/냉각 스테이션의 사용을 통해 효율성이 또한 향상될 수도 있다.
이 인-시츄 순차적 MSM (multi-station module) 구현예는 특히, 상업적 규모, 품질 및 쓰루풋 맥락에서 향상된 퍼포먼스의 가능성을 제안한다. 교차-오염의 최대 용인가능한 문턱값이 교차하지 못하도록 효율 (쓰루풋) 이 기술적 퍼포먼스를 실질적으로 희생하지 않고 향상되고, 그리고/또는 시간에 따른 웨이퍼들에 걸친 페데스탈의 증착 특성들을 변화시키는 타깃을 벗어난 (off-target) 증착 효과들로 인해 프로세스 변동성은 잘 엔지니어링되고 제어된 MSM 툴 내에서 제어된다.
통합된 인-시츄 일시적인 배치 - 동일 툴 / 동일 모듈 / 동일 페데스탈
도 5를 참조하면, 제 3 실시예에서, 통합된 유전체 및 금속 증착이 동일한 툴 (70) 의 동일한 모듈(들)(71) 의 동일한 페데스탈(들) (82)(82a/82b) 상에서 구현될 수도 있다. 적합한 툴 (70) 이 적어도 하나의 유전체 및 금속 증착 모듈, 이 구현예에서, Lam Mach IQ 플랫폼 (75) 상의 4 개의 QSM들 (quad-station modules) (71, 72, 73, 74) 을 사용하는 이 실시예에 따라 인-시츄 일시적인 배치 프로세싱을 위해 구성될 수도 있다. 플랫폼 (75) 은 로드록 (76) 을 포함하고, 로봇 (77) 이 하나 이상의 포드들 (78) 을 통해 카세트로부터 로딩된 웨이퍼들을 대기 포트를 통해 로드록 (76) 내로 이동시키도록 구성된다. 웨이퍼는 로드록 (76) 내에 인바운드될 때, 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 또는 다른 전처리 이유들로 플라즈마에 노출되거나 가열될 수도 있다. 버퍼 스테이션(들) (81), 예를 들어, 유전체 증착과 금속 증착 사이에 상이한 온도들의 가열 스테이션/냉각 스테이션의 사용을 통해 효율성이 또한 향상될 수도 있다. 이어서 또 다른 로봇 (예를 들어, 79) 이 웨이퍼를 프로세싱을 위해 증착 모듈 내로 위치시킬 수 있다.
예를 들어, Lam Research Strata PECVD 및/또는 Striker ALD 유전체 증착 모듈들 스테이션 설계들의 양태들은 Lam Research ALTUS 금속 증착 모듈들 스테이션 설계들의 양태들과 동일한 모듈의 동일한 페데스탈 (스테이션) 상에서 통합될 수도 있다. 또는, Lam Research Strata PECVD 및/또는 Striker ALD 유전체 증착 모듈 스테이션 설계들은 유전체 및 금속 증착이 동일한 모듈 내 동일한 스테이션들에서 효과적으로 수행될 수도 있도록 조정될 수도 있다. 이러한 방식으로, 다시 층들 사이에 에어 브레이크가 없다. 일부 구현예들에서, 상이한 스테이션들은 마이크로-볼륨 스테이션들 각각이고, 모듈의 동일한 스테이션들 상에서 수행된 증착 동작들 사이에 교차-오염을 방지하도록 구체적으로 구성되거나 동작될 수도 있다. 이러한 구성 또는 동작가능 피처들은 미국 특허 출원 공보 제 2015/0004798 호 및 제 2017/0101710 호를 참조하여 상기 기술된 바와 같이 가스 시일링부들을 포함한다. 모듈들은 스테이션 각각으로 분리된 플로우를 인에이블하도록 스테이션 각각에서 전용 가스 플로우 밸브를 구비할 수 있고, 그리고 분리된 측면 또는 상단 유출구들을 통해 마이크로-볼륨으로부터 국부적인 펌핑이 배기물의 혼합을 방지하도록 수행될 수 있다. 완전히 세라믹 스테이션 하드웨어가 Al 또는 다른 금속들로부터 부식 또는 오염을 방지하도록 금속 할라이드 (예를 들어, TiCl4) 스테이션들에 사용될 수 있다. 웨이퍼 각각이 유전체 증착 동작과 금속 증착 동작 사이에서 이동하지 않기 때문에, 이는 고 쓰루풋 및 웨이퍼-당-저 비용 구현예를 가질 것이다.
제어기
일부 구현예들에서, 본 개시에 따른 장치는 툴 플랫폼 (35, 55, 75) 의 일부와 같은, 툴과 연관되거나 툴 내 및/또는 개별 모듈들과 연관되거나 개별 모듈들 내에 하나 이상의 시스템 제어기들을 합병할 수도 있다. 적합한 제어기는 모듈들 및 툴 내로, 모듈들 및 툴을 통해 그리고 모듈들 및 툴로부터 웨이퍼들의 운동, 모듈 프로세싱 챔버(들)/스테이션(들)으로 시약 가스 종을 흘리고, 그렇지 않으면 통합된 도전체 증착 프로세스 및 유전체 증착 프로세스를 구현하는 것을 위한 머신-판독가능 인스트럭션들을 포함한다.
프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 동작시키기 위해 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함하여, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시예의 구현예
예시적인 4-스테이션 PEALD/PECVD 프로세스 툴 (예를 들어, Lam Striker) 을 사용한 예시적인 실란-계 실리콘 다이옥사이드 및 티타늄 나이트라이드 프로세스들에 대한 파라미터 범위들이 표 1 및 표 2에 제공된다. 다른 적합한 파라미터 범위들이 막-형성 프로세스 화학물질들의 다른 실시예들에 채용될 수도 있다는 것이 인식될 것이다. 예를 들어, 다른 파라미터 범위들이 산소 소스로서 CO 및/또는 CO2 를 사용하여 실란으로부터 형성된 실리콘 다이옥사이드 막들 및 N2, NH3 및/또는 N2/H2 플라즈마들로부터 획득된 질소 원자들을 사용하여 티타늄 할라이드들로부터 형성된 티타늄 나이트라이드 막들에 적용될 수도 있다.
Figure 112019050156450-pct00001
Figure 112019050156450-pct00002
티타늄 할라이드들 및 암모니아를 사용한 예시적인 티타늄 나이트라이드 프로세스 및 예시적인 4-스테이션 프로세스 툴이 표 3에 제공되고 실란 및 아산화질소를 사용한 예시적인 실리콘 다이옥사이드 프로세스 및 예시적인 4-스테이션 프로세스 툴이 표 4에 제공된다.
Figure 112019050156450-pct00003
Figure 112019050156450-pct00004
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (24)

  1. 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법에 있어서,
    도전성 막 증착 및 유전체 막 증착이 패터닝된 반도체 기판 상에 도전체/유전체 막 쌍의 형성을 발생시키도록,
    상기 도전성 막을 증착하는 단계;
    상기 유전체 막을 증착하는 단계; 및
    적어도 20 쌍들의 도전체 막과 유전체 막의 교번하는 층들을 포함하는 막 스택을 형성하도록 상기 도전성 막 및 상기 유전체 막의 증착을 반복하는 단계를 포함하고,
    상기 도전성 막 증착 및 상기 유전체 막 증착은 상기 막 증착들 사이에 진공을 파괴하지 않고 (without break), 동일한 프로세싱 툴 또는 챔버의 상이한 스테이션들 상에서 수행되고,
    상기 도전성 막 증착과 상기 유전체 막 증착 사이에 실질적인 교차-오염이 없고, 그리고
    모듈 내 스테이션들 간 교차-오염은 커튼 가스를 사용한 가상 시일링에 의해 방지되는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 도전성 막과 유전체 막 쌍들은 시간 당 적어도 5 개의 웨이퍼들의 레이트로 상기 스택으로 증착되는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 도전성 막과 유전체 막 쌍 증착들은 또한 상기 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 상이한 모듈들에서 수행되는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 도전성 막과 유전체 막 쌍 증착들은 또한 상기 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 동일한 스테이션 상에서 수행되는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  6. 제 1 항에 있어서,
    적어도 50 개의 금속 및/또는 도전성 금속 나이트라이드 막과 실리콘 옥사이드 막 쌍들이 증착되는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 도전성 막은 500 μΩ ㎝의 최대 저항률을 갖는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 도전성 막은 금속 또는 금속 나이트라이드인, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 도전성 막은 TiN인, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 유전체는 옥사이드인, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 옥사이드는 SiO2인, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 증착들은 열적 CVD (chemical vapor deposition) 에 의해 수행되는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 증착들은 PECVD (plasma-enhanced chemical vapor deposition) 에 의해 수행되는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  14. 제 1 항에 있어서,
    상기 증착들은 ALD (atomic layer deposition) 에 의해 수행되는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 상이한 스테이션들은 각각의 마이크로-볼륨 스테이션들인, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  16. 제 15 항에 있어서,
    상기 마이크로-볼륨 스테이션들은 압력들이 독립적으로 유지될 수 있도록, 복수의 쓰로틀 밸브들을 포함하고, 일 쓰로틀 밸브는 유전체 스테이션(들) 용이고 일 쓰로틀 밸브는 도전체 스테이션(들) 용인, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  17. 제 15 항에 있어서,
    스테이션 각각으로부터의 배기가스가 가스들의 교차 혼합/오염 없이 독립적으로 남을 수 있도록, 스테이션 각각에 대한 배기부는 국부적이고 (local) 공유되지 않는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  18. 삭제
  19. 제 15 항에 있어서,
    상기 스테이션 하드웨어는 부식 또는 오염을 방지하기 위해 세라믹을 포함하는, 패터닝된 반도체 기판 상에 막 스택을 형성하는 방법.
  20. 삭제
  21. 패터닝된 반도체 기판 상에 도전체 막 및 유전체 막의 층들을 포함하는 막 스택의 통합 형성을 위한 장치에 있어서, 도전체 막 증착과 유전체 막 증착 사이에 실질적인 교차-오염이 없도록, 상기 막 증착들 사이에 진공을 파괴하지 않고, 동일한 프로세싱 툴 또는 챔버에서 적어도 20 개의 도전체/유전체 막 쌍들의 스택의 도전체 막 및 유전체 막 증착을 수행하도록 구성된 프로세싱 툴의 프로세싱 챔버들을 포함하는 하나 이상의 모듈들을 포함하고, 상기 모듈 내 스테이션들 간 교차-오염은 커튼 가스를 사용한 가상 시일링에 의해 방지되는, 막 스택의 통합 형성을 위한 장치.
  22. 제 21 항에 있어서,
    상기 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 상이한 모듈들에서 상기 도전체/유전체 막 쌍 증착들을 수행하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 더 포함하는, 막 스택의 통합 형성을 위한 장치.
  23. 제 21 항에 있어서,
    상기 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 상이한 스테이션들 상에서 상기 도전체/유전체 막 쌍 증착들을 수행하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 더 포함하는, 막 스택의 통합 형성을 위한 장치.
  24. 제 21 항에 있어서,
    상기 증착들 사이에 진공을 파괴하지 않고 동일한 툴의 동일한 모듈의 동일한 스테이션 상에서 상기 도전체/유전체 막 쌍 증착들을 수행하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 더 포함하는, 막 스택의 통합 형성을 위한 장치.
KR1020197014092A 2016-10-17 2017-09-29 통합된 직접 유전체 및 금속 증착 KR102549735B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662409269P 2016-10-17 2016-10-17
US62/409,269 2016-10-17
US15/593,187 2017-05-11
US15/593,187 US10128116B2 (en) 2016-10-17 2017-05-11 Integrated direct dielectric and metal deposition
PCT/US2017/054485 WO2018075225A1 (en) 2016-10-17 2017-09-29 Integrated direct dielectric and metal deposition

Publications (2)

Publication Number Publication Date
KR20190057415A KR20190057415A (ko) 2019-05-28
KR102549735B1 true KR102549735B1 (ko) 2023-06-29

Family

ID=61904678

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197014092A KR102549735B1 (ko) 2016-10-17 2017-09-29 통합된 직접 유전체 및 금속 증착

Country Status (6)

Country Link
US (1) US10128116B2 (ko)
JP (1) JP2019537837A (ko)
KR (1) KR102549735B1 (ko)
CN (1) CN109906498B (ko)
TW (1) TW201828458A (ko)
WO (1) WO2018075225A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
CN113728421A (zh) * 2019-04-19 2021-11-30 朗姆研究公司 四站式处理模块的前级管道组件
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015170852A (ja) * 2014-03-10 2015-09-28 株式会社東芝 不揮発性記憶装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0384971B1 (en) * 1989-02-28 1994-05-18 Rockwell International Corporation Barrier disk
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
FR2766174B1 (fr) * 1997-07-21 1999-08-20 Saint Gobain Vitrage Substrat transparent revetu d'au moins une couche mince
US7186569B2 (en) 2002-08-02 2007-03-06 Unity Semiconductor Corporation Conductive memory stack with sidewall
KR100728962B1 (ko) 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
US8815014B2 (en) 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US9249502B2 (en) 2008-06-20 2016-02-02 Sakti3, Inc. Method for high volume manufacture of electrochemical cells using physical vapor deposition
US7824935B2 (en) 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
JP4523995B2 (ja) * 2009-11-26 2010-08-11 キヤノンアネルバ株式会社 電界効果トランジスタの製造方法
WO2011074604A1 (ja) 2009-12-18 2011-06-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び半導体装置
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8946083B2 (en) * 2011-06-24 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formation of silicon and tantalum containing barrier
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9117668B2 (en) * 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US9184060B1 (en) * 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
EP3038141B1 (en) * 2014-12-23 2019-08-28 IMEC vzw Method of reading a memory cell of a vertical ferroelectric memory device
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
CN107849692A (zh) * 2015-08-24 2018-03-27 学校法人冲绳科学技术大学院大学学园 金属氧化物纳米线的原位生长和催化纳米颗粒修饰
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015170852A (ja) * 2014-03-10 2015-09-28 株式会社東芝 不揮発性記憶装置

Also Published As

Publication number Publication date
KR20190057415A (ko) 2019-05-28
JP2019537837A (ja) 2019-12-26
US20180108529A1 (en) 2018-04-19
US10128116B2 (en) 2018-11-13
WO2018075225A1 (en) 2018-04-26
CN109906498A (zh) 2019-06-18
TW201828458A (zh) 2018-08-01
CN109906498B (zh) 2024-04-02

Similar Documents

Publication Publication Date Title
KR102549735B1 (ko) 통합된 직접 유전체 및 금속 증착
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
US11049716B2 (en) Gap fill using carbon-based films
KR102598660B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
US11742212B2 (en) Directional deposition in etch chamber
US7993457B1 (en) Deposition sub-chamber with variable flow
KR20210150331A (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US20030213560A1 (en) Tandem wafer processing system and process
KR20210150330A (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
TW201827637A (zh) 利用鹵化物系前驅體以沉積無金屬原子層沉積氮化矽膜之方法
US9869024B2 (en) Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor
CN111979529A (zh) 使用边缘冲洗的衬底基座
US20220290300A1 (en) Metal deposition
US20230005740A1 (en) Modulation of oxidation profile for substrate processing
TW201837225A (zh) 具有氣封之化學沉積腔室
CN109868459B (zh) 一种半导体设备
US20220165567A1 (en) Systems and methods for deposition residue control
US20220130650A1 (en) Processing chamber deposition confinement
WO2022203946A1 (en) Uniform in situ cleaning and deposition
TW202122618A (zh) 銅表面上之選擇性鈷沉積
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US11955333B2 (en) Methods and apparatus for processing a substrate
US20230260759A1 (en) Integration of vapor deposition process into plasma etch reactor
US20220298636A1 (en) Methods and apparatus for processing a substrate
WO2024076479A1 (en) Adjustable pedestal

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
E701 Decision to grant or registration of patent right
E801 Decision on dismissal of amendment
GRNT Written decision to grant