KR20170141261A - Preparation of Si-H containing iodosilanes through halide exchange reaction - Google Patents

Preparation of Si-H containing iodosilanes through halide exchange reaction Download PDF

Info

Publication number
KR20170141261A
KR20170141261A KR1020177035366A KR20177035366A KR20170141261A KR 20170141261 A KR20170141261 A KR 20170141261A KR 1020177035366 A KR1020177035366 A KR 1020177035366A KR 20177035366 A KR20177035366 A KR 20177035366A KR 20170141261 A KR20170141261 A KR 20170141261A
Authority
KR
South Korea
Prior art keywords
sih
independently
iodosilane
reaction
forming composition
Prior art date
Application number
KR1020177035366A
Other languages
Korean (ko)
Other versions
KR102038215B1 (en
Inventor
콜 리터
제나디 아이토브
매니쉬 칸델왈
장-마크 지라드
글렌 쿠첸베이저
씬 케리건
팽 장
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20170141261A publication Critical patent/KR20170141261A/en
Application granted granted Critical
Publication of KR102038215B1 publication Critical patent/KR102038215B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/08Compounds containing halogen
    • C01B33/107Halogenated silanes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • C07F7/121Preparation or treatment not provided for in C07F7/14, C07F7/16 or C07F7/20
    • C07F7/123Preparation or treatment not provided for in C07F7/14, C07F7/16 or C07F7/20 by reactions involving the formation of Si-halogen linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Silicon Compounds (AREA)

Abstract

할라이드 교환 반응을 이용하여 디요오도실란 또는 펜타요오도디실란과 같은 Si-H 함유 요오도실란을 합성하는 방법이 기술된다.A process for synthesizing Si-H containing iodosilanes such as diiodosilane or pentaiododisilane using a halide exchange reaction is described.

Description

할라이드 교환 반응을 통한 Si-H 함유 요오도실란의 제조Preparation of Si-H containing iodosilanes through halide exchange reaction

관련 출원에 대한 상호 참조Cross-reference to related application

본 출원은 2016년 5월 19일에 출원된 미국 특허출원번호 제62/338,882호의 이익을 주장하며, 이는 전문이 모든 목적을 위하여 본원에 참고로 포함된다.This application claims the benefit of U.S. Patent Application No. 62 / 338,882, filed May 19, 2016, which is hereby incorporated by reference in its entirety for all purposes.

기술분야Technical field

할라이드 교환 반응을 이용하여 디요오도실란 또는 펜타요오도디실란과 같은 Si-H 함유 요오도실란을 합성하는 방법이 기술된다.A process for synthesizing Si-H containing iodosilanes such as diiodosilane or pentaiododisilane using a halide exchange reaction is described.

할로실란 화학물질은 산업에서 다수의 용도를 발견하고 있다. 특히, 요오도실란 전구체, 예를 들어, 디요오도실란(SiH2I2)은 반도체 제작 공정에서 사용하기 위한 다양한 실리콘 함유 막을 증착시키기 위해 사용된다.Halosilane chemicals find many uses in the industry. In particular, iodosilane precursors, such as diiodosilane (SiH 2 I 2 ), are used to deposit various silicon-containing films for use in semiconductor fabrication processes.

에멜러스(

Figure pct00001
) 등은 실란(SiH4), 요오드화수소(HI), 및 알루미늄 요오다이드(AlI3)의 반응에 의한 디요오도실란(SiH2I2)의 합성을 밝혀내었다[Derivatives of monosilane. Part II. The Iodo compounds: Emeleus, H. J.; Maddock, A. G.; Reid, C., J. Chem . Soc . 1941, 353-358]. 이러한 반응은 요오도실란(SiH3I), 트리요오도실란(SiHI3), 및 테트라요오도실란(SiI4)과 함께 요망되는 SiH2I2 반응 생성물을 형성시킨다[Id. at p. 354].Emmelus (
Figure pct00001
) Found the synthesis of diiodosilane (SiH 2 I 2 ) by reaction of silane (SiH 4 ), hydrogen iodide (HI), and aluminum iodide (AlI 3 ) [Derivatives of monosilane. Part II. The Iodo compounds: Emeleus, HJ; Maddock, AG; Reid, C., J. Chem . Soc . 1941, 353-358]. This reaction forms the desired SiH 2 I 2 reaction product with iodosilane (SiH 3 I), triiodosilane (SiHI 3 ), and tetraiodosilane (SiI 4 ) [ Id. at p. 354].

케이난(Keinan) 등은 -20℃에서 미량의 에틸 아세테이트의 존재 하에 1:1 몰비의 요오드 및 페닐실란의 반응이 1 mol의 SiH2I2 및 1 mol의 벤젠을 생성함을 밝혀내었다[J. Org. Chem., Vol. 52, No. 22, 1987, pp.4846-4851]. 다른 가능한 요오도실란(즉, SiH3I, SiHI3, 및 SiI4)에 비해 SiH2I2에 대해 선택적이지만, 이러한 방법은 공지된 인간 발암물질인 벤젠을 생성하는데, 이는 상업적 실행을 어렵게 만든다. 이러한 단점에도 불구하고, 디요오도실란을 생산하기 위한 바람직한 합성 방법으로 존재한다.Keinan et al. Found that the reaction of iodine and phenylsilane in a 1: 1 molar ratio in the presence of a trace amount of ethyl acetate at -20 ° C produces 1 mol of SiH 2 I 2 and 1 mol of benzene [J . Org. Chem., Vol. 52, No. 22, 1987, pp. 4846-4851). Although selective for SiH 2 I 2 compared to other possible iodosilanes (ie, SiH 3 I, SiHI 3 , and SiI 4 ), this method produces benzene, a known human carcinogen, which makes commercial practice difficult . Despite these disadvantages, they exist as a preferred synthesis method for producing diiodosilanes.

이러한 합성 공정으로부터의 불순물, 예를 들어, 요오드화수소 및/또는 요오드는 얻어진 요오도실란 생성물을 분해시킬 수 있다. 현 산업 실무는 문헌[Eaborn, 'Organosilicon Compounds. Part II. 'A Conversion Series for Organosilicon Halides, Pseudohalides, and Sulphides', 1950, J. Chem. Soc., 3077-3089 및 Beilstein 4, IV, 4009]에 교시된 바와 같이, 안티모니, 은, 또는 구리 분말/펠렛 첨가제를 사용하여 이러한 생성물을 안정화시킨다. 구리의 첨가가 생성물을 안정시킬 수 있지만, 이는 또한, 증착된 막의 전기적 성질에 악영향을 미칠 수 있는 불순물(Cu)을 도입할 수 있다.Impurities from such a synthesis process, such as hydrogen iodide and / or iodine, can decompose the resulting iodosilane product. Current industry practice is described in Eaborn, ' Organosilicon Compounds. Part II. A Conversion Series for Organosilicon Halides, Pseudohalides, and Sulphides ', 1950, J. Chem. Soc., 3077-3089 and Beilstein 4, IV, 4009, antimony, silver, or copper powder / pellet additives are used to stabilize these products. Although the addition of copper can stabilize the product, it can also introduce impurities (Cu), which can adversely affect the electrical properties of the deposited film.

소위 핀켈슈타인 반응(Finkelstein reaction)은 하나의 할로겐 원자의 다른 원자로의 교환을 수반하는 SN2 반응(치환 친핵성 이분자 반응)이다. 할라이드 교환은 평형 반응이지만, 이러한 반응은 할라이드 염의 차별 용해도를 이용함으로써, 또는 큰 과량의 할라이드 염을 사용함으로써 완료될 수 있다[Smith et al., (2007), Advanced Organic Chemistry: Reactions, Mechanisms, and Structure (6th ed.), New York: Wiley-Interscience].The so-called Finkelstein reaction is an S N 2 reaction (a substituted nucleophilic bi-molecular reaction) involving the exchange of one halogen atom with another. Halide exchange is an equilibrium reaction, but this reaction can be completed by using the differential solubility of the halide salt or by using a large excess of halide salt (Smith et al., (2007), Advanced Organic Chemistry: Reactions, Mechanisms, and Structure (6th ed.), New York: Wiley-Interscience].

예를 들어, 트리메틸실릴 클로라이드와, 클로로포름 중 리튬 요오다이드 또는 아세토니트릴 중 소듐 요오다이드의 반응을 통한 트리메틸실릴 요오다이드(TMS-I)의 제조가 보고되었다(반응식 4)[Handbook of Reagents for Organic Synthesis, Reagents for Silicon-Mediated Organic Synthesis, Iodotrimethylsilane, Wiley 2011, p. 325].For example, the preparation of trimethylsilyl iodide (TMS-I) via reaction of trimethylsilyl chloride with sodium iodide in lithium iodide or acetonitrile in chloroform has been reported (Scheme 4) [Handbook of Reagents for Organic Synthesis, Reagents for Silicon-Mediated Organic Synthesis, Iodotrimethylsilane, Wiley 2011, p. 325].

[반응식 4][Reaction Scheme 4]

Figure pct00002
Figure pct00002

Si-Cl이 이러한 경로에 의한 요오드 교환에 대해 반응적이지만, 알킬 기 또는 아릴 기와 같은 R 기는 그러하지 않다. 다른 한편으로, Si-H 결합은 일반적으로, Si-Cl 결합에 비해 더욱 반응적인 것으로 확인되었다[Chemistry and Technology of Silicones, Academic Press, 1968, p. 50]. 결과적으로, 당업자는, 핀켈슈타인 반응에서 임의의 Si-H 함유 할로실란의 H 원자 및 Cl 원자 둘 모두의 교환을 예상할 것이다.Si-Cl is reactive to iodine exchange by this path, but the R group such as an alkyl group or an aryl group is not. On the other hand, Si-H bonds have generally been found to be more reactive than Si-Cl bonds [Chemistry and Technology of Silicones, Academic Press, 1968, p. 50]. As a result, one skilled in the art would expect to exchange both the H and Cl atoms of any Si-H containing halosilanes in the Finkelstein reaction.

반도체 산업에서 사용하기에 적합한, 디요오도실란과 같은, 안정한 Si-H 함유 요오도실란의 상업적으로 실행 가능한 합성 및 이의 공급이 여전히 요구되고 있다.There is still a need for a commercially feasible synthesis and supply thereof of stable Si-H containing iodosilanes, such as diiodosilanes, suitable for use in the semiconductor industry.

Si-H 함유 요오도실란을 합성하는 방법이 기술된다. Si-H 함유 요오도실란은 하기 화학식을 갖는다:A process for synthesizing Si-H containing iodosilanes is described. The Si-H containing iodosilane has the formula:

[화학식 1][Chemical Formula 1]

SiwHxRyIz Si w H x R y I z

[화학식 2](2)

N(SiHaRbIc)3 또는N (SiH a R b I c ) 3 or

[화학식 3](3)

(SiHmRnIo)2-CH2 (SiH m R n I o ) 2 -CH 2

상기 식에서, w는 1 내지 3이며, x+y+z는 2w+2이며, x는 1 내지 2w+1이며, y는 0 내지 2w+1이며, z는 1 내지 2w+1이며, 각 a는 독립적으로, 0 내지 3이며, 각 b는 독립적으로, 0 내지 3이며, 각 c는 독립적으로, 0 내지 3이며, a+b+c는 3이며, 단, 적어도 하나의 a 및 적어도 하나의 c는 1이며, 각 m은 독립적으로, 0 내지 3이며, 각 n은 독립적으로, 0 내지 3이며, 각 o는 독립적으로, 0 내지 3이며, m+n+o는 3이며, 단, 적어도 하나의 m 및 적어도 하나의 o는 1이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기이다. 화학식 SiwHxRyX z , N(SiHaRbXc)3, 또는 (SiHmRnXo)2-CH2(여기서, X는 Cl 또는 Br이며, w, x, y, z, a, b, c, m, n, 및 o는 상기에서 정의된 바와 같음)를 갖는 할로실란 반응물은, SiwHxRyIz, N(SiHaRbIc)3 또는 (SiHmRnIo)2-CH2와 MX의 혼합물을 생성하기 위해, 화학식 MI(여기서, M은 Li, Na, K, Rb, 또는 Cs임)를 갖는 알칼리 금속 할라이드 반응물과 반응된다. 화학식 SiwHxRyIz, N(SiHaRbIc)3 또는 (SiHmRnIo)2-CH2를 갖는 Si-H 함유 요오도실란은 혼합물로부터 분리된다. 대안적으로, 할로실란 반응물은 SiwHxIz, N(SiHaRbIc)3 또는 (SiHmRnIo)2-CH2와 MX의 혼합물을 생성하기 위해 알칼리 금속 할라이드 반응물과 혼합된다. 혼합물은 화학식 SiwHxIz, N(SiHaRbIc)3 또는 (SiHmRnIo)2-CH2를 갖는 Si-H 함유 요오도실란을 생성하기 위해 여과된다. 기술된 방법들 중 어느 하나는 하기 양태들 중 하나 이상을 가질 수 있다:Wherein x is 1 to 2w + 1, y is 0 to 2w + 1, z is 1 to 2w + 1, and each of a Independently, from 0 to 3, each b is independently from 0 to 3, each c is independently from 0 to 3, and a + b + c is 3, provided that at least one a and at least one c is 1, each m is independently 0 to 3, each n is independently 0 to 3, each o is independently 0 to 3 and m + n + o is 3, provided that at least one and the m and at least one o is 1, each R is independently, C1 to C12 hydrocarbyl group, Cl, Br, or ER '3 group, where each E is, independently, a Si or Ge, Each R 'is independently H or a Cl to C12 hydrocarbyl group. The general formula Si w H x R y X z , N (SiH a R b X c) 3, or (SiH m R n X o) 2 -CH 2 ( wherein, X is Cl or Br, w, x, y, z, a, b, c, m, n, and o are halosilane reactant having the same) as defined above is, Si w H x R y I z, n (SiH a R b I c) 3 or ( Is reacted with an alkali metal halide reactant having the formula MI where M is Li, Na, K, Rb, or Cs to produce a mixture of SiH m R n I o ) 2 -CH 2 and MX. The Si-H containing iodosilanes having the formula Si w H x R y I z , N (SiH a R b I c ) 3 or (SiH m R n I o ) 2 -CH 2 are separated from the mixture. Alternatively, the halosilane reactant may be reacted with an alkali metal halide reagent to produce a mixture of Si w H x I z , N (SiH a R b I c ) 3 or (SiH m R n I o ) 2 -CH 2 and MX . The mixture is filtered to produce Si-H containing iodosilanes having the formula Si w H x I z , N (SiH a R b I c ) 3 or (SiH m R n I o ) 2 -CH 2 . Any of the methods described may have one or more of the following aspects:

● R은 Cl 또는 Br이 아니다;R is not Cl or Br;

● R은 C1 내지 C12 히드로카르빌 기이다;R is a Cl to C12 hydrocarbyl group;

● R은 ER'3 기이다;R is ER '3;

● M은 Li이다;M is Li;

● y는 0이다;Y is 0;

● z는 2 내지 2w+1이다;Z is 2 to 2 w + 1;

● 반응 단계에 용매를 첨가한다;Add solvent to the reaction step;

● 용매는 Si-H 함유 요오도실란이다;The solvent is iodosilane containing Si-H;

● 용매는 알칸이다;The solvent is an alkane;

● 용매는 프로판, 부탄, 펜탄, 헥산, 헵탄, 클로로메탄, 디클로로메탄, 클로로포름, 사염화탄소, 염화메틸렌, 아세토니트릴, 및 이들의 조합이다;The solvent is propane, butane, pentane, hexane, heptane, chloromethane, dichloromethane, chloroform, carbon tetrachloride, methylene chloride, acetonitrile, and combinations thereof;

● 용매는 펜탄이다;The solvent is pentane;

● 분리 단계는 화학식 SiwHxRyIz를 갖는 Si-H 함유 요오도실란으로부터 MX를 분리하기 위해 혼합물을 여과하는 것을 포함한다;The separation step comprises filtering the mixture to separate the MX from the Si-H containing iodosilane having the formula Si w H x R y I z ;

● 할로실란 반응물은 SiH2Cl2이다;The halosilane reactant is SiH 2 Cl 2 ;

● 할로실란 반응물은 Si2HCl5이다;The halosilane reactant is Si 2 HCl 5 ;

● 할로실란 반응물은 (SiH3)2N(SiH2Cl)이다;● halosilane reactants (SiH 3) is 2 N (SiH 2 Cl);

● 알칼리 금속 할라이드 반응물은 LiI이다;The alkali metal halide reactant is LiI;

● Si-H 함유 요오도실란은 화학식 SiwHxRyIz (1)을 갖는다;The Si-H containing iodosilane has the formula Si w H x R y I z (1);

● Si-H 함유 요오도실란은 화학식 SiHxI4 -x를 가지며, 여기서, x는 1 내지 3이다;Si-H containing iodosilanes have the formula SiH x I 4 -x , wherein x is 1 to 3;

● Si-H 함유 요오도실란은 SiHI3이다;The Si-H containing iodosilane is SiHI 3 ;

● Si-H 함유 요오도실란은 SiH2I2이다;The Si-H containing iodosilane is SiH 2 I 2 ;

● Si-H 함유 요오도실란은 SiH3I이다;The Si-H containing iodosilane is SiH 3 I;

● Si-H 함유 요오도실란은 화학식 SiHxRyI4 -x-y를 가지며, 여기서, x는 1 내지 2이며, y는 1 내지 2이며, x+y는 3 또는 그 미만이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기이다;Si-H containing iodosilanes have the formula SiH x R y I 4 -xy , wherein x is 1 to 2, y is 1 to 2, x + y is 3 or less, and each R is Independently, a Cl to C12 hydrocarbyl group, a Cl, Br, or an ER'3 group wherein each E is independently Si or Ge, and each R 'is independently H or C1 to C12 hydrocarbyl Gt;

● Si-H 함유 요오도실란은 MeSiHI2이다;The Si-H containing iodosilane is MeSiHI 2 ;

● Si-H 함유 요오도실란은 MeSiH2I이다;The Si-H containing iodosilane is MeSiH 2 I;

● Si-H 함유 요오도실란은 Me2SiHI이다;The Si-H containing iodosilane is Me 2 SiHI;

● Si-H 함유 요오도실란은 EtSiHI2이다;The Si-H containing iodosilane is EtSiHI 2 ;

● Si-H 함유 요오도실란은 EtSiH2I이다;The Si-H containing iodosilane is EtSiH 2 I;

● Si-H 함유 요오도실란은 Et2SiHI이다;The Si-H containing iodosilane is Et 2 SiHI;

● Si-H 함유 요오도실란은 ClSiHI2이다;The Si-H containing iodosilane is ClSiHI 2 ;

● Si-H 함유 요오도실란은 ClSiH2I이다;The Si-H containing iodosilane is ClSiH 2 I;

● Si-H 함유 요오도실란은 Cl2SiHI이다;The Si-H containing iodosilane is Cl 2 SiHI;

● Si-H 함유 요오도실란은 BrSiHI2이다;The Si-H containing iodosilane is BrSiHI 2 ;

● Si-H 함유 요오도실란은 BrSiH2I이다;The Si-H containing iodosilane is BrSiH 2 I;

● Si-H 함유 요오도실란은 Brl2SiHI이다;The Si-H containing iodosilane is Brl 2 SiHI;

● Si-H 함유 요오도실란은 H3SiSiHI2이다;The Si-H containing iodosilane is H 3 SiSiHI 2 ;

● Si-H 함유 요오도실란은 H3SiSiH2I이다;The Si-H containing iodosilane is H 3 SiSiH 2 I;

● Si-H 함유 요오도실란은 (H3Si)2SiHI이다;The Si-H containing iodosilane is (H 3 Si) 2 SiHI;

● Si-H 함유 요오도실란은 H3GeSiHI2이다;● Si-H-containing silane is iodo GeSiHI 3 H 2;

● Si-H 함유 요오도실란은 H3GeSiH2I이다;The Si-H containing iodosilane is H 3 GeSiH 2 I;

● Si-H 함유 요오도실란은 (H3Ge)2SiHI이다;The Si-H containing iodosilane is (H 3 Ge) 2 SiHI;

● Si-H 함유 요오도실란은 Me3SiSiHI2이다;The Si-H containing iodosilane is Me 3 SiSiHI 2 ;

● Si-H 함유 요오도실란은 Me3SiSiH2I이다;The Si-H containing iodosilane is Me 3 SiSiH 2 I;

● Si-H 함유 요오도실란은 (Me3Si)2SiHI이다;The Si-H containing iodosilane is (Me 3 Si) 2 SiHI;

● Si-H 함유 요오도실란은 Me3GeSiHI2이다;The Si-H containing iodosilane is Me 3 GeSiHI 2 ;

● Si-H 함유 요오도실란은 Me3GeSiH2I이다;The Si-H containing iodosilane is Me 3 GeSiH 2 I;

● Si-H 함유 요오도실란은 (Me3Ge)2SiHI이다;The Si-H containing iodosilane is (Me 3 Ge) 2 SiHI;

● Si-H 함유 요오도실란은 Me2HSiSiHI2이다;The Si-H containing iodosilane is Me 2 HSiSiHI 2 ;

● Si-H 함유 요오도실란은 Me2HSiSiH2I이다;The Si-H containing iodosilane is Me 2 HSiSiH 2 I;

● Si-H 함유 요오도실란은 (Me2HSi)2SiHI이다;The Si-H containing iodosilane is (Me 2 HSi) 2 SiHI;

● Si-H 함유 요오도실란은 Me2HGeSiHI2이다;The Si-H containing iodosilane is Me 2 HGeSiHI 2 ;

● Si-H 함유 요오도실란은 Me2HGeSiH2I이다;The Si-H containing iodosilane is Me 2 HGeSiH 2 I;

● Si-H 함유 요오도실란은 (Me2HGe)2SiHI이다;The Si-H containing iodosilane is (Me 2 HGe) 2 SiHI;

● Si-H 함유 요오도실란은 화학식 Si2HxI6 -x를 가지며, 여기서, x는 1 내지 5이다;Si-H containing iodosilanes have the formula Si 2 H x I 6 -x , wherein x is 1 to 5;

● Si-H 함유 요오도실란은 Si2HI5이다;The Si-H containing iodosilane is Si 2 HI 5 ;

● Si-H 함유 요오도실란은 Si2H2I4이다;The Si-H containing iodosilane is Si 2 H 2 I 4 ;

● Si-H 함유 요오도실란은 Si2H3I3이다;The Si-H containing iodosilane is Si 2 H 3 I 3 ;

● Si-H 함유 요오도실란은 Si2H4I2이다;The Si-H containing iodosilane is Si 2 H 4 I 2 ;

● Si-H 함유 요오도실란은 Si2H5I이다;The Si-H containing iodosilane is Si 2 H 5 I;

● Si-H 함유 요오도실란은 화학식 Si2HxRyI6 -x-y를 가지며, 여기서, x는 1 내지 4이며, y는 1 내지 4이며, x+y는 5 또는 그 미만이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기이다;Si-H containing iodosilanes have the formula Si 2 H x R y I 6 -xy where x is from 1 to 4, y is from 1 to 4, x + y is 5 or less, R is independently a Cl to C12 hydrocarbyl group, Cl, Br, or ER'3 group wherein each E is independently Si or Ge and each R 'is independently H or C1 to C12 hydrocarbyl, A carbyl group;

● Si-H 함유 요오도실란은 MeSi2HI4이다;The Si-H containing iodosilane is MeSi 2 HI 4 ;

● Si-H 함유 요오도실란은 MeSi2H2I3이다; The Si-H containing iodosilane is MeSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 MeSi2H3I2이다;The Si-H containing iodosilane is MeSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 MeSi2H4I이다;The Si-H containing iodosilane is MeSi 2 H 4 I;

● Si-H 함유 요오도실란은 Me2Si2HI3이다;The Si-H containing iodosilane is Me 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 Me2Si2H2I2이다;The Si-H containing iodosilane is Me 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 Me2Si2H3I이다;The Si-H containing iodosilane is Me 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 Me3Si2HI2이다;The Si-H containing iodosilane is Me 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 Me3Si2H2I이다;The Si-H containing iodosilane is Me 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 Me4Si2HI이다;The Si-H containing iodosilane is Me 4 Si 2 HI;

● Si-H 함유 요오도실란은 EtSi2HI4이다;The Si-H containing iodosilane is EtSi 2 HI 4 ;

● Si-H 함유 요오도실란은 EtSi2H2I3이다; The Si-H containing iodosilane is EtSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 EtSi2H3I2이다;The Si-H containing iodosilane is EtSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 EtSi2H4I이다;The Si-H containing iodosilane is EtSi 2 H 4 I;

● Si-H 함유 요오도실란은 Et2Si2HI3이다;The Si-H containing iodosilane is Et 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 Et2Si2H2I2이다;The Si-H containing iodosilane is Et 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 Et2Si2H3I이다;The Si-H containing iodosilane is Et 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 Et3Si2HI2이다;The Si-H containing iodosilane is Et 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 Et3Si2H2I이다;The Si-H containing iodosilane is Et 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 Et4Si2HI이다;The Si-H containing iodosilane is Et 4 Si 2 HI;

● Si-H 함유 요오도실란은 ClSi2HI4이다;The Si-H containing iodosilane is ClSi 2 HI 4 ;

● Si-H 함유 요오도실란은 ClSi2H2I3이다; The Si-H containing iodosilane is ClSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 ClSi2H3I2이다;The Si-H containing iodosilane is ClSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 ClSi2H4I이다;The Si-H containing iodosilane is ClSi 2 H 4 I;

● Si-H 함유 요오도실란은 Cl2Si2HI3이다;The Si-H containing iodosilane is Cl 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 Cl2Si2H2I2이다;The Si-H containing iodosilane is Cl 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 Cl2Si2H3I이다;The Si-H containing iodosilane is Cl 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 Cl3Si2HI2이다;The Si-H containing iodosilane is Cl 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 Cl3Si2H2I이다;The Si-H containing iodosilane is Cl 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 Cl4Si2HI이다;The Si-H containing iodosilane is Cl 4 Si 2 HI;

● Si-H 함유 요오도실란은 BrSi2HI4이다;The Si-H containing iodosilane is BrSi 2 HI 4 ;

● Si-H 함유 요오도실란은 BrSi2H2I3이다; The Si-H containing iodosilane is BrSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 BrSi2H3I2이다;The Si-H containing iodosilane is BrSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 BrSi2H4I이다;The Si-H containing iodosilane is BrSi 2 H 4 I;

● Si-H 함유 요오도실란은 Br2Si2HI3이다;The Si-H containing iodosilane is Br 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 Br2Si2H2I2이다;The Si-H containing iodosilane is Br 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 Br2Si2H3I이다;The Si-H containing iodosilane is Br 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 Br3Si2HI2이다;The Si-H containing iodosilane is Br 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 Br3Si2H2I이다;The Si-H containing iodosilane is Br 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 Br4Si2HI이다;The Si-H containing iodosilane is Br 4 Si 2 HI;

● Si-H 함유 요오도실란은 H3SiSi2HI4이다;The Si-H containing iodosilane is H 3 SiSi 2 HI 4 ;

● Si-H 함유 요오도실란은 H3SiSi2H2I3이다; The Si-H containing iodosilane is H 3 SiSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 H3SiSi2H3I2이다;The Si-H containing iodosilane is H 3 SiSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 H3SiSi2H4I이다;The Si-H containing iodosilane is H 3 SiSi 2 H 4 I;

● Si-H 함유 요오도실란은 (H3Si)2Si2HI3이다;The Si-H containing iodosilane is (H 3 Si) 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 (H3Si)2Si2H2I2이다;The Si-H containing iodosilane is (H 3 Si) 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 (H3Si)2Si2H3I이다;The Si-H containing iodosilane is (H 3 Si) 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 (H3Si)3Si2HI2이다;The Si-H containing iodosilane is (H 3 Si) 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 (H3Si)3Si2H2I이다;The Si-H containing iodosilane is (H 3 Si) 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 (H3Si)4Si2HI이다;The Si-H containing iodosilane is (H 3 Si) 4 Si 2 HI;

● Si-H 함유 요오도실란은 H3GeSi2HI4이다;The Si-H containing iodosilane is H 3 GeSi 2 HI 4 ;

● Si-H 함유 요오도실란은 H3GeSi2H2I3이다; The Si-H containing iodosilane is H 3 GeSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 H3GeSi2H3I2이다;The Si-H containing iodosilane is H 3 GeSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 H3GeSi2H4I이다;The Si-H containing iodosilane is H 3 GeSi 2 H 4 I;

● Si-H 함유 요오도실란은 (H3Ge)2Si2HI3이다;The Si-H containing iodosilane is (H 3 Ge) 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 (H3Ge)2Si2H2I2이다;The Si-H containing iodosilane is (H 3 Ge) 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 (H3Ge)2Si2H3I이다;The Si-H containing iodosilane is (H 3 Ge) 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 (H3Ge)3Si2HI2이다;The Si-H containing iodosilane is (H 3 Ge) 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 (H3Ge)3Si2H2I이다;The Si-H containing iodosilane is (H 3 Ge) 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 (H3Ge)4Si2HI이다;The Si-H containing iodosilane is (H 3 Ge) 4 Si 2 HI;

● Si-H 함유 요오도실란은 Me3SiSi2HI4이다;The Si-H containing iodosilane is Me 3 SiSi 2 HI 4 ;

● Si-H 함유 요오도실란은 Me3SiSi2H2I3이다; The Si-H containing iodosilane is Me 3 SiSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 Me3SiSi2H3I2이다;The Si-H containing iodosilane is Me 3 SiSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 Me3SiSi2H4I이다;The Si-H containing iodosilane is Me 3 SiSi 2 H 4 I;

● Si-H 함유 요오도실란은 (Me3Si)2Si2HI3이다;The Si-H containing iodosilane is (Me 3 Si) 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 (Me3Si)2Si2H2I2이다;The Si-H containing iodosilane is (Me 3 Si) 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 (Me3Si)2Si2H3I이다;The Si-H containing iodosilane is (Me 3 Si) 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 (Me3Si)3Si2HI2이다;The Si-H containing iodosilane is (Me 3 Si) 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 (Me3Si)3Si2H2I이다;The Si-H containing iodosilane is (Me 3 Si) 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 (Me3Si)4Si2HI이다;The Si-H containing iodosilane is (Me 3 Si) 4 Si 2 HI;

● Si-H 함유 요오도실란은 Me3GeSi2HI4이다;The Si-H containing iodosilane is Me 3 GeSi 2 HI 4 ;

● Si-H 함유 요오도실란은 Me3GeSi2H2I3이다; The Si-H containing iodosilane is Me 3 GeSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 Me3GeSi2H3I2이다;The Si-H containing iodosilane is Me 3 GeSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 Me3GeSi2H4I이다;The Si-H containing iodosilane is Me 3 GeSi 2 H 4 I;

● Si-H 함유 요오도실란은 (Me3Ge)2Si2HI3이다;The Si-H containing iodosilane is (Me 3 Ge) 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 (Me3Ge)2Si2H2I2이다;The Si-H containing iodosilane is (Me 3 Ge) 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 (Me3Ge)2Si2H3I이다;The Si-H containing iodosilane is (Me 3 Ge) 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 (Me3Ge)3Si2HI2이다;The Si-H containing iodosilane is (Me 3 Ge) 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 (Me3Ge)3Si2H2I이다;The Si-H containing iodosilane is (Me 3 Ge) 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 (Me3Ge)4Si2HI이다;The Si-H containing iodosilane is (Me 3 Ge) 4 Si 2 HI;

● Si-H 함유 요오도실란은 Me2HSiSi2HI4이다;The Si-H containing iodosilane is Me 2 HSiSi 2 HI 4 ;

● Si-H 함유 요오도실란은 Me2HSiSi2H2I3이다; The Si-H containing iodosilane is Me 2 HSiSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 Me2HSiSi2H3I2이다;The Si-H containing iodosilane is Me 2 HSiSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 Me2HSiSi2H4I이다;The Si-H containing iodosilane is Me 2 HSiSi 2 H 4 I;

● Si-H 함유 요오도실란은 (Me2HSi)2Si2HI3이다;The Si-H containing iodosilane is (Me 2 HSi) 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 (Me2HSi)2Si2H2I2이다;The Si-H containing iodosilane is (Me 2 HSi) 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 (Me2HSi)2Si2H3I이다;The Si-H containing iodosilane is (Me 2 HSi) 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 (Me2HSi)3Si2HI2이다;The Si-H containing iodosilane is (Me 2 HSi) 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 (Me2HSi)3Si2H2I이다;The Si-H containing iodosilane is (Me 2 HSi) 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 (Me2HSi)4Si2HI이다;The Si-H containing iodosilane is (Me 2 HSi) 4 Si 2 HI;

● Si-H 함유 요오도실란은 Me2HGeSi2HI4이다;The Si-H containing iodosilane is Me 2 HGeSi 2 HI 4 ;

● Si-H 함유 요오도실란은 Me2HGeSi2H2I3이다; The Si-H containing iodosilane is Me 2 HGeSi 2 H 2 I 3 ;

● Si-H 함유 요오도실란은 Me2HGeSi2H3I2이다;The Si-H containing iodosilane is Me 2 HGeSi 2 H 3 I 2 ;

● Si-H 함유 요오도실란은 Me2HGeSi2H4I이다;The Si-H containing iodosilane is Me 2 HGeSi 2 H 4 I;

● Si-H 함유 요오도실란은 (Me2HGe)2Si2HI3이다;The Si-H containing iodosilane is (Me 2 HGe) 2 Si 2 HI 3 ;

● Si-H 함유 요오도실란은 (Me2HGe)2Si2H2I2이다;The Si-H containing iodosilane is (Me 2 HGe) 2 Si 2 H 2 I 2 ;

● Si-H 함유 요오도실란은 (Me2HGe)2Si2H3I이다;The Si-H containing iodosilane is (Me 2 HGe) 2 Si 2 H 3 I;

● Si-H 함유 요오도실란은 (Me2HGe)3Si2HI2이다;The Si-H containing iodosilane is (Me 2 HGe) 3 Si 2 HI 2 ;

● Si-H 함유 요오도실란은 (Me2HGe)3Si2H2I이다;The Si-H containing iodosilane is (Me 2 HGe) 3 Si 2 H 2 I;

● Si-H 함유 요오도실란은 (Me2HGe)4Si2HI이다;The Si-H containing iodosilane is (Me 2 HGe) 4 Si 2 HI;

● Si-H 함유 요오도실란은 화학식 Si3HxI8 -x를 가지며, 여기서, x는 1 내지 8이다;Si-H containing iodosilanes have the formula Si 3 H x I 8 -x , wherein x is 1 to 8;

● Si-H 함유 요오도실란은 Si3H7I이다;The Si-H containing iodosilane is Si 3 H 7 I;

● Si-H 함유 요오도실란은 Si3H6I2이다;The Si-H containing iodosilane is Si 3 H 6 I 2 ;

● Si-H 함유 요오도실란은 Si3H5I3이다;The Si-H containing iodosilane is Si 3 H 5 I 3 ;

● Si-H 함유 요오도실란은 Si3H4I4이다;The Si-H containing iodosilane is Si 3 H 4 I 4 ;

● Si-H 함유 요오도실란은 Si3H3I5이다;The Si-H containing iodosilane is Si 3 H 3 I 5 ;

● Si-H 함유 요오도실란은 Si3H2I6이다;The Si-H containing iodosilane is Si 3 H 2 I 6 ;

● Si-H 함유 요오도실란은 Si3HI7이다;The Si-H containing iodosilane is Si 3 HI 7 ;

● Si-H 함유 요오도실란은 화학식 N(SiHaIc)3을 가지며, 여기서, 각 a는 독립적으로, 0 내지 3이며, 각 c는 독립적으로, 0 내지 3이며, 단, 적어도 하나의 a 및 적어도 하나의 c는 1이다;The Si-H containing iodosilane has the formula N (SiH a I c ) 3 , wherein each a is independently 0 to 3 and each c is independently 0 to 3 with the proviso that at least one a and at least one c is 1;

● Si-H 함유 요오도실란은 N(SiH3)2(SiH2I)이다;● a SiH-containing silane-iodo-N (SiH 3) 2 (SiH 2 I);

● Si-H 함유 요오도실란은 N(SiH3)2(SiHI2)이다;● SiH-containing silane-iodo N (SiH 3) is 2 (SiHI 2);

● Si-H 함유 요오도실란은 N(SiH3)(SiH2I)2이다;The Si-H containing iodosilane is N (SiH 3 ) (SiH 2 I) 2 ;

● Si-H 함유 요오도실란은 N(SiH3)(SiHI2)2이다;The Si-H containing iodosilane is N (SiH 3 ) (SiHI 2 ) 2 ;

● Si-H 함유 요오도실란은 N(SiHI2)2(SiH2I)이다;The Si-H containing iodosilane is N (SiHI 2 ) 2 (SiH 2 I);

● Si-H 함유 요오도실란은 N(SiHI2)(SiH2I)2이다;The Si-H containing iodosilane is N (SiHI 2 ) (SiH 2 I) 2 ;

● Si-H 함유 요오도실란은 N(SiH2I)3이다;The Si-H containing iodosilane is N (SiH 2 I) 3 ;

● Si-H 함유 요오도실란은 N(SiHI2)3이다;The Si-H containing iodosilane is N (SiHI 2 ) 3 ;

● Si-H 함유 요오도실란은 화학식 N(SiHaRbIc)3을 가지며, 여기서, 각 a는 독립적으로, 0 내지 3이며, 각 b는 독립적으로, 0 내지 3이며, 각 c는 독립적으로, 0 내지 3이며, a+b+c은 3이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기이며, 단, (a) 적어도 하나의 x, 적어도 하나의 y, 및 적어도 하나의 z는 1이며, (b) 적어도 하나의 Si는 H 및 I 둘 모두에 결합된다;Si-H containing iodosilanes have the formula N (SiH a R b I c ) 3 , wherein each a is independently 0 to 3, each b is independently 0 to 3, and each c is Independently, from 0 to 3, a + b + c is 3, and each R is independently a Cl to C12 hydrocarbyl group, Cl, Br, or ER'3 group, (A) at least one x, at least one y, and at least one z is 1, and (b) at least one x, at least one y, and at least one z is 1, and wherein each R 'is independently H or a Cl to C12 hydrocarbyl group, At least one Si is bonded to both H and I;

● Si-H 함유 요오도실란은 N(SiH3)2(SiMeHI)이다;● SiH-containing silane-iodo N (SiH 3) is 2 (SiMeHI);

● Si-H 함유 요오도실란은 N(SiH2Me)2(SiMeHI)이다;The Si-H containing iodosilane is N (SiH 2 Me) 2 (SiMeHI);

● Si-H 함유 요오도실란은 N(SiHMe2)2(SiMeHI)이다;The Si-H containing iodosilane is N (SiHMe 2 ) 2 (SiMeHI);

● Si-H 함유 요오도실란은 N(SiMe2H)2(SiH2I)이다;The Si-H containing iodosilane is N (SiMe 2 H) 2 (SiH 2 I);

● Si-H 함유 요오도실란은 N(SiMe3)2(SiH2I)이다;The Si-H containing iodosilane is N (SiMe 3 ) 2 (SiH 2 I);

● Si-H 함유 요오도실란은 N(SiMe2H)2(SiHI2)이다;The Si-H containing iodosilane is N (SiMe 2 H) 2 (SiHI 2 );

● Si-H 함유 요오도실란은 N(SiMe3)2(SiHI2)이다;The Si-H containing iodosilane is N (SiMe 3 ) 2 (SiHI 2 );

● Si-H 함유 요오도실란은 화학식 (SiHmRnIo)2-CH2 (3)을 갖는다;The Si-H containing iodosilane has the formula (SiH m R n I o ) 2 -CH 2 (3);

● Si-H 함유 요오도실란은 화학식 (SiHxIy)2CH2를 가지며, 여기서, 각 x는 독립적으로, 0 내지 3이며, 각 y는 독립적으로, 0 내지 3이며, 단, 적어도 하나의 x 및 적어도 하나의 y는 1이다;Si-H containing iodosilanes have the formula (SiH x I y ) 2 CH 2 wherein each x is independently 0 to 3 and each y is independently 0 to 3 with the proviso that at least one X and at least one y are 1;

● Si-H 함유 요오도실란은 (SiH2I)2-CH2이다;The Si-H containing iodosilane is (SiH 2 I) 2 -CH 2 ;

● Si-H 함유 요오도실란은 (SiHI2)2-CH2이다; The Si-H containing iodosilane is (SiHI 2 ) 2 -CH 2 ;

● Si-H 함유 요오도실란은 (SiH2I)-CH2-(SiH3)이다; The Si-H containing iodosilane is (SiH 2 I) -CH 2 - (SiH 3 );

● Si-H 함유 요오도실란은 (SiHI2)-CH2-(SiH3)이다; 또는The Si-H containing iodosilane is (SiHI 2 ) -CH 2 - (SiH 3 ); or

● Si-H 함유 요오도실란은 (SiH2I)-CH2-(SiHI2)이다.The Si-H containing iodosilane is (SiH 2 I) -CH 2 - (SiHI 2 ).

또한, 상기에 나열된 임의의 Si-H 함유 요오도실란을 포함하는 Si-함유 막 형성 조성물이 기술된다. 기술된 Si-함유 막 형성 조성물은 하기 양태들 중 하나 이상을 포함한다:In addition, Si-containing film forming compositions comprising any of the Si-H containing iodosilanes listed above are described. The described Si-containing film-forming composition comprises one or more of the following aspects:

● Si-함유 막 형성 조성물은 대략 99% v/v 내지 대략 100% v/v의 하나의 Si-H 함유 요오도실란을 포함한다;The Si-containing film forming composition comprises from about 99% v / v to about 100% v / v of one Si-H containing iodosilane;

● Si-함유 막 형성 조성물은 대략 99.5% v/v 내지 대략 100% v/v의 하나의 Si-H 함유 요오도실란을 포함한다; The Si-containing film forming composition comprises from about 99.5% v / v to about 100% v / v of one Si-H containing iodosilane;

● Si-함유 막 형성 조성물은 대략 99.97% v/v 내지 대략 100% v/v의 하나의 Si-H 함유 요오도실란을 포함한다; The Si-containing film-forming composition comprises from about 99.97% v / v to about 100% v / v of one Si-H containing iodosilane;

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 100 ppbw의 Cu를 함유한다;The Si-containing film forming composition contains from about 0 ppbw to about 100 ppbw of Cu;

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 100 ppbw의 Ag를 함유한다;The Si-containing film-forming composition contains from about 0 ppbw to about 100 ppbw of Ag;

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 100 ppbw의 Sb를 함유한다;The Si-containing film forming composition contains from about 0 ppbw to about 100 ppbw of Sb;

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 50 ppbw의 Cu를 함유한다;The Si-containing film-forming composition contains from about 0 ppbw to about 50 ppbw of Cu;

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 50 ppbw의 Ag를 함유한다;The Si-containing film-forming composition contains from about 0 ppbw to about 50 ppbw of Ag;

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 50 ppbw의 Sb를 함유한다;The Si-containing film-forming composition contains from about 0 ppbw to about 50 ppbw of Sb;

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 10 ppbw의 Cu를 함유한다;The Si-containing film-forming composition contains from about 0 ppbw to about 10 ppbw of Cu;

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 10 ppbw의 Ag를 함유한다; 또는The Si-containing film-forming composition contains from about 0 ppbw to about 10 ppbw of Ag; or

● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 10 ppbw의 Sb를 함유한다.The Si-containing film forming composition contains about 0 ppbw to about 10 ppbw of Sb.

또한, 유입구 도관 및 유출구 도관을 구비하고 상기에 기술된 임의의 Si-함유 막 형성 조성물을 함유하는 캐니스터(canister)를 포함하는, Si-함유 막 형성 조성물 전달 디바이스가 기술된다. 기술된 디바이스는 하기 양태들 중 하나 이상을 포함할 수 있다:Also disclosed is a Si-containing film forming composition delivery device comprising a canister having an inlet conduit and an outlet conduit and containing any of the Si-containing film forming compositions described above. The described device may include one or more of the following aspects:

● 유입구 도관 단부의 단부는 Si-함유 막 형성 조성물의 표면 위에 위치되며, 유출구 도관의 단부는 Si-함유 막 형성 조성물의 표면 아래에 위치된다;The end of the inlet conduit end is located on the surface of the Si-containing film forming composition and the end of the outlet conduit is located below the surface of the Si-containing film forming composition;

● 유입구 도관 단부의 단부는 Si-함유 막 형성 조성물의 표면 아래에 위치되며, 유출구 도관의 단부는 Si-함유 막 형성 조성물의 표면 위에 위치된다;The end of the inlet conduit end is located below the surface of the Si-containing film forming composition and the end of the outlet conduit is located above the surface of the Si-containing film forming composition;

● 유입구 및 유출구 상에 다이아프램 밸브(diaphragm valve)를 추가로 포함한다;• additionally includes a diaphragm valve on the inlet and outlet;

● 캐니스터의 내부 표면은 유리이다;● The internal surface of the canister is glass;

● 캐니스터의 내부 표면은 패시베이션된 스테인레스강(passivated stainless steel)이다;● The internal surface of the canister is passivated stainless steel;

● 캐니스터는 캐니스터의 외부 표면 상에 내광성 코팅을 갖는 내광성 유리이다;The canister is a light-resistant glass having a light-resistant coating on the outer surface of the canister;

● 캐니스터의 내부 표면은 알루미늄 옥사이드이다;● The internal surface of the canister is aluminum oxide;

● 캐니스터의 내부 표면 상에 하나 이상의 배리어 층을 추가로 포함한다;- further comprising at least one barrier layer on the inner surface of the canister;

● 캐니스터의 내부 표면 상에 하나 내지 네 개의 배리어 층을 추가로 포함한다;- further comprising one to four barrier layers on the inner surface of the canister;

● 캐니스터의 내부 표면 상에 하나 또는 두 개의 배리어 층을 추가로 포함한다;Further comprising one or two barrier layers on the inner surface of the canister;

● 각 배리어 층은 실리콘 옥사이드 층, 실리콘 니트라이드 층, 실리콘 옥시니트라이드 층, 실리콘 카보니트라이드, 실리콘 옥시카보니트라이드 층, 또는 이들의 조합을 포함한다;Each barrier layer includes a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a silicon carbonitride, a silicon oxycarbonitride layer, or a combination thereof;

● 여기서, 각 배리어 층의 두께는 1 내지 100 nm이다; 또는Wherein the thickness of each barrier layer is from 1 to 100 nm; or

● 여기서, 각 배리어 층의 두께는 2 내지 10 nm이다.Here, the thickness of each barrier layer is 2 to 10 nm.

표기법 및 명명법Notation and nomenclature

특정 약어, 기호, 및 용어는 하기 설명 및 청구범위 전반에 걸쳐 사용되고, 하기를 포함한다:Certain abbreviations, symbols, and terms are used throughout the following description and claims, including:

본원에서 사용되는 단수 형태("a" 또는 "an")는 하나 또는 하나 초과를 의미한다.The singular forms "a" or "an" as used herein mean one or more than one.

본원에서 사용되는 용어 "대략" 또는 "약"은 기술된 수치의 ±10%를 의미한다.The term " about "or" about "as used herein means +/- 10% of the stated value.

본원에서 사용되는 용어 "독립적으로"는 R 기를 기술하는 문맥에서 사용될 때, 대상 R 기가 동일하거나 상이한 아래 첨자 또는 위 첨자를 갖는 다른 기에 대해 독립적으로 선택될 뿐만 아니라, 그러한 동일한 R 기의 임의의 추가적인 종에 대해 독립적으로 선택되는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x(NR2R3)(4-x)(여기서, x는 2 또는 3임)에서, 두 개 또는 세 개의 R1 기는 서로 또는 R2 또는 R3과 동일할 수 있지만 동일할 필요는 없다. 또한, 달리 상세하게 기술하지 않는 한, R 기의 수치는 상이한 화학식에서 사용될 때, 서로 독립적인 것으로 이해되어야 한다.As used herein, the term "independently" when used in the context of describing an R group means that the subject R group is independently selected for other groups having the same or different subscript or superscript, Should be understood to mean independently selected for the species. For example, in the formula MR 1 x (NR 2 R 3 ) (4-x) wherein x is 2 or 3, two or three R 1 groups may be the same as or different from R 2 or R 3 But it does not have to be the same. Also, unless otherwise specified, the values of the R groups, when used in different formulas, should be understood to be independent of each other.

본원에서 사용되는 용어 "히드로카르빌 기"는 탄소 및 수소를 함유한 작용기를 지칭하며; 용어 "알킬 기"는 오로지 탄소 원자 및 수소 원자를 함유한 포화된 작용기를 지칭한다. 히드로카르빌 기는 포화되거나 불포화될 수 있다. 어느 하나의 용어는 선형, 분지형, 또는 환형 기를 지칭한다. 선형 알킬 기의 예는 비제한적으로, 메틸 기, 에틸 기, n-프로필 기, n-부틸 기 등을 포함한다. 분지형 알킬 기의 예는 비제한적으로, 이소-프로필, t-부틸을 포함한다. 환형 알킬 기의 예는 비제한적으로, 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기 등을 포함한다.The term "hydrocarbyl group" as used herein refers to a functional group containing carbon and hydrogen; The term "alkyl group" refers to a saturated functional group containing only carbon and hydrogen atoms. The hydrocarbyl group may be saturated or unsaturated. Any one term refers to a linear, branched, or cyclic group. Examples of linear alkyl groups include, but are not limited to, methyl, ethyl, n-propyl, n-butyl and the like. Examples of branched alkyl groups include, but are not limited to, iso-propyl, t-butyl. Examples of the cyclic alkyl group include, but are not limited to, cyclopropyl group, cyclopentyl group, cyclohexyl group and the like.

본원에서 사용되는 용어 "아릴"은 고리로부터 하나의 수소 원자가 제거된 방향족 고리 화합물을 지칭한다. 본원에서 사용되는 용어 "헤테로사이클"은 이의 고리의 일원(member)으로서 적어도 두 개의 상이한 구성요소의 원자를 갖는 환형 화합물을 지칭한다.The term "aryl" as used herein refers to an aromatic ring compound from which one hydrogen atom has been removed from the ring. The term "heterocycle" as used herein refers to a cyclic compound having at least two atoms of different constituent as members of its ring.

본원에서 사용되는 약어 "Me"는 메틸 기를 지칭하며; 약어 "Et"는 에틸 기를 지칭하며; 약어 "Pr"은 임의의 프로필 기(즉, n-프로필 또는 이소프로필)를 지칭하며; 약어 "iPr"은 이소프로필 기를 지칭하며; 약어 "Bu"는 임의의 부틸 기(n-부틸, 이소-부틸, t-부틸, 2차-부틸)를 지칭하며; 약어 "tBu"는 3차-부틸 기를 지칭하며; 약어 "sBu"는 2차-부틸 기를 지칭하며; 약어 "iBu"는 이소-부틸 기를 지칭하며; 약어 "Ph"는 페닐 기를 지칭하며; 약어 "Am"은 임의의 아밀 기(이소-아밀, 2차-아밀, 3차-아밀)를 지칭하며; 약어 "Cy"는 환형 알킬 기(시클로부틸, 시클로펜틸, 시클로헥실 등)를 지칭한다.As used herein, the abbreviation "Me " refers to a methyl group; The abbreviation "Et " refers to the ethyl group; The abbreviation "Pr " refers to any propyl group (i.e., n-propyl or isopropyl); The abbreviation "iPr" refers to an isopropyl group; The abbreviation "Bu " refers to any butyl group (n-butyl, iso-butyl, t-butyl, secondary-butyl); The abbreviation "tBu" refers to a tert-butyl group; The abbreviation "sBu" refers to a secondary-butyl group; The abbreviation "iBu" refers to an iso-butyl group; The abbreviation "Ph " refers to a phenyl group; The abbreviation "Am" refers to any of the amyl groups (iso-amyl, sec-amyl, tert-amyl); The abbreviation "Cy " refers to a cyclic alkyl group (cyclobutyl, cyclopentyl, cyclohexyl, and the like).

본원에서 사용되는 두문자어 "HCDS"는 헥사클로로디실란을 나타내며; 두문자어 "PCDS"는 펜타클로로디실란을 나타내며; 두문자어 "OCTS"는 n-옥틸트리메톡시실란을 나타내며; 두문자어 "TSA"는 트리실릴아민 또는 N(SiH3)3을 나타낸다.As used herein, the acronym "HCDS" refers to hexachlorodisilane; The acronym "PCDS" stands for rhodysilane with pentacyl; The acronym "OCTS" denotes n-octyltrimethoxysilane; Acronym "TSA" represents a trisilylamine or N (SiH 3) 3.

본원에서 사용되는 용어 "요오도실란"은 Si 상의 다른 결합 또는 분자 골격과는 무관하게, 적어도 하나의 Si-I 결합을 함유한 분자를 의미한다. 더욱 일반적으로, "할로실란"은 Si 상의 다른 결합 또는 분자 골격과는 무관하게, 적어도 하나의 Si-X 함유 결합을 함유한 분자를 의미하는데, 여기서, X는 할로겐 원자이다.As used herein, the term "iodosilane " refers to a molecule that contains at least one Si-I bond, independent of other bonds or molecular frameworks on the Si phase. More generally, "halosilane" means a molecule containing at least one Si-X containing bond, independent of other bonds or molecular skeletons on the Si phase, where X is a halogen atom.

본원에서 사용되는 용어 "Si-H 함유"는 Si 상의 다른 결합 또는 분자 골격과는 무관하게, 적어도 하나의 Si-H 결합을 함유한 분자를 의미한다.As used herein, the term "Si-H containing" means a molecule containing at least one Si-H bond, independent of other bonds or molecular skeletons on the Si phase.

본원에서 사용되는 용어 "배위 용매"는 한 쌍의 전자를 공여하는 임의의 용매, 예를 들어, OH 또는 NH3 기를 함유한 용매를 의미한다. 예시적인 배위 용매는 아민, 포스핀, 에테르, 및 케톤을 포함한다.The term "coordination solvent " as used herein means any solvent that donates a pair of electrons, for example, a solvent containing an OH or NH 3 group. Exemplary coordination solvents include amines, phosphines, ethers, and ketones.

본원에서 사용되는 두문자어 "LCD-TFT"는 액정 디스플레이-박막 트랜지스터(liquid-crystal display-thin-film transistor)를 나타내며; 두문자어 "MIM"은 금속-절연체-금속(Metal-insulator-metal)을 나타내며; 두문자어 "DRAM"은 동적 랜덤-액세스 메모리(dynamic random-access memory)를 나타내며; 두문자어 "FeRAM"은 강유전성 랜덤-액세스 메모리(Ferroelectric random-access memory)를 나타내며; 두문자어 "sccm"은 분당 표준 입방 센티미터를 나타내며; 두문자어 "GCMS"는 가스 크로마토그래피-질량 분석법(Gas Chromatography-Mass Spectrometry)을 나타낸다.As used herein, the acronym "LCD-TFT" refers to a liquid crystal display-thin-film transistor; The acronym "MIM" refers to a metal-insulator-metal; The acronym "DRAM" represents a dynamic random-access memory; The acronym "FeRAM" represents a ferroelectric random-access memory; The acronym "sccm" represents standard cubic centimeters per minute; The acronym "GCMS" stands for Gas Chromatography-Mass Spectrometry.

원소 주기율표로부터의 원소들의 표준 약어들이 본원에서 사용된다. 원소들이 이러한 약어들에 의해 지칭될 수 있는 것으로 이해되어야 한다(예를 들어, Si는 실리콘을 지칭하며, N은 질소를 지칭하며, O는 산소를 지칭하며, C는 탄소를 지칭하는 등).Standard abbreviations of elements from the Periodic Table of Elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., Si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, etc.).

본원에 기술된 임의의 범위 및 모든 범위는 용어 "포괄적으로"가 사용되는 지의 여부와는 무관하게, 이의 종결점을 포함한다(즉, x가 1 내지 4라는 것은 x=1, x=4, 및 x=이들 사이의 임의의 수를 포함함).Any range and all ranges described herein include the termination point thereof (i.e., x is 1 to 4, such that x = 1, x = 4, And x = any number between them).

본 발명의 본질 및 목적을 추가적인 이해를 위하여, 첨부된 도면과 함께 하기 상세한 설명이 참조되어야 한다.
도 1은 기술된 합성 방법이 수행될 수 있는 장치의 개략도(schematic diagram)이다.
도 2는 기술된 합성 방법이 수행될 수 있는 대안적인 장치의 개략도이다.
도 3은 Si-함유 막 형성 조성물 전달 디바이스(1)의 일 구현예의 측단면도이다.
도 4는 Si-함유 막 형성 조성물 전달 디바이스(1)의 제2 구현예의 측단면도이다.
도 5는 고체 Si-함유 막 형성 조성물을 승화시키기 위한 고체 전구체 승화기(sublimator)(100)의 예시적인 구현예의 측단면도이다.
도 6은 실시예 5의 SiH2I2 반응 생성물의 가스 크로마토그래픽/질량 분광(GC/MS: Gas Chromatographic/Mass Spectrometric) 그래프이다.
도 7은 90분 교반 후에 실시예 7의 반응 혼합물의 GC/MS 그래프이다.
For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description taken in conjunction with the accompanying drawings.
1 is a schematic diagram of an apparatus in which the described synthesis method can be performed.
2 is a schematic diagram of an alternative device in which the described synthesis method can be performed.
3 is a side cross-sectional view of one embodiment of the Si-containing film forming composition delivery device 1.
4 is a side cross-sectional view of a second embodiment of the Si-containing film forming composition delivery device 1;
5 is a side cross-sectional view of an exemplary embodiment of a solid precursor sublimator 100 for sublimating a solid Si-containing film forming composition.
6 is a graph of a gas chromatographic / mass spectrometric (GC / MS) graph of the SiH 2 I 2 reaction product of Example 5. FIG.
7 is a GC / MS graph of the reaction mixture of Example 7 after 90 minutes of stirring.

바람직한 desirable 구현예의Implementation example 설명 Explanation

하기 화학식을 갖는 Si-H 함유 요오도실란을 합성하는 방법이 기술된다:A process for synthesizing Si-H containing iodosilanes having the formula:

[화학식 1][Chemical Formula 1]

SiwHxRyIz Si w H x R y I z

[화학식 2](2)

N(SiHaRbIc)3 또는N (SiH a R b I c ) 3 or

[화학식 3](3)

(SiHmRnIo)2-CH2 (SiH m R n I o ) 2 -CH 2

상기 식에서, w는 1 내지 3이며, x+y+z는 2w+2이며, x는 1 내지 2w+1이며, y는 0 내지 2w+1이며, z는 1 내지 2w+1이며, 각 a는 독립적으로, 0 내지 3이며, 각 b는 독립적으로, 0 내지 3이며, 각 c는 독립적으로, 0 내지 3이며, a+b+c는 3이며, 단, 적어도 하나의 a 및 적어도 하나의 c는 1이며, 각 m은 독립적으로, 0 내지 3이며, 각 n은 독립적으로, 0 내지 3이며, 각 o는 독립적으로, 0 내지 3이며, m+n+o는 3이며, 단, 적어도 하나의 m 및 적어도 하나의 o는 1이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기이다.Wherein x is 1 to 2w + 1, y is 0 to 2w + 1, z is 1 to 2w + 1, and each of a Independently, from 0 to 3, each b is independently from 0 to 3, each c is independently from 0 to 3, and a + b + c is 3, provided that at least one a and at least one c is 1, each m is independently 0 to 3, each n is independently 0 to 3, each o is independently 0 to 3 and m + n + o is 3, provided that at least one and the m and at least one o is 1, each R is independently, C1 to C12 hydrocarbyl group, Cl, Br, or ER '3 group, where each E is, independently, a Si or Ge, Each R 'is independently H or a Cl to C12 hydrocarbyl group.

이러한 화합물, 예를 들어, 디요오도실란(SiH2I2) 또는 펜타요오도디실란(Si2HI5)은 고도로 반응성의 Si-H 기를 함유하고, y 또는 b 또는 n이 0인 경우에, 어떠한 유기 보호 기도 가지지 않는다. 결과적으로, 이러한 실리콘 히드라이드는 배위 용매로부터 실리콘 히드라이드의 친핵성 공격에 민감할 수 있다[예를 들어, 문헌[Keinan et al., J. Org. Chem. 1987, 52, 4846-4851(알코올 및 에테르의 촉매적 탈산소화, 카르보닐 콘쥬게이트 첨가 반응 및 트리메틸실릴 요오드에 의한 케톤의 α-알콕시메틸화를 나타냄)] 참조]. 다시 말해서, 최종 생성물이 용매와 반응할 수 있기 때문에, 용매가 사용될 때, 적절한 용매의 선택에 특별한 주의가 필요하다. 이는 생성물 분해 및 부반응을 초래할 수 있다. 이는 또한, 합성을 위해 적합한 용매의 선택을 제한한다.Such compounds, for example, diiodosilane (SiH 2 I 2 ) or pentaiododidylsilane (Si 2 HI 5 ), contain highly reactive Si-H groups, and when y, b or n is 0 , Does not have any organic protection. As a result, such a silicon hydride may be susceptible to nucleophilic attack of the silicon hydride from the coordination solvent (see, for example, Keinan et al., J. Org. Chem. 1987 , 52, 4846-4851 (catalytic deoxygenation of alcohols and ethers, addition of carbonyl conjugates and alpha -alkoxymethylation of ketones by trimethylsilyl iodide)]. In other words, when the solvent is used, particular attention should be paid to the selection of an appropriate solvent, since the final product may react with the solvent. Which can lead to product decomposition and side reactions. It also limits the choice of suitable solvents for synthesis.

핀켈슈타인-타입 SN2 반응은 통상적으로, 반응에서 구동력(driving force)으로서 작용하기 위해 시약 및 염 부산물의 용해성 및 불용성 각각에 의존적이다. 예를 들어, 트리메틸실릴 요오다이드(TMS-I)는 적합한 용매, 예를 들어, 클로로포름 또는 아세토니트릴 중에서 트리메틸실릴 클로라이드 및 알칼리 금속 요오다이드 염을 반응시킴으로써 제조될 수 있다(상기 반응식 4 참조). 이러한 특정 예에서, 트리메틸실릴 클로라이드(TMS-Cl) 및 소듐 요오다이드 염은 이러한 용매 중에서 약간의 용해성을 가지며, 부산물 소듐 클로라이드는 용해성을 갖지 않는다. 부산물 소듐 클로라이드의 침전은 반응의 구동력에 기여한다.The Finkelstein-type S N 2 reaction is typically dependent on the solubility and insolubility of the reagents and salt byproducts, respectively, to act as a driving force in the reaction. For example, trimethylsilyl iodide (TMS-I) can be prepared by reacting trimethylsilyl chloride and an alkali metal iodide salt in a suitable solvent, such as chloroform or acetonitrile (see Scheme 4 above) . In this specific example, trimethylsilyl chloride (TMS-Cl) and sodium iodide salt have some solubility in these solvents, and the by-product sodium chloride has no solubility. Precipitation of the by-product sodium chloride contributes to the driving force of the reaction.

SiwHxRyIz(예를 들어, SiH2I2 또는 Si2HI5), N(SiHaRbIc)3(예를 들어, N(SiH3)2(SiH2I)), 또는 (SiHmRnIo)2-CH2(예를 들어, (SiH2I)-CH2-(SiH3))의 제조는 Si-H 결합의 반응성으로 인하여 할로겐 스크램블링(scrambling) 및 부반응에 민감할 수 있다. 배위 용매는 이러한 할로겐 스크램블링 및 부반응을 악화시킬 수 있다. 디클로로실란(DCS)과 리튬 요오다이드 간의 반응은 주변 온도에서 용매의 부재 하에 디요오도실란을 형성시킨다(하기 실시예 3 참조). 비-배위 용매(예를 들어, n-펜탄 및 클로로포름)는 리튬 클로라이드 염 부산물의 여과 동안 도움이 된다. 비-배위 용매는 또한, 개선된 혼합(즉, 반응 물질의 희석)에 의한 반응 및 부반응의 억제(열교환 매질)를 증진시킬 수 있다. 적합한 비-배위 용매는 탄화수소, 예를 들어, 펜탄, 헥산, 시클로헥산, 헵탄, 옥탄, 벤젠, 톨루엔, 및 염소화된 지방족 탄화수소, 예를 들어, 클로로메탄, 디클로로메탄, 클로로포름, 사염화탄소, 아세토니트릴 등을 포함한다. 그러나, 염소화된 용매의 용도는 덜 매력적인 선택 사항(option)인데, 왜냐하면, 이러한 용매가 대개 심하게 규제되고(허가를 필요로 함), 발암성을 나타낼 수 있기 때문이다. 용매는 타겟 생성물과 비등점에 있어서 충분한 차이를 갖도록 선택되어야 하며, 이러한 비등점 차이는 통상적으로 20℃ 초과, 및 바람직하게 40℃ 초과이다.Si w H x R y I z (for example, SiH 2 I 2 or Si 2 HI 5), N ( SiH a R b I c) 3 ( for example, N (SiH 3) 2 ( SiH 2 I) ) Or (SiH m R n I o ) 2 -CH 2 (for example, (SiH 2 I) -CH 2 - (SiH 3 )) is scrambled by halogen due to the reactivity of the Si- And may be sensitive to side reactions. Coordination solvents can aggravate such halogen scrambling and side reactions. The reaction between dichlorosilane (DCS) and lithium iodide forms diiodosilane in the absence of solvent at ambient temperature (see Example 3, below). Non-coordination solvents (e.g., n-pentane and chloroform) are helpful during filtration of lithium chloride salt by-products. The non-coordination solvent may also promote reaction and inhibition of side reactions (heat exchange medium) by improved mixing (i. E., Dilution of the reactant). Suitable non-coordination solvents include hydrocarbons such as pentane, hexane, cyclohexane, heptane, octane, benzene, toluene, and chlorinated aliphatic hydrocarbons such as chloromethane, dichloromethane, chloroform, carbon tetrachloride, acetonitrile . However, the use of chlorinated solvents is a less attractive option because these solvents are usually highly regulated (requiring authorization) and can exhibit carcinogenicity. The solvent should be selected to have a sufficient difference in boiling point from the target product, and this boiling point difference is typically above 20 ° C, and preferably above 40 ° C.

예시적인 Si-H 함유 요오도실란 반응 생성물은 하기를 포함하지만, 이로 제한되지 않는다:Exemplary Si-H containing iodosilane reaction products include, but are not limited to:

● SiHxI4 -x(여기서, x는 1 내지 3임), 예를 들어, SiHI3, SiH2I2, 또는 SiH3I;SiH x I 4 -x , where x is 1 to 3, such as SiHI 3 , SiH 2 I 2 , or SiH 3 I;

● SiHxRyI4 -x-y(여기서, x는 1 내지 2이며, y는 1 내지 2이며, x+y는 3 또는 그 미만이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기임), 예를 들어, MeSiHI2, MeSiH2I, Me2SiHI, EtSiHI2, EtSiH2I, Et2SiHI, ClSiHI2, ClSiH2I, Cl2SiHI, BrSiHI2, BrSiH2I, Brl2SiHI, H3SiSiHI2, H3SiSiH2I, (H3Si)2SiHI, H3GeSiHI2, H3GeSiH2I, (H3Ge)2SiHI, Me3SiSiHI2, Me3SiSiH2I, (Me3Si)2SiHI, Me3GeSiHI2, Me3GeSiH2I, (Me3Ge)2SiHI, Me2HSiSiHI2, Me2HSiSiH2I, (Me2HSi)2SiHI, Me2HGeSiHI2, Me2HGeSiH2I, (Me2HGe)2SiHI 등;SiH x R y I 4 -xy , wherein x is 1 to 2, y is 1 to 2, x + y is 3 or less, and each R is independently a Cl to C12 hydrocarbyl group, Cl, and Br, or ER'3 group, wherein each E, independently of the Si or Ge, each of R 'are, independently, H or C1 to C12 hydrocarbyl group), for example, MeSiHI 2, MeSiH 2 I, Me 2 SiHI, EtSiHI 2 , EtSiH 2 I, Et 2 SiHI, ClSiHI 2 , ClSiH 2 I, Cl 2 SiHI, BrSiHI 2 , BrSiH 2 I, Brl 2 SiHI, H 3 SiSiHI 2 , H 3 SiSiH 2 I , (H 3 Si) 2 SiHI , H 3 GeSiHI 2, H 3 GeSiH 2 I, (H 3 Ge) 2 SiHI, Me 3 SiSiHI 2, Me 3 SiSiH 2 I, (Me 3 Si) 2 SiHI, Me 3 GeSiHI 2, Me 3 GeSiH 2 I, (Me 3 Ge) 2 SiHI, Me 2 HSiSiHI 2, Me 2 HSiSiH 2 I, (Me 2 HSi) 2 SiHI, Me 2 HGeSiHI 2, Me 2 HGeSiH 2 I, (Me 2 HGe ) 2 SiHI and the like;

● Si2Hx - 6Ix(여기서, x는 1 내지 5임), 예를 들어, Si2HI5, Si2H2I4, Si2H3I3, Si2H4I2, 또는 Si2H5I, 바람직하게, x는 5임(즉, Si2HI5);- Si 2 H x - 6 I x where x is 1 to 5, for example Si 2 HI 5 , Si 2 H 2 I 4 , Si 2 H 3 I 3 , Si 2 H 4 I 2 , Or Si 2 H 5 I, preferably x is 5 (i.e., Si 2 HI 5 );

● Si2HxRyI6 -x-y(여기서, x는 1 내지 4이며, y는 1 내지 4이며, x+y는 5 또는 그 미만이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기임), 예를 들어, MeSi2HI4, MeSi2H2I3, MeSi2H3I2, MeSi2H4I, Me2Si2HI3, Me2Si2H2I2, Me2Si2H3I, Me3Si2HI2, Me3Si2H2I, Me4Si2HI, EtSi2HI4, EtSi2H2I3, EtSi2H3I2, EtSi2H4I, Et2Si2HI3, Et2Si2H2I2, Et2Si2H3I, Et3Si2HI2, Et3Si2H2I, Et4Si2HI, ClSi2HI4, ClSi2H2I3, ClSi2H3I2, ClSi2H4I, Cl2Si2HI3, Cl2Si2H2I2, Cl2Si2H3I, Cl3Si2HI2, Cl3Si2H2I, Cl4Si2HI, BrSi2HI4, BrSi2H2I3, BrSi2H3I2, BrSi2H4I, Br2Si2HI3, Br2Si2H2I2, Br2Si2H3I, Br3Si2HI2, Br3Si2H2I, Br4Si2HI, H3SiSi2HI4, H3SiSi2H2I3, H3SiSi2H3I2, H3SiSi2H4I, (H3Si)2Si2HI3, (H3Si)2Si2H2I2, (H3Si)2Si2H3I, (H3Si)3Si2HI2, (H3Si)3Si2H2I, (H3Si)4Si2HI, H3GeSi2HI4, H3GeSi2H2I3, H3GeSi2H3I2, H3GeSi2H4I, (H3Ge)2Si2HI3, (H3Ge)2Si2H2I2, (H3Ge)2Si2H3I, (H3Ge)3Si2HI2, (H3Ge)3Si2H2I, (H3Ge)4Si2HI, Me3SiSi2HI4, Me3SiSi2H2I3, Me3SiSi2H3I2, Me3SiSi2H4I, (Me3Si)2Si2HI3, (Me3Si)2Si2H2I2, (Me3Si)2Si2H3I, (Me3Si)3Si2HI2, (Me3Si)3Si2H2I, (Me3Si)4Si2HI, Me3GeSi2HI4, Me3GeSi2H2I3, Me3GeSi2H3I2, Me3GeSi2H4I, (Me3Ge)2Si2HI3, (Me3Ge)2Si2H2I2, (Me3Ge)2Si2H3I, (Me3Ge)3Si2HI2, (Me3Ge)3Si2H2I, (Me3Ge)4Si2HI, Me2HSiSi2HI4, Me2HSiSi2H2I3, Me2HSiSi2H3I2, Me2HSiSi2H4I, (Me2HSi)2Si2HI3, (Me2HSi)2Si2H2I2, (Me2HSi)2Si2H3I, (Me2HSi)3Si2HI2, (Me2HSi)3Si2H2I, (Me2HSi)4Si2HI, Me2HGeSi2HI4, Me2HGeSi2H2I3, Me2HGeSi2H3I2, Me2HGeSi2H4I, (Me2HGe)2Si2HI3, (Me2HGe)2Si2H2I2, (Me2HGe)2Si2H3I, (Me2HGe)3Si2HI2, (Me2HGe)3Si2H2I, (Me2HGe)4Si2HI 등;Si 2 H x R y I 6 -xy where x is from 1 to 4, y is from 1 to 4, x + y is 5 or less, and each R is independently selected from C1 to C12 hydrocarbyl a group, Cl, Br, or ER'3 group, wherein each E, independently of the Si or Ge, each of R 'are, independently, H or C1 to C12 hydrocarbyl group), e.g., MeSi 2 HI 4, MeSi 2 H 2 I 3, MeSi 2 H 3 I 2, MeSi 2 H 4 I, Me 2 Si 2 HI 3, Me 2 Si 2 H 2 I 2, Me 2 Si 2 H 3 I, Me 3 Si 2 HI 2 , Me 3 Si 2 H 2 I, Me 4 Si 2 HI, EtSi 2 HI 4 , EtSi 2 H 2 I 3 , EtSi 2 H 3 I 2 , EtSi 2 H 4 I, Et 2 Si 2 HI 3 , Et 2 Si 2 H 2 I 2 , Et 2 Si 2 H 3 I, Et 3 Si 2 HI 2, Et 3 Si 2 H 2 I, Et 4 Si 2 HI, ClSi 2 HI 4, ClSi 2 H 2 I 3, ClSi 2 H 3 I 2, ClSi 2 H 4 I, Cl 2 Si 2 HI 3, Cl 2 Si 2 H 2 I 2, Cl 2 Si 2 H 3 I, Cl 3 Si 2 HI 2, Cl 3 Si 2 H 2 I, Cl 4 Si 2 HI, BrSi 2 HI 4, BrSi 2 H 2 I 3, BrSi 2 H 3 I 2, BrSi 2 H 4 I, Br 2 Si 2 HI 3, Br 2 Si 2 H 2 I 2, Br 2 Si 2 H 3 I, Br 3 Si 2 HI 2 , Br 3 Si 2 H 2 I, Br 4 Si 2 HI, H 3 SiSi 2 HI 4 , H 3 SiSi 2 H 2 I 3 , H 3 SiSi 2 H 3 I 2 , H 3 SiSi 2 H 4 I, (H 3 Si) 2 Si 2 HI 3, (H 3 Si) 2 Si 2 H 2 I 2, (H 3 Si) 2 Si 2 H 3 I, (H 3 Si) 3 Si 2 HI 2, (H 3 Si) 3 Si 2 H 2 I, (H 3 Si) 4 Si 2 HI, H 3 GeSi 2 HI 4 , H 3 GeSi 2 H 2 I 3 , H 3 GeSi 2 H 3 I 2 , H 3 GeSi 2 H 4 I, (H 3 Ge) 2 Si 2 HI 3, (H 3 Ge ) 2 Si 2 H 2 I 2, (H 3 Ge) 2 Si 2 H 3 I, (H 3 Ge) 3 Si 2 HI 2, (H 3 Ge) 3 Si 2 H 2 I, (H 3 Ge) 4 Si 2 HI, Me 3 SiSi 2 HI 4, Me 3 SiSi 2 H 2 I 3, Me 3 SiSi 2 H 3 I 2, Me 3 SiSi 2 H 4 I, (Me 3 Si) 2 Si 2 HI 3, (Me 3 Si) 2 Si 2 H 2 I 2, (Me 3 Si) 2 Si 2 H 3 I, (Me 3 Si) 3 Si 2 HI 2, (Me 3 Si) 3 Si 2 H 2 I, (Me 3 Si ) 4 Si 2 HI, Me 3 GeSi 2 HI 4, Me 3 GeSi 2 H 2 I 3, Me 3 GeSi 2 H 3 I 2, Me 3 GeSi 2 H 4 I, (Me 3 Ge) 2 Si 2 HI 3, (Me 3 Ge) 2 Si 2 H 2 I 2, (Me 3 Ge) 2 Si 2 H 3 I, (Me 3 Ge) 3 Si 2 HI 2, (Me 3 Ge) 3 Si 2 H 2 I, (Me 3 Ge) 4 Si 2 HI, Me 2 HSiSi 2 HI 4, Me 2 HSiSi 2 H 2 I 3, Me 2 HSiSi 2 H 3 I 2, Me 2 HSiSi 2 H 4 I, ( Me 2 HSi) 2 Si 2 HI 3, (Me 2 HSi) 2 Si 2 H 2 I 2, (Me 2 HSi) 2 Si 2 H 3 I, (Me 2 HSi) 3 Si 2 HI 2, (Me 2 HSi) 3 Si 2 H 2 I, (Me 2 HSi) 4 Si 2 HI, Me 2 HGeSi 2 HI 4, Me 2 HGeSi 2 H 2 I 3, Me 2 HGeSi 2 H 3 I 2, Me 2 HGeSi 2 H 4 I, (Me 2 HGe ) 2 Si 2 HI 3, ( Me 2 HGe) 2 Si 2 H 2 I 2, (Me 2 HGe) 2 Si 2 H 3 I, (Me 2 HGe) 3 Si 2 HI 2, (Me 2 HGe) 3 Si 2 H 2 I, (Me 2 HGe) 4 Si 2 HI and the like;

● Si3Hx - 8Ix(여기서, x는 1 내지 7임), 예를 들어, Si3H7I, Si3H6I2, Si3H5I3, Si3H4I4, Si3H3I5, Si3H2I6, Si3HI7;Si 3 H x - 8 I x (where x is 1 to 7), for example Si 3 H 7 I, Si 3 H 6 I 2 , Si 3 H 5 I 3 , Si 3 H 4 I 4 , Si 3 H 3 I 5 , Si 3 H 2 I 6 , Si 3 HI 7 ;

● N(SiHxIy)3(여기서, 각 x는 독립적으로, 0 내지 3이며, 각 y는 독립적으로, 0 내지 3이며, 단, 적어도 하나의 x 및 적어도 하나의 y는 1임), 예를 들어, N(SiH3)2(SiH2I), N(SiH3)2(SiHI2), N(SiH3)(SiH2I)2, N(SiH3)(SiHI2)2, N(SiHI2)2(SiH2I), N(SiHI2)(SiH2I)2, N(SiH2I)3, 또는 N(SiHI2)3;N (SiH x I y ) 3 wherein each x is independently 0 to 3 and each y is independently 0 to 3 with the proviso that at least one x and at least one y are 1, for example, N (SiH 3) 2 ( SiH 2 I), N (SiH 3) 2 (SiHI 2), N (SiH 3) (SiH 2 I) 2, N (SiH 3) (SiHI 2) 2, N (SiHI 2 ) 2 (SiH 2 I), N (SiHI 2 ) (SiH 2 I) 2 , N (SiH 2 I) 3 , or N (SiHI 2 ) 3 ;

● N(SiHxRyIz)3(여기서, 각 x는 독립적으로, 0 내지 3이며, 각 y는 독립적으로, 0 내지 3이며, 각 z는 독립적으로, 0 내지 3이며, x+y+z는 3이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기이며, 단, (a) 적어도 하나의 x, 적어도 하나의 y, 및 적어도 하나의 z는 1이며, (b) 적어도 하나의 Si는 H 및 I 둘 모두에 결합함), 예를 들어, N(SiH3)2(SiMeHI), N(SiH2Me)2(SiMeHI), N(SiHMe2)2(SiMeHI), N(SiMe2H)2(SiH2I), N(SiMe3)2(SiH2I), N(SiMe2H)2(SiHI2), N(SiMe3)2(SiHI2) 등; 또는● N (SiH x R y I z) 3 (where each of x are independently from 0 to 3, each y is independently, is 0 to 3, each z, independently, is 0 to 3, x + y + z is 3 and each R is independently a Cl to C12 hydrocarbyl group, Cl, Br, or ER'3 group wherein each E is independently Si or Ge, and each R ' (A) at least one x, at least one y, and at least one z are 1, and (b) at least one Si is a hydrocarbyl group of both H and I, combination box), for example, N (SiH 3) 2 ( SiMeHI), N (SiH 2 Me) 2 (SiMeHI), N (SiHMe 2) 2 (SiMeHI), N (SiMe 2 H) 2 (SiH 2 I ), N (SiMe 3 ) 2 (SiH 2 I), N (SiMe 2 H) 2 (SiHI 2 ), N (SiMe 3 ) 2 (SiHI 2 ); or

● (SiHxIy)2CH2(여기서, 각 x는 독립적으로, 0 내지 3이며, 각 y는 독립적으로, 0 내지 3이며, 단, 적어도 하나의 x 및 적어도 하나의 y는 1임), 예를 들어, (SiH2I)2-CH2, (SiHI2)2-CH2, (SiH2I)-CH2-(SiH3), (SiHI2)-CH2-(SiH3), 또는 (SiH2I)-CH2-(SiHI2).(SiH x I y ) 2 CH 2 wherein each x is independently 0 to 3 and each y is independently 0 to 3 with the proviso that at least one x and at least one y are 1, , for example, (SiH 2 I) 2 -CH 2, (SiHI 2) 2 -CH 2, (SiH 2 I) -CH 2 - (SiH 3), (SiHI 2) -CH 2 - (SiH 3) , Or (SiH 2 I) -CH 2 - (SiHI 2 ).

하기에 나타낸 바와 같이, Si-H 함유 요오도실란은 상응하는 할로실란을 알칼리 금속 할라이드와 반응시킴으로써 합성된다:As shown below, Si-H containing iodosilanes are synthesized by reacting the corresponding halosilanes with alkali metal halides:

[반응식 6][Reaction Scheme 6]

Figure pct00003
Figure pct00003

[반응식 7][Reaction Scheme 7]

Figure pct00004
Figure pct00004

[반응식 8][Reaction Scheme 8]

Figure pct00005
Figure pct00005

상기 식에서, w는 1 내지 3이며; x는 1 내지 2w+1이며; y는 0 내지 2w+1이며; z는 1 내지 2w+1이며; x+y+z는 2w+2이며; 각 a는 독립적으로, 0 내지 3이며; 각 b는 독립적으로, 0 내지 3이며; 각 c는 독립적으로, 0 내지 3이며; a+b+c는 3이며, 단, 적어도 하나의 a 및 적어도 하나의 c는 1이며; 각 m은 독립적으로, 0 내지 3이며; 각 p는 독립적으로, 0 내지 3이며; 각 o는 독립적으로, 0 내지 3이며; m+p+o는 3이며, 단, 적어도 하나의 m 및 적어도 하나의 o는 1이며; n은 1 내지 4이며; X는 Br 또는 Cl이며; M은 Li, Na, K, Rb, 또는 Cs, 바람직하게, Li이며; 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기이다. 알칼리 금속 염(즉, MI)은 요망되는 할로겐 교환 정도에 따라 과량으로 또는 부족한 양으로 사용될 수 있다. 그러나, 과량의 MI는 요오다이드에 의한 할로실란 상의 할라이드의 완전 치환을 촉진시켜, 반응 생성물에 함유된 염소 또는 브롬 불순물의 양을 감소시킬 것이다. 당업자는 일부 요오드화된 분자, 예를 들어, SiH2ICl, SiHClI2, Si2HCl4I, SiH2IBr, SiHBrI2, Si2HBr4I 등을 제조하기 위해 반응 화학양론을 조정할 것이다.Wherein w is 1 to 3; x is 1 to 2w + 1; y is 0 to 2w + 1; z is 1 to 2w + 1; x + y + z is 2w + 2; Each a is independently 0 to 3; Each b is independently 0 to 3; Each c is independently 0 to 3; a + b + c is 3, provided that at least one a and at least one c are 1; Each m is independently 0 to 3; Each p is independently 0 to 3; Each o is independently 0 to 3; m + p + o is 3, with the proviso that at least one m and at least one o is 1; n is 1 to 4; X is Br or Cl; M is Li, Na, K, Rb, or Cs, preferably Li; Each R is independently a Cl to C12 hydrocarbyl group, Cl, Br, or ER'3 group, wherein each E is independently Si or Ge, and each R 'is independently H or Cl to C12 Hydrocarbyl group. The alkali metal salt (i. E., MI) may be used in excess or in an amount depending on the degree of halogen exchange desired. However, excess MI will promote complete displacement of halide on halosilane by iodide, reducing the amount of chlorine or bromine impurities contained in the reaction product. Those skilled in the art will adjust the reaction stoichiometry to produce some iodinated molecules, such as SiH 2 ICl, SiHCl 2 , Si 2 HCl 4 I, SiH 2 IBr, SiHBrI 2 , Si 2 HBr 4 I,

상기에서 논의된 바와 같이, 염 유도 반응(salt driven reaction)은 어떠한 시약이 사용되는 지를 지시한다. 그러나, 종래 기술의 핀켈슈타인 반응과는 달리, 리튬 요오다이드 및 리튬 클로라이드는 탄화수소 또는 플루오로카본 중에서의 용해성을 거의 나타내지 않거나 전혀 나타내지 않는다. 예를 들어, 지방족, 방향족, 또는 염소화된 탄화수소 중에서 SiCl2H2와 2 mol의 리튬 요오다이드의 반응은 주요 생성물 및 염 부산물로서 각각 SiI2H2 및 2 mol의 리튬 클로라이드를 형성시킬 것이다. LiI 및 LiCl 둘 모두는 이러한 반응 동안 고체로서 존재한다. Li 및 Cl은 굳은 산/염기 쌍(hard acid/base pair)을 형성하며, LiI는 굳은/무른 산/염기 미스매치(mismatch)를 갖는다. 결과적으로, 본 출원인은 불용성 LiCl의 형성이 반응을 위한 구동력을 제공할 수 있는 것으로 여긴다. 그러나, SiH2I2 자체의 형성은 LiI를 일부 용해시킬 수 있고, 반응을 유도하는데 도움을 줄 수 있다. 결과적으로, 본래 반응 혼합물에 요망되는 Si-H 함유 요오도실란 생성물을 첨가하는 것이 유익할 수 있다.As discussed above, a salt driven reaction indicates which reagent is used. However, unlike the Finkelstein reaction of the prior art, lithium iodide and lithium chloride show little or no solubility in hydrocarbons or fluorocarbons. For example, the reaction of SiCl 2 H 2 with 2 moles of lithium iodide in aliphatic, aromatic, or chlorinated hydrocarbons will form SiI 2 H 2 and 2 moles of lithium chloride, respectively, as the major product and salt byproducts. Both LiI and LiCl are present as solids during this reaction. Li and Cl form a hard acid / base pair, and LiI has a hard / soft acid / base mismatch. As a result, Applicants believe that the formation of insoluble LiCl can provide a driving force for the reaction. However, the formation of SiH 2 I 2 itself can partially dissolve LiI and can help to induce the reaction. As a result, it may be beneficial to add the desired Si-H containing iodosilane product to the original reaction mixture.

[반응식 9][Reaction Scheme 9]

Figure pct00006
Figure pct00006

상기 식에서, g는 기체이며, l은 액체이며, s는 고체이다. 다른 알칼리 금속 염, 예를 들어, 소듐 요오다이드(NaI)는 일부 경우에서 할로겐 교환 생성물의 제조를 위해 유용하다. 그러나, NaI는 유사한 용매 중에서 리튬 요오다이드에 비해 덜 반응적이고, 산업적으로 관련된 반응 속도로 임의의 반응을 진행시키기 위해 통상적으로 배위 용매를 필요로 할 것이며, 단, 배위 용매는 생성물 합성 및/또는 수율에 대한 악영향을 최소화하도록 선택된다.Wherein g is a gas, l is a liquid, and s is a solid. Other alkali metal salts, such as sodium iodide (NaI), are useful in some cases for the preparation of halogen exchange products. However, NaI is less reactive than lithium iodide in similar solvents and will ordinarily require a coordination solvent to drive any reaction at an industrially relevant reaction rate, with the proviso that the coordination solvent may be used for product synthesis and / And is selected to minimize adverse effects on yield.

다른 예에서, 염소화된 탄화수소, 예를 들어, 클로로포름 중에서 Si2Cl5H와 5 mol의 소듐 요오다이드의 반응은 주요 생성물 및 염 부산물로서 각각 Si2I5H 및 5 mol의 소듐 클로라이드를 형성할 것이다. NaCl의 형성은 반응을 위한 구동력이다.In another example, the reaction of Si 2 Cl 5 H and 5 mol sodium iodide in chlorinated hydrocarbons, for example chloroform, forms Si 2 I 5 H and 5 mol of sodium chloride, respectively, as the main product and salt by-products, respectively something to do. The formation of NaCl is the driving force for the reaction.

[반응식 10][Reaction Scheme 10]

Figure pct00007
Figure pct00007

당업자는, Si-Si 결합 절단(bond cleavage)과 할로겐 교환 간의 경쟁이 덜 반응적인 NaI 또는 대안적인 알칼리 금속 할라이드, 및/또는 대안적인 용매의 사용을 필요로 할 것이라는 것을 인식할 것이다. 생성물 수율은 할로겐 스크램블링 및 부반응을 추가로 방지하기 위해 반응을 진행시킴에 따라 임의의 염 부산물을 제거하는 것과 같은, 반응 파라미터를 최적화함으로써 추가로 최대화될 수 있다.Those skilled in the art will recognize that competition between Si-Si bond cleavage and halogen exchange will require the use of less reactive NaI or alternative alkali metal halides, and / or alternative solvents. Product yield can be further maximized by optimizing reaction parameters, such as removing any salt byproducts as the reaction proceeds to further prevent halogen scrambling and side reactions.

하기의 실시예가 무기 할로실란 반응물을 사용한 기술된 합성 공정을 나타내고 있지만, 당업자는, 유기 Si-R 기가 Si-X 및 Si-H 보다 덜 반응적이고 이에 따라 기술된 합성 공정 동안 방해 받지 않은 채로 유지될 가능성이 있다는 것을 인식할 것이다.Although the following examples illustrate the described synthetic processes using inorganic halosilane reactants, those skilled in the art will recognize that the organosilicon groups are less reactive than Si-X and Si-H and remain uninterrupted during the synthetic process described You will realize that there is a possibility.

할로실란 및 알칼리 금속 할라이드 반응물은 상업적으로 입수 가능할 수 있다. 대안적으로, 할로실란 반응물은 상응하는 완전 할로겐화된 실란(즉, SixRyX2x +2-y, N(SiRbX3 -b)3, 또는 (SiRnX3 -n)2-CH2)를 표준 환원제, 예를 들어, 리튬 알루미늄 히드라이드(예를 들어, LiAlH4), NaBH4 등으로 환원시킴으로써 합성될 수 있다. 다른 대안으로서, 할로실란 반응물은 문헌[Morrison et al., J. Organomet. Chem., 92, 2, 1975, 163-168]에 따라, 톨루엔 중에서 1 내지 12시간 동안, 0℃ 내지 환류 범위의 온도에서 상응하는 실란[즉, SixRyH2x+2-y, N(SiHaR3 -a)3, 또는 (SiHmR3 -m)2-CH2]을 할로겐화제, 예를 들어, N-클로로, -브로모, 또는 -요오도-숙신이미드와 반응시킴으로써 합성될 수 있다. 반응물의 형태가 중요한 것은 아니지만(즉, 고체, 액체, 또는 기체), 당업자는, 보다 큰 표면적을 갖는 반응물이 보다 많은 반응 사이트를 제공하고, 이에 따라, 더욱 효율적인 반응을 제공한다는 것을 인식할 것이다. 예를 들어, 보다 미세한 그레인 분말은 통상적으로, 고체 비드 또는 청크(chunk) 보다 더욱 많은 반응 사이트를 제공한다.Halosilanes and alkali metal halide reactants may be commercially available. Alternatively, the halosilane reactants were fully corresponding halogenated silanes (i.e., Si x R y X 2x + 2-y, N (SiR b X 3 -b) 3, or (SiR n X 3 -n) 2 - CH 2 ) with a standard reducing agent such as lithium aluminum hydride (for example, LiAlH 4 ), NaBH 4 , and the like. As another alternative, the halosilane reactant can be prepared according to the method of Morrison et al., J. Organomet. (I.e., Si x R y H 2x + 2-y , N (N, N' - tetramethylethylenediamine) at temperatures ranging from 0 ° C. to the reflux range for 1 to 12 hours in toluene, (SiH a R 3 -a ) 3 or (SiH m R 3 -m ) 2 -CH 2 ] with a halogenating agent such as N-chloro, -bromo, or -iodo-succinimide Can be synthesized. Those skilled in the art will recognize that reactants with larger surface areas provide more reactive sites and thus provide a more efficient reaction, although the form of the reactants is not critical (i.e., solid, liquid, or gas). For example, finer grain powders typically provide more reactive sites than solid beads or chunks.

반응물 및 임의의 용매의 물 함량은 실록산 부산물(즉, Si-O-Si)의 형성을 방지하기 위해 최소화되어야 한다. 바람직하게, 물 함량은 대략 0% w/w 내지 대략 0.001% w/w(10 ppmw)의 범위이다. 필요한 경우에, 반응물은 표준 기술, 예를 들어, P2O5 상에서의 환류(refluxing), 분자체에 의한 처리, 또는 진공 하에서의 가열(예를 들어, 무수 LiI는 진공 하, 325℃에서 8+시간 동안 베이킹함으로써 형성될 수 있음)을 이용하여 합성 전에 건조될 수 있다.The water content of the reactants and optional solvent should be minimized to prevent the formation of siloxane by-products (i.e., Si-O-Si). Preferably, the water content ranges from about 0% w / w to about 0.001% w / w (10 ppmw). If necessary, the reaction can be quenched by standard techniques, for example refluxing over P 2 O 5 , treatment with molecular sieves, or heating under vacuum (for example, anhydrous LiI under 8 + Which may be formed by baking for a period of time.

반응 용기는 반응물 및 생성물과 혼화 가능한 물질로 제조되거나, 이러한 것으로 라이닝되거나, 이러한 것으로 처리된다. 예시적인 물질은 패시베이션된 스테인레스강, 유리, 퍼플루오로알콕시 알칸(PFA), 및 폴리테트라플루오로에틸렌(PTFE)을 포함한다. 용기는 가열 또는 냉각 배쓰(bath)에 자켓화되거나 배치될 수 있다. 반응 용기는 혼화 가능한 물질로 제조된 교반 메카니즘, 예를 들어, 유리 교반 샤프트, PTFE 패들 교반기, 및/또는 PTFE 코팅된 스테인레스강 임펠러를 포함할 수 있다. 반응 용기에는 또한, 다수의 "주입 포트," 압력 게이지, 다이아프램 밸브가 장착될 수 있다. 반응 용기는 불활성 분위기, 예를 들어, N2 또는 희가스 하에서 합성을 수행하도록 설계된다. 광에 대한 반응물 및 반응 혼합물의 노출을 최소화하기 위한 예방조치, 예를 들어, 주석 호일 안에서 임의의 투명 유리기구를 덮는 것이 또한 수행될 수 있다. SiH2I2의 합성을 위하여, 호박색 유리기구는 적합하지 않은데, 왜냐하면, 철 옥사이드 코팅이 생성물을 오염시킬 수 있기 때문이다. 추가적으로, 반응 용기, 교반 메카니즘, 및 임의의 다른 관련된 장비, 예를 들어, 슈랭크 라인(Schlenk line) 또는 글로브박스(glovebox)는 표준 건조 기술, 예를 들어, 진공, 불활성 가스 흐름, 오븐 건조 등을 이용하여 공기- 및 수분-부재이어야 한다.The reaction vessel is made of, or lined with, a material that is compatible with the reactants and products. Exemplary materials include passivated stainless steel, glass, perfluoroalkoxyalkane (PFA), and polytetrafluoroethylene (PTFE). The vessel may be jacketed or disposed in a heating or cooling bath. The reaction vessel may comprise a stirring mechanism made of an admixable material, for example, a glass stirrer shaft, a PTFE paddle stirrer, and / or a PTFE coated stainless steel impeller. The reaction vessel may also be equipped with a number of "injection ports," pressure gauges, and diaphragm valves. The reaction vessel is designed to perform the synthesis under an inert atmosphere, for example, N 2 or rare gas. Preventing measures to minimize exposure of the reactants to light and the reaction mixture, for example, covering any transparent glassware within the tin foil can also be performed. For the synthesis of SiH 2 I 2 , amber glassware is not suitable, because the iron oxide coating can contaminate the product. In addition, the reaction vessel, stirring mechanism, and any other related equipment, such as a Schlenk line or glovebox, may be formed using standard drying techniques, such as vacuum, inert gas flow, oven drying, Should be air- and moisture-free.

반응물에 대하여 상기에서 논의되고 하기 실시예에서 나타낸 바와 같이, 반응 용기, 및 반응물 및 생성물과 접촉하는 임의의 및 모든 부품들은 고순도를 가져야 한다. 고순도의 반응 용기는 통상적으로, Si-H 함유 요오도실란과 혼화 가능하고 Si-H 함유 요오도실란과 반응하거나 이를 오염시킬 수 있는 불순물이 존재하지 않는 용기이다. 이러한 고순도 용기의 통상적인 예는 낮은 표면 거칠기 및 미러 피니시(mirror finish)를 갖는, 스테인레스강 캐니스터이다. 낮은 표면 거칠기 및 미러 피니시는 통상적으로, 기계적 폴리싱에 의해 그리고 선택적으로, 추가적인 전기폴리싱(electropolishing)에 의해 얻어진다. 고순도는 통상적으로, (a) 묽은 산(HF, HNO3)을 사용한 세정 단계, 이후 (b) 미량의 산의 완전한 제거를 보장하기 위한 고순도의 탈이온수로의 린싱, 이후 (c) 용기의 건조를 포함하는 처리에 의해 얻어진다. 탈이온수(DIW) 린싱은 통상적으로, 린싱수의 저항률이 100 μS/cm, 및 바람직하게, 25 μS/cm 미만에 도달할 때까지 수행된다. 건조 단계는 불활성 가스, 예를 들어, He, N2, Ar(바람직하게, N2 또는 Ar)을 사용한 퍼지 단계, 진공 단계 동안 용기에서의 압력이 표면으로부터 탈기(outgassing), 용기의 가열, 또는 이들의 임의의 조합을 가속화시키도록 감소되는 진공 단계를 포함할 수 있다.As indicated above for the reactants and as shown in the following examples, the reaction vessel, and any and all components in contact with the reactants and products, must have high purity. The reaction vessel of high purity is usually a container which is compatible with Si-H containing iodosilane and does not contain impurities capable of reacting with or contaminating the Si-H containing iodosilane. A common example of such a high purity vessel is a stainless steel canister with low surface roughness and mirror finish. Low surface roughness and mirror finishes are typically obtained by mechanical polishing and, optionally, by additional electropolishing. The high purity typically comprises the steps of (a) washing with dilute acid (HF, HNO 3 ), followed by (b) rinsing with high purity deionized water to ensure complete removal of trace amounts of acid, and then (c) ≪ / RTI > Deionized water (DIW) rinsing is typically carried out until the resistivity of the Linning number reaches 100 μS / cm, and preferably less than 25 μS / cm. The drying step may include purging with an inert gas, such as He, N 2 , Ar (preferably N 2 or Ar), pressure in the vessel during the vacuum step, outgassing from the surface, And a vacuum stage reduced to accelerate any combination of these.

퍼징을 위해 사용되는 가스는 반도체 등급이어야 하며, 즉, 오염물, 예를 들어, 미량의 수분 및 산소(1 ppm 미만, 바람직하게, 10 ppb 미만), 및 입자(리터 당 5개 미만의 입자 @ 0.5 ㎛)가 존재하지 않아야 한다. 건조 단계는 그 동안 특정 흐름의 가스가 용기를 통해 흐르는 교대하는 퍼지 순서, 및 진공화 단계를 포함할 수 있다. 대안적으로, 건조 단계는 용기에서 저압을 유지하면서 퍼지 가스를 일정하게 흐르게 함으로써 수행될 수 있다. 캐니스터 건조의 효율 및 종결점은 용기로부터 유출되는 가스 중의 미량의 H2O 수준을 측정함으로써 평가될 수 있다. 유입구 가스가 10 ppb H2O 미만을 갖는 경우에, 유출구 가스는 대략 0 ppm 내지 대략 10 ppm의 범위, 바람직하게, 대략 0 ppm 내지 대략 1 ppm의 범위, 및 더욱 바람직하게, 대략 0 ppb 내지 대략 200 ppb의 범위의 수분 함량을 가져야 한다. 퍼지 단계 및 진공 단계 동안에, 용기를 가열하는 것은 건조를 가속화시키는 것으로 알려져 있으며, 용기는 통상적으로 대략 40℃ 내지 대략 150℃ 범위의 온도에서 유지된다.The gas used for purging should be of a semiconductor grade, i.e. a contaminant, such as trace amounts of water and oxygen (less than 1 ppm, preferably less than 10 ppb), and particles (less than 5 particles @ 0.5 Mu m) should not exist. The drying step may include an alternating purge sequence during which a particular flow of gas flows through the vessel, and a vacuuming step. Alternatively, the drying step can be performed by constantly flowing the purge gas while maintaining a low pressure in the vessel. The efficiency and termination of canister drying can be assessed by measuring the trace H 2 O level in the gas leaving the vessel. If the inlet gas has less than 10 ppb H 2 O, the outlet gas may range from about 0 ppm to about 10 ppm, preferably from about 0 ppm to about 1 ppm, and more preferably from about 0 ppb to about Water content in the range of < RTI ID = 0.0 > 200 ppb. ≪ / RTI > During the purge and vacuum stages, heating the vessel is known to accelerate drying, and the vessel is typically maintained at a temperature ranging from about 40 캜 to about 150 캜.

세정되고 건조된 직후에, 이러한 고순도 용기는 1E-6 std cm3 /s 미만, 바람직하게, 1E-8 std cm3/s 미만의 전체 누출률을 가져야 한다.Immediately after being cleaned and dried, these high purity containers should have a total leak rate of less than 1E-6 std cm 3 / s, preferably less than 1E-8 std cm 3 / s.

선택적으로, 용기는 용기 중에서 생성물의 부식 위험을 추가로 감소시키거나 이의 안정성을 개선하기 위해 내부 코팅 또는 도금을 가질 수 있다. 예시적인 코팅은 Silcotek(https://www.silcotek.com)에 의해 제공되거나 미국 특허출원공개번호 제2016/046408호에 기술된 것을 포함한다. 용기는 또한, Si-H 함유 요오도실란과의 반응 및/또는 충전(filling) 이전에, 실릴화제, 예를 들어, 실란, 디실란, 모노클로로실란, 헥사메틸디실라잔에 대한 노출에 의해 패시베이션될 수 있다.Optionally, the vessel may have an interior coating or plating to further reduce the risk of corrosion of the product in the vessel or to improve its stability. Exemplary coatings include those provided by Silcotek (https://www.silcotek.com) or those described in U.S. Patent Application Publication No. 2016/046408. The vessel may also be filled by exposure to a silylating agent such as silane, disilane, monochlorosilane, hexamethyldisilazane prior to reaction and / or filling with the Si-H containing iodosilane. Can be passivated.

당업자는 기술된 방법을 실행하기 위해 사용되는 시스템의 장비 부품을 위한 소스를 인식할 것이다. 부품의 일부 맞춤화(customization) 수준은 요망되는 온도 범위, 압력 범위, 지역 규제 등을 기초로 하여 요구될 수 있다. 예시적인 장비 공급업체는 Buchi Glass Uster AG, Shandong ChemSta Machinery Manufacturing Co. Ltd., Jiangsu Shajiabang Chemical Equipment Co. Ltd 등을 포함한다. 상기에서 논의된 바와 같이, 부품은 바람직하게, 내부식성 물질, 예를 들어, 유리, 유리-라이닝된 강, 또는 내부식성 라이너를 갖는 강 등으로 제조된다.Those skilled in the art will recognize the source for the equipment parts of the system used to carry out the methods described. Some level of customization of parts may be required based on the desired temperature range, pressure range, local regulations, and so on. Exemplary equipment suppliers include Buchi Glass Uster AG, Shandong ChemSta Machinery Manufacturing Co. Ltd., Jiangsu Shajiabang Chemical Equipment Co., Ltd. Ltd. As discussed above, the component is preferably made of a corrosion resistant material, such as glass, glass-lined steel, or steel with a corrosion-resistant liner.

공기- 및 수분-부재 고순도 반응기는 알칼리 금속 할라이드로 채워진다. 최종 생성물을 분해하거나 이와 반응하지 않는 선택적 용매는 알칼리 금속 할라이드의 첨가 전 또는 후에, 열 교환 매질 및/또는 혼합 및/또는 생성물 추출에서의 보조물로서 첨가될 수 있다. 예시적인 용매는 C3-C20 알칸, 예를 들어, 프로판, 부탄, 펜탄 등, 또는 염소화된 탄화수소, 예를 들어, 클로로메탄, 디클로로메탄, 클로로포름, 사염화탄소 등, 및 이들의 혼합물을 포함한다. 상기에서 논의된 바와 같이, 요망되는 Si-H 함유 요오도실란이 또한 용매로서 사용될 수 있다. 알칼리 금속 할라이드 염은 용매 중에서 가용성일 수 있다. 그러나, 반응물에 따라, 염 용해도는 중요한 인자가 아닐 수 있다. 예를 들어, 하기 실시예 5에 나타낸 바와 같이, 펜탄 중 리튬 요오다이드는 고체-액체 반응에서 디클로로실란과 반응한다. 반응 혼합물은 반응물들 간의 접촉을 증진시키기 위해 교반될 수 있다. 대안적으로, 반응은 하기 실시예 3에 예시되어 있는 바와 같이, 용매의 사용 없이 진행할 수 있다.The air- and moisture-free high purity reactors are filled with alkali metal halides. Optional solvents which do not decompose or react with the final product may be added as an auxiliary in the heat exchange medium and / or in the mixing and / or product extraction before or after the addition of the alkali metal halide. Exemplary solvents include C3-C20 alkanes such as propane, butane, pentane, or the like, or chlorinated hydrocarbons such as chloromethane, dichloromethane, chloroform, carbon tetrachloride, and the like, and mixtures thereof. As discussed above, the desired Si-H containing iodosilane can also be used as a solvent. The alkali metal halide salt may be soluble in the solvent. However, depending on the reactants, salt solubility may not be an important factor. For example, as shown in Example 5 below, lithium iodide in pentane reacts with dichlorosilane in a solid-liquid reaction. The reaction mixture may be stirred to promote contact between the reactants. Alternatively, the reaction may proceed without the use of a solvent, as illustrated in Example 3 below.

할로실란은 헤드공간(headspace)을 통해, 또는 표면아래 첨가(subsurface addition)를 통해, 가스, 액체(응결됨)로서, 또는 용액 중에서 반응기에 첨가될 수 있다. 할로실란은 가스 형태일 수 있고, 리튬 요오다이드/용매 혼합물 위의 헤드공간에 첨가될 수 있다. 대안적으로, 가스 형태의 할로실란은 콘덴서를 이용하여 응결될 수 있고, 리튬 요오다이드/용매 혼합물에 직접적으로 첨가될 수 있다. 다른 대안에서, 액체 형태의 할로실란은 반응기에 배관된 도관을 이용하여 반응기의 상부로부터 첨가될 수 있다. 다른 대안에서, 가스상 또는 액체 형태는 염/용매 혼합물 내측에 플런징된(plunged) 딥 튜브(dip tube)가 장착된 반응기를 이용하여 요오드/용매 혼합물의 표면 아래로 첨가될 수 있다. 하기 실시예에서, 더욱 빠른 시약 전달을 촉진하기 위해 디클로로실란의 응결이 수행되었다.The halosilane can be added to the reactor either as a gas, as a liquid (condensed) or in solution, through a headspace, or through subsurface addition. The halosilane may be in gaseous form and may be added to the head space above the lithium iodide / solvent mixture. Alternatively, the gaseous form of halosilane can be condensed using a condenser and added directly to the lithium iodide / solvent mixture. In another alternative, halosilanes in liquid form can be added from the top of the reactor using conduits plumbed to the reactor. In another alternative, the gaseous or liquid form may be added below the surface of the iodine / solvent mixture using a reactor equipped with a dip tube plunged into the salt / solvent mixture. In the following examples, condensation of dichlorosilane was performed to promote faster reagent delivery.

할로실란은 생성물 분포가 요망되는 것에 따라, 과량, 화학양론적 양, 또는 화학양론적 양 미만의 양으로 첨가될 수 있다. 금속 요오다이드 염에 대한 과량의 할로실란은 할로실란 상의 요오드에 의해 할라이드의 부분 치환을 초래할 것이고, SiwHxRyIz 화합물(여기서, 적어도 하나의 R은 Cl 또는 Br임)의 형성을 가능하게 한다. 과량의 요오다이드 금속 염은 할로실란 상의 요오다이드의 완전 치환을 촉진시킬 것이다(즉, R은 Cl 또는 Br이 아님).The halosilane can be added in an amount that is excessive, stoichiometric, or less than the stoichiometric amount, as product distribution is desired. Excess halosilane for the metal iodide salt will result in partial substitution of the halide by iodine on the halosilane and formation of a Si w H x R y I z compound wherein at least one R is Cl or Br . Excess iodide metal salt will promote complete displacement of the iodide on the halosilane (i.e., R is not Cl or Br).

대안적으로, 할로실란은 알칼리 금속 할라이드의 첨가 이전에 반응기에 첨가될 수 있다. 상술된 할로실란 및 알칼리 금속 할라이드에 대한 첨가 메카니즘은, 반응물이 반응기에 1차 또는 2차 첨가되든지, 동일하게 유지된다.Alternatively, the halosilane can be added to the reactor prior to the addition of the alkali metal halide. The addition mechanism for the above-described halosilane and alkali metal halide remains the same whether the reactants are first or second added to the reactor.

할로실란/알칼리 금속 할라이드 혼합물은 반응물들 간의 접촉을 증진시키기 위해 교반될 수 있다. 반응은 발열적일 수 있다. 하기 실시예에서, 반응 혼합물은 주변 온도(즉, 대략 20℃ 내지 대략 26℃)에서 반응을 완료되게 하기에 충분한 시간 동안 교반된다. 하기 실시예에서 가열이 필수적인 것은 아니지만, 이는 반응을 가속화시키기 위한 선택 사항일 수 있다. 당업자는 각 할로실란의 개개 동력학에 따른 가장 적합한 온도 범위를 결정할 수 있다. 예를 들어, 부분 히드로카르빌 치환을 갖는 할로실란은 히드로카르빌 기에 의해 형성된 입체적 방해로 인하여, 히드로카르빌 치환체를 가지지 않는 할로실란 보다 더욱 높은 반응 온도를 필요로 할 수 있다.The halosilane / alkali metal halide mixture may be stirred to promote contact between the reactants. The reaction may be exothermic. In the following examples, the reaction mixture is stirred for a time sufficient to allow the reaction to be completed at ambient temperature (i.e., from about 20 ° C to about 26 ° C). In the following examples, heating is not essential, but it may be an option to accelerate the reaction. The person skilled in the art can determine the most suitable temperature range according to the individual kinetics of each halosilane. For example, halosilanes having partial hydrocarbyl substitutions may require higher reaction temperatures than halosilanes that do not have a hydrocarbyl substituent, due to the steric hindrance formed by the hydrocarbyl group.

반응의 진행은 예를 들어, 상업적으로 입수 가능한, 가스 크로마토그래피 또는 인-시튜(in-situ) 프로브, 예를 들어, FTIR 또는 RAMAN 프로브를 이용하여 모니터링될 수 있다. 화학양론적 과량의 금속 요오다이드 염에 대하여, 우세한 반응 생성물은 SiwHxRyIz + nMX이며, 소량으로 SiwHxRyXz, MI, 용매, 및 z 양의 I 및 X 둘 모두를 함유한, SiwHxRy(IX)z 중간 반응 생성물을 갖는다. 예를 들어, SiH2I2 반응 혼합물은 SiH2I2 반응 생성물, LiCl 반응 부산물, 일부 잔류 SiCl2H2 및/또는 LiI 반응물, 용매, 및 ClSiH2I 중간 반응 생성물을 포함할 수 있다.The progress of the reaction can be monitored, for example, using commercially available, gas chromatography or in-situ probes, for example, FTIR or RAMAN probes. For stoichiometric excess metal iodide salts, the predominant reaction product is Si w H x R y I z + nMX, with small amounts of Si w H x R y X z , MI, has the two X containing both, Si w H x R y (IX) z intermediate reaction product. For example, the SiH 2 I 2 reaction mixture may comprise a SiH 2 I 2 reaction product, a LiCl reaction product, some residual SiCl 2 H 2 and / or LiI reactant, a solvent, and a ClSiH 2 I intermediate reaction product.

교반된 혼합물은 임의의 고체 불순물 및 염 부산물을 제거하기 위해 여과될 수 있다. 통상적인 필터는 유리 또는 폴리머 프릿 필터(fritted filter)를 포함한다.The stirred mixture may be filtered to remove any solid impurities and salt byproducts. Typical filters include glass or polymer fritted filters.

대안적으로, 염 부산물이 용매 중에 용해될 때, 교반된 혼합물은 추가 가공 이전에 고체 부산물을 제거하기 위해 여과될 수 있다. 여과제, 예를 들어, 무수 규조토는 공정을 개선하기 위해 이용될 수 있다. 통상적인 필터는 유리 또는 폴리머 프릿 필터를 포함한다.Alternatively, when the salt byproduct is dissolved in the solvent, the stirred mixture may be filtered to remove solid byproducts prior to further processing. Filtration, for example, anhydrous diatomaceous earth, can be used to improve the process. Typical filters include glass or polymer frit filters.

때때로, 여액은 추가 가공을 필요로 할 수 있다. 예를 들어, 여액이 고체 물질의 불균질 현탁액을 생산할 때, 여액은 이후에, 요망되지 않는 반응 부산물 또는 불순물의 일부 또는 모두를 제거하는 플래시 증류 공정을 통해 Si-H 함유 요오도실란을 생산하기 위해 짧은 경로 컬럼에 걸쳐 증류될 수 있다. 대안적으로, Si-H 함유 요오도실란 반응 생성물은 증류 컬럼을 통해 여액으로부터 또는 여액을 대략 비-유기 실리콘 히드라이드 반응 생성물의 비등점까지 가열함으로써 분리될 수 있다. 다른 대안에서, 플래시 공정 및 증류 컬럼 둘 모두는 필수적일 수 있다. 당업자는, Si-H 함유 요오도실란 반응 생성물이 가온된 교반된 혼합물로부터 분리되고 이에 따라 회수 온도를 조정함에 따라 가온된 교반된 혼합물의 비등점이 변경될 것이라는 것을 인식할 것이다. 임의의 미반응된 할로실란은, Br 또는 Cl에 비해 요오드의 높은 질량으로 인하여, 수득된 생성물에 비해 더욱 휘발성인 경향이 있기 때문에 증류 컬럼을 통해 배기될 수 있다. 당업자는, 배기된 할로실란이 후속 사용 또는 폐기를 위해 회수될 수 있다는 것을 인식할 것이다.Sometimes, the filtrate may require further processing. For example, when the filtrate produces an inhomogeneous suspension of solid material, the filtrate is then used to produce Si-H containing iodosilanes through a flash distillation process that removes some or all of the undesired reaction byproducts or impurities Can be distilled over short path columns. Alternatively, the Si-H containing iodosilane reaction product may be separated from the filtrate through a distillation column or by heating the filtrate to the boiling point of the approximately non-organosilicon hydride reaction product. In another alternative, both the flash process and the distillation column may be essential. Those skilled in the art will recognize that the boiling point of the warmed stirred mixture will change as the Si-H containing iodosilane reaction product is separated from the warmed stirred mixture and thus adjusting the recovery temperature. Any unreacted halosilane can be vented through the distillation column because of the higher mass of iodine relative to Br or Cl, since it tends to be more volatile than the product obtained. Those skilled in the art will recognize that evacuated halosilanes can be recovered for subsequent use or disposal.

기술된 방법은 대략 40% mol/mol 내지 대략 99% mol/mol의 할로실란을 Si-H 함유 요오도실란 반응 생성물로 전환시킬 수 있다. 분리된 Si-H 함유 요오도실란 반응 생성물은 통상적으로 대략 50% mol/mol 내지 대략 99% mol/mol 범위의 순도를 갖는다.The described process can convert from about 40% mol / mol to about 99% mol / mol of the halosilane into the Si-H containing iodosilane reaction product. The isolated Si-H containing iodosilane reaction product typically has a purity in the range of about 50% mol / mol to about 99% mol / mol.

Si-H 함유 요오도실란 반응 생성물은 증류, 승화, 또는 재결정화에 의해 추가로 정제될 수 있다. 적합한 증류 방법은 대기 분별 증류 또는 배치 분별 증류 또는 진공 분별 증류를 포함한다. 배치 분별 증류는 낮은 온도 및 압력에서 수행될 수 있다. 대안적으로, Si-H 함유 요오도실란 반응 생성물은 순차적인 단계로 저비등 불순물 및 고비등 불순물 둘 모두로부터 Si-H 함유 요오도실란 반응 생성물을 분리하기 위해 두 개의 증류 컬럼에 대한 연속 증류에 의해 정제될 수 있다. 정제된 Si-H 함유 요오도실란 반응 생성물은 Si-함유 막 형성 조성물로서 사용될 수 있다.The Si-H containing iodosilane reaction product can be further purified by distillation, sublimation, or recrystallization. Suitable distillation methods include atmospheric fractional distillation or batch fractional distillation or vacuum fractional distillation. Batch fractional distillation can be carried out at low temperatures and pressures. Alternatively, the Si-H containing iodosilane reaction product may be subjected to sequential distillation for two distillation columns to separate the Si-H containing iodosilane reaction product from both low-boiling impurities and high boiling impurities ≪ / RTI > The purified Si-H containing iodosilane reaction product can be used as a Si-containing film forming composition.

Si-함유 막 형성 조성물은 대략 97% mol/mol 내지 대략 100% mol/mol, 바람직하게, 대략 99% mol/mol 내지 대략 100% mol/mol, 더욱 바람직하게, 대략 99.5% mol/mol 내지 대략 100% mol/mol, 및 더더욱 바람직하게, 대략 99.97% mol/mol 내지 대략 100% mol/mol 범위의 순도를 갖는다. Si-함유 막 형성 조성물은 바람직하게, 검출 한계 내지 100 ppbw의 각 가능한 금속 오염물(예를 들어, 적어도 Ag, Al, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K, Na, Sb, Ti, Zn 등)을 포함한다. Si-함유 막 형성 조성물 중 X(여기서, X는 Cl, Br, 또는 I임)의 농도는 대략 0 ppmw 내지 대략 100 ppmw, 및 더욱 바람직하게, 대략 0 ppmw 및 내지 대략 10 ppmw의 범위일 수 있다.The Si-containing film-forming composition preferably has a composition of about 97% mol / mol to about 100% mol / mol, preferably about 99% mol / mol to about 100% mol / mol, more preferably about 99.5% 100% mol / mol, and even more preferably in the range of about 99.97% mol / mol to about 100% mol / mol. The Si-containing film forming composition preferably has a detection limit of 100 ppbw of each possible metal contaminant (e.g., at least Ag, Al, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K, Na, Sb, Ti, Zn, etc.). The concentration of X in the Si-containing film forming composition (where X is Cl, Br, or I) can range from about 0 ppmw to about 100 ppmw, and more preferably from about 0 ppmw to about 10 ppmw .

하기 실시예에 나타낸 바와 같이, 정제된 생성물은 가스 크로마토그래피 질량 분석법(GCMS; gas chromatography mass spectrometry)에 의해 분석될 수 있다. 생성물의 구조는 1H, 13C 및/또는 29Si NMR에 의해 확인될 수 있다.As shown in the following examples, the purified product can be analyzed by gas chromatography mass spectrometry (GCMS). The structure of the product can be confirmed by 1 H, 13 C and / or 29 Si NMR.

상기에서 상세히 논의되고 하기 실시예에서 예시되는 바와 같이, Si-함유 막 형성 조성물은 이의 순도를 유지하기 위해 이러한 조성물과 반응하지 않는 세정된 건조 저장 용기에서 저장되어야 한다.As discussed in detail above and illustrated in the Examples below, the Si-containing film-forming composition should be stored in a cleaned dry storage vessel that does not react with such compositions to maintain its purity.

도 1은 기술된 방법을 수행하기 위해 적합한 예시적인 시스템이다. 공기는 불활성 가스(9), 예를 들어, 질소, 아르곤 등에 의해, 시스템의 다양한 부분(예를 들어, 반응기(1), 용기(8), 보일러(6))으로부터 제거될 수 있다. 불활성 가스(9)는 또한, 반응기(1)로 이의 전달을 가능하게 하기 위해 용매(11)를 가압하는 역할을 할 수 있다. 질소, 냉장된 에탄올, 아세톤/드라이 아이스 혼합물, 또는 열전달제, 예를 들어, 모노에틸렌 글리콜(MEG)이 시스템의 다양한 부분(예를 들어, 반응기(1), 증류 컬럼(27), 콘덴서(57))을 냉각하기 위해 사용될 수 있다. Figure 1 is an exemplary system suitable for carrying out the described method. Air can be removed from the various parts of the system (e.g., reactor 1, vessel 8, boiler 6) by inert gas 9, e.g., nitrogen, argon, The inert gas 9 may also serve to pressurize the solvent 11 to enable its transfer to the reactor 1. (E. G., Reactor 1, distillation column 27, condenser 57, or the like) in the system, such as nitrogen, chilled ethanol, acetone / dry ice mixture, or a heat transfer agent such as monoethyleneglycol )). ≪ / RTI >

반응기(1)는 자켓(2)에 의해 요망되는 온도에서 유지될 수 있다. 자켓(2)은 유입구(21) 및 유출구(22)를 갖는다. 유입구(21) 및 유출구(22)는 냉각 유체의 재순환을 제공하기 위해 열교환기/냉동기(23) 및/또는 펌프(미도시됨)에 연결될 수 있다. 대안적으로, 배치 크기가 충분히 작고 혼합 시간이 충분히 짧은 경우에, 자켓(2)은, 열적 유체가 반응 기간 동안 충분히 냉각될 수 있기 때문에, 유입구(21) 및 유출구(22)를 필요로 하지 않을 수 있다. 다른 대안에서, 그리고 상기에 논의된 바와 같이, 자켓화된 온도 제어기는 필수적이지 않을 수 있으며, 이러한 4개의 부품(즉, 2, 21, 22 및 23)이 시스템으로부터 제거될 수 있다.The reactor 1 can be maintained at the temperature desired by the jacket 2. The jacket 2 has an inlet 21 and an outlet 22. The inlet 21 and the outlet 22 may be connected to a heat exchanger / refrigerator 23 and / or a pump (not shown) to provide recirculation of cooling fluid. Alternatively, the jacket 2 may be configured such that it does not require the inlet 21 and outlet 22 because the thermal fluid can be sufficiently cooled during the reaction period if the batch size is sufficiently small and the mixing time is sufficiently short. . In other alternatives, and as discussed above, a jacketed temperature controller may not be necessary and these four components (i.e., 2, 21, 22, and 23) may be removed from the system.

반응물(용매, 예를 들어, 용기(11)에 저장된 펜탄, 및 용기(24)에 저장된, 할로실란, 예를 들어, 에틸디클로로실란)은 각각 라인(14) 및 라인(25)을 통해 반응기(1)에 첨가된다. 용매 및 할로실란은 액체 계량 펌프(미도시됨), 예를 들어, 다이아프램 펌프, 연동 펌프, 또는 시린지 펌프를 통해 반응기(1)에 첨가될 수 있다. 용기(13)에 저장된, 알칼리 금속 할라이드, 예를 들어, LiI는 중력 흐름을 통해 반응기(1)에 첨가될 수 있거나, Si-H 함유 요오도실란 반응 생성물과 혼화 가능한 용매 중에 현탁되고 용매 및 할로실란과 유사한 방식으로(즉, 라인(16)을 통해) 반응기에 도입될 수 있다. 반응물은 혼합물(26)을 생성하기 위해 모터(17b)에 의해 돌려지는 임펠러(17a)에 의해 반응기에서 혼합될 수 있다. 바람직하게, 혼합은 대략 대기압에서 불활성 분위기 하에서 수행된다. 온도 센서(미도시됨)는 반응기(1)의 내용물의 온도를 모니터링하기 위해 사용될 수 있다.The reactants (solvent, for example, pentane stored in the vessel 11 and halosilane, e.g., ethyldichlorosilane, stored in the vessel 24) are passed through the line 14 and line 25, respectively, 1). The solvent and halosilane can be added to the reactor 1 via a liquid metering pump (not shown), e.g., a diaphragm pump, peristaltic pump, or syringe pump. The alkali metal halide, for example LiI, stored in the vessel 13 can be added to the reactor 1 via gravity flow or suspended in a solvent compatible with the Si-H containing iodosilane reaction product, May be introduced into the reactor in a manner similar to silane (i.e., via line 16). The reactants may be mixed in the reactor by an impeller 17a which is turned by a motor 17b to produce a mixture 26. [ Preferably, the mixing is carried out under an inert atmosphere at about atmospheric pressure. A temperature sensor (not shown) may be used to monitor the temperature of the contents of the reactor 1.

첨가의 완료 시에, 반응의 진행은 예를 들어, 가스 크로마토그래피를 이용하여 모니터링될 수 있다. 반응의 완료 시에, 혼합물(26)은 반응기(1)로부터 필터(3)를 통한 드레인(drain)(19)을 통해 컨테이너(4)로 제거될 수 있다. 우세한 반응 생성물은 표준 온도 및 압력에서 액체인 에틸디요오도실란(EtSiHI2), 및 표준 온도 및 압력에서 고체인 LiCl이며, 소량은 LiI 및 EtSiIClH 불순물을 갖는다. 이러한 구현예에서, 반응기(1)는 중력의 이점을 최대한 사용하기 위해 필터(3) 위에 위치될 가능성이 가장 클 것이다. MX 반응 부산물(X는 Cl, Br임), 예를 들어, LiCl(미도시됨)이 혼합물(26)에 현탁됨에 따라, 반응기(1)의 막힘은 문제가 되지 않는다.Upon completion of the addition, the progress of the reaction can be monitored, for example, using gas chromatography. At the completion of the reaction, the mixture 26 can be removed from the reactor 1 to the container 4 via the drain 19 via the filter 3. The predominant reaction product is ethyl diiodosilane (EtSiHI 2 ), which is a liquid at standard temperature and pressure, and LiCl, which is solid at standard temperature and pressure, with minor amounts of LiI and EtSiIClH impurities. In this embodiment, the reactor 1 will most likely be located above the filter 3 to maximize the benefit of gravity. Clogging of the reactor 1 is not a problem as the MX reaction by-products (where X is Cl, Br), for example LiCl (not shown), are suspended in the mixture 26.

여과된 교반된 혼합물(여액)(미도시됨)은 컨테이너(미도시됨)에 수집되고 다음 공정 단계의 수행 이전에 새로운 위치로 이송될 수 있다. 대안적으로, 여액은 히터(28)를 이용하여 여액으로부터 반응 생성물을 분리하기 위해 스틸 포트(still pot)(4)로 바로 유도될 수 있다. 여액은 히터(28)에 의해 가온된다. 열은 증류 컬럼(27) 및 배기구(43)를 통해 휘발성 용매에 가해진다. 후속하여, 분리된 반응 생성물은 용기(8)에 수집된다.The filtered agitated mixture (filtrate) (not shown) may be collected in a container (not shown) and transferred to a new location prior to the execution of the next processing step. Alternatively, the filtrate can be directly led to the still pot 4 to separate the reaction product from the filtrate using the heater 28. The filtrate is warmed by the heater 28. The heat is applied to the volatile solvent through the distillation column (27) and the exhaust port (43). Subsequently, the separated reaction product is collected in the vessel 8.

다시 한번, 용기(8)는 다음 공정 단계의 수행 전에 새로운 위치로 이송될 수 있다. 분리된 반응 생성물은 필요한 경우에, 추가 정제를 위해 용기(8)로부터 보일러(6)로 이송될 수 있다. 보일러(6)는 히터(29)에 의해 가열된다. 분리된 반응 생성물은 증류 타워(53), 콘덴서(57), 및 환류 디바이더(reflux divider)(54)를 이용하여 분별 증류에 의해 정제된다. 정제된 반응 생성물은 수집 탱크(7)에 수집된다. 수집 탱크(7)는 배기구(60)를 포함한다.Once again, the vessel 8 can be transported to a new position before performing the next processing step. The separated reaction product can, if necessary, be transferred from the vessel 8 to the boiler 6 for further purification. The boiler (6) is heated by the heater (29). The separated reaction product is purified by fractional distillation using a distillation tower 53, a condenser 57, and a reflux divider 54. The purified reaction product is collected in a collection tank (7). The collection tank (7) includes an exhaust port (60).

도 2는 기술된 방법을 수행하기 위해 적합한 대안적인 예시적 시스템이다. 이러한 대안에서, 반응기(1)는 또한, 도 1의 스틸 포트(4)로서 역할을 한다. 이러한 구현예는 Si-H 함유 요오도디실란의 큰 배치의 합성을 위해 유용할 수 있다. 충분한 혼합 후에, 자켓(2) 중에서의 냉각 매질(미도시됨)은 가열 매질(미도시됨)에 의해 대체된다. 당업자는, 냉각 매질이 또한 가열 매질 및 냉각 매질(예를 들어, MEG) 둘 모두로서 작용할 수 있는 경우에, 냉각 매질의 "재배치"가 필요하지 않을 것임을 인식할 것이다. 대신에, 매질의 온도는 예를 들어, 열교환기(23)를 통해 변경될 수 있다. Figure 2 is an alternative exemplary system suitable for carrying out the described method. In this alternative, the reactor 1 also serves as the steel port 4 of Fig. This embodiment may be useful for the synthesis of large batches of Si-H containing iododisilanes. After sufficient mixing, the cooling medium (not shown) in the jacket 2 is replaced by a heating medium (not shown). Those skilled in the art will recognize that "relocation" of the cooling medium will not be necessary if the cooling medium can also act as both a heating medium and a cooling medium (e.g., MEG). Instead, the temperature of the medium can be changed, for example, via the heat exchanger 23.

휘발성 용매는 증류 컬럼(27) 및 배기구(43)를 통해 혼합물(26)로부터 분리될 수 있다. 후속하여, Si-H 함유 요오도실란은 용기(8)에 수집된다. 잔류하는 용매/염 혼합물은 드레인(19)을 통해 반응기(1)로부터 제거될 수 있으며, 염은 필터(3) 상에 수집된다. 다시 한번, 용기(8)는 다음 공정 단계의 수행 이전에 새로운 위치로 이동될 수 있다. Si-H 함유 요오도실란은 필요한 경우에, 추가 정제를 위해 용기(8)로부터 보일러(6)로 이송될 수 있다. 보일러(6)는 히터(29)에 의해 가열된다. Si-H 함유 요오도실란은 증류 타워(53), 콘덴서(57), 및 환류 디바이더(54)를 이용한 분별 증류에 의해 정제된다. 정제된 Si-H 함유 요오도실란은 수집 탱크(7)에 수집된다. 수집 탱크(7)는 배기구(60)를 포함한다.The volatile solvent can be separated from the mixture 26 via the distillation column 27 and the exhaust port 43. Subsequently, the Si-H containing iodosilane is collected in the vessel 8. The remaining solvent / salt mixture can be removed from the reactor 1 via the drain 19 and the salt is collected on the filter 3. Once again, the vessel 8 can be moved to a new position prior to the execution of the next processing step. The Si-H containing iodosilane can be transferred from the vessel (8) to the boiler (6) for further purification if necessary. The boiler (6) is heated by the heater (29). The Si-H containing iodosilane is purified by fractional distillation using a distillation tower 53, a condenser 57, and a reflux divider 54. The purified Si-H containing iodosilane is collected in a collection tank (7). The collection tank (7) includes an exhaust port (60).

반응은 또한, 가능하게 용매 중에 현탁된, 금속 요오다이드와 함께, 가능하게 용매에 희석된, 할로실란을 공급하고, 플로우 쓰루 반응기(flow through reactor)에서 제어된 체류 시간 및 온도에서 이러한 것을 통과시킴으로써 연속 반응기에서 수행될 수 있다. 각 시약의 흐름은 펌프, 예를 들어, 연동 펌프를 계량함으로써 조절될 수 있다. 반응 혼합물은 이후에 수용 용기에서 수집될 수 있고, 상기 배치 합성 예에서와 같이 분리될 수 있다. 대안적으로, 고체 분획은 예를 들어, 원심분리 펌프(상업적으로 입수 가능함)를 사용하여, 라인에서 제거될 수 있다. 생성물은 또한, 연속 증류 유닛에 여과된 분획을 연속적으로 공급함으로써 용매(들)로부터 분리될 수 있다.The reaction may also be carried out by supplying the halosilane, possibly diluted in a solvent, together with the metal iodide, possibly suspended in a solvent, passing through it at a controlled residence time and temperature in a flow-through reactor In a continuous reactor. The flow of each reagent can be adjusted by metering the pump, e.g., peristaltic pump. The reaction mixture can then be collected in a receiving vessel and can be separated as in the batch synthesis example. Alternatively, the solid fraction can be removed from the line, for example, using a centrifugal pump (commercially available). The product can also be separated from the solvent (s) by continuously feeding the filtered fraction to a continuous distillation unit.

기술된 합성 방법의 장점은 하기와 같다:The advantages of the described synthesis method are as follows:

● 비용, 오염, 및 생성물 분리 문제를 감소시키는데 도움을 주는, 촉매 부재 공정;• Catalytic component processes that help reduce cost, contamination, and product separation problems;

● 요오드 반응물을 사용함으로써 불순물로서 낮은 및 높은-차수(order)의 요오도실란을 형성하는, 종래 기술 반응과 관련된 대부분의 부반응을 본질적으로 제거함;• essentially eliminating most side reactions associated with prior art reactions, which use iodine reactants to form low and high-order iodosilanes as impurities;

● 부반응 및 증가된 불순물 프로파일에 기여할 수 있는, HX 중간 반응 생성물을 생성하지 않으며, 결과적으로, 얻어진 생성물은 종래 기술 Ag, Cu 또는 Sb 안정화제를 필요로 하지 않음;• does not produce HX intermediate reaction products, which can contribute to side reactions and increased impurity profiles, and consequently, the resulting products do not require prior art Ag, Cu or Sb stabilizers;

● 다수의 출발 물질은 저가이고 용이하게 입수 가능함;• Many starting materials are inexpensive and readily available;

● 1 단계-1 포트 반응;Step 1 - 1 port response;

● 공정은 무용매일 수 있음;● Process can be dance every day;

● 단순 정제;● simple purification;

● 낮은 반응 발열성;● Low reaction heat generation;

● 주변 온도(즉, 대략 20℃ 내지 대략 26℃)에서 수행될 수 있음; 및• may be performed at ambient temperature (ie, from about 20 ° C to about 26 ° C); And

● 폐기물 발생이 최소화되고 환경 친화적임.● Waste generation is minimized and environmentally friendly.

상기 기술된 것 모두는 확장 가능한 산업 공정을 개발하는 관점으로부터 유리하다. 추가적으로, 얻어진 생성물은 X2 또는 HX 반응물을 사용하여 제조된 생성물 보다 더욱 안정적이다. 결과적으로, 반응 생성물은 증착된 막의 전기적 성질에 악영향을 미칠 수 있는, Cu와 같은 안정화제의 사용 없이 반도체 산업을 위해 적합한 순도 수준을 유지한다.All of the above are advantageous in terms of developing scalable industrial processes. Additionally, the product obtained is more stable than the product prepared using X 2 or HX reactants. As a result, the reaction product maintains a purity level suitable for the semiconductor industry without the use of stabilizers such as Cu, which can adversely affect the electrical properties of the deposited film.

또한, 증기 증착 방법을 위한 기술된 Si-함유 막 형성 조성물을 사용하는 방법이 기술된다. 기술된 방법은 실리콘-함유 막의 증착을 위한 Si-함유 막 형성 조성물의 용도를 제공한다. 기술된 방법은 반도체, 광전지, LCD-TFT, 또는 평판 타입 디바이스의 제작에서 유용할 수 있다. 본 방법은 기술된 Si-함유 막 형성 조성물의 증기를 안에 기판이 배치된 반응기에 도입하고, 기술된 Si-H 함유 요오도실란의 적어도 일부를 기판 상에 증착 공정을 통해 증착시켜 Si-함유 층을 생성하는 것을 포함한다.Also described is a method of using the described Si-containing film forming composition for a vapor deposition process. The described methods provide the use of Si-containing film-forming compositions for the deposition of silicon-containing films. The described methods may be useful in the fabrication of semiconductors, photovoltaic cells, LCD-TFTs, or flat panel type devices. The method comprises introducing the vapor of the described Si-containing film forming composition into a reactor in which the substrate is disposed and depositing at least a portion of the described Si-H containing iodosilane on the substrate through a deposition process to form a Si- Lt; / RTI >

기술된 방법은 또한, 증기 증착 공정을 이용하여 기판 상에 이종금속-함유 층을 생성하는 것, 및 보다 특히, SiMOx 또는 SiMNx 막의 증착을 제공하며, 여기서, x는 0 내지 4일 수 있으며, M은 Ta, Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, 란타나이드(예를 들어, Er), 또는 이들의 조합이다.The described method also provides for the use of a vapor deposition process to create a heterogeneous metal-containing layer on a substrate, and more particularly to deposition of a SiMO x or SiMN x film, where x can be from 0 to 4 , M is Ta, Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, lanthanide (e.g. Er)

기판 상의 실리콘-함유 층을 생성하는 기술된 방법은 반도체, 광전지, LCD-TFT, 또는 평판 타입 디바이스의 제작에서 유용할 수 있다. 기술된 Si-H 함유 요오도실란은 당해 분야에 공지된 임의의 증기 증착 방법을 이용하여 Si-함유 막을 증착시킬 수 있다. 적합한 증기 증착 방법의 예는 화학적 증기 증착(CVD) 또는 원자층 증착(ALD)을 포함한다. 예시적인 CVD 방법은 열적 CVD, 플라즈마 강화 CVD(PECVD), 펄스화 CVD(PCVD), 저압 CVD(LPCVD), 부기압 CVD(SACVD) 또는 대기압 CVD(APCVD), 유동성 CVD(f-CVD), 금속 유기 화학적 증기 증착(MOCVD), 고온-와이어 CVD(HWCVD, 또한 cat-CVD로서 공지됨, 고온 와이어는 증착 공정을 위한 에너지원으로서 역할을 함), 라디칼 도입 CVD, 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열적 ALD, 플라즈마 강화 ALD(PEALD), 공간 격리 ALD, 고온-와이어 ALD(HWALD), 라디칼 도입 ALD, 및 이들의 조합을 포함한다. 초임계 유체 증착이 또한 사용될 수 있다. 증착 방법은 적합한 단차 피복 및 막 두께 조절을 제공하기 위해 바람직하게, ALD, 공간적 ALD, 또는 PE-ALD이다.Described methods for producing a silicon-containing layer on a substrate may be useful in the fabrication of semiconductors, photovoltaics, LCD-TFTs, or flat panel type devices. The described Si-H containing iodosilanes can deposit Si-containing films using any vapor deposition process known in the art. Examples of suitable vapor deposition processes include chemical vapor deposition (CVD) or atomic layer deposition (ALD). Exemplary CVD methods include thermal CVD, plasma enhanced CVD (PECVD), pulsed CVD (PCVD), low pressure CVD (LPCVD), subatmospheric pressure CVD (SACVD) or atmospheric pressure CVD (APCVD) High temperature-wire CVD (HWCVD, also known as cat-CVD, high temperature wires serve as an energy source for the deposition process), radical-assisted CVD, and combinations thereof. Exemplary ALD methods include thermal ALD, plasma enhanced ALD (PEALD), space isolated ALD, high temperature-wire ALD (HWALD), radical introduced ALD, and combinations thereof. Supercritical fluid deposition may also be used. The deposition method is preferably ALD, spatially ALD, or PE-ALD to provide suitable step coverage and film thickness control.

Si-함유 막 형성 조성물의 증기는 기판을 포함한 반응 챔버에 도입된다. 반응 챔버 내의 온도 및 압력 및 기판의 온도는 기판 상에 Si-H 함유 요오도실란의 적어도 일부의 증기 증착을 위해 적합한 조건에서 유지된다. 다시 말해서, 챔버에 증기화된 조성물의 도입 후에, 챔버 내의 조건은, 증기화된 전구체의 적어도 일부가 실리콘-함유 막을 생성하기 위해 기판 상에 증착되도록 한다. 보조-반응물은 또한, Si-함유 층의 형성에서 도움을 주기 위해 사용될 수 있다.The vapor of the Si-containing film forming composition is introduced into the reaction chamber containing the substrate. The temperature and pressure in the reaction chamber and the temperature of the substrate are maintained under conditions suitable for vapor deposition of at least a portion of the Si-H containing iodosilane on the substrate. In other words, after introduction of the vaporized composition into the chamber, the conditions within the chamber allow at least a portion of the vaporized precursor to be deposited on the substrate to produce a silicon-containing film. The co-reactants can also be used to aid in the formation of the Si-containing layer.

반응 챔버는 증착 방법이 일어나는, 디바이스의 임의의 인클로저(enclosure) 또는 챔버, 예를 들어, 비제한적으로, 평행판 타입 반응기, 냉각벽 타입 반응기, 고온벽 타입 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 다른 이러한 타입의 증착 시스템일 수 있다. 이러한 예시적인 반응 챔버 모두는 ALD 반응 챔버로서 역할을 할 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 760 Torr 범위의 압력에서 유지될 수 있다. 추가적으로, 반응 챔버 내의 온도는 약 20℃ 내지 약 700℃의 범위일 수 있다. 당업자는, 온도가 요망되는 결과를 달성하기 위해 단순한 실험을 통해 최적화될 수 있다는 것을 인식할 것이다.The reaction chamber may be any enclosure or chamber of the device in which the deposition method takes place, such as, but not limited to, a parallel plate type reactor, a cooling wall type reactor, a hot wall type reactor, a single- Reactor, or other such type of deposition system. All of these exemplary reaction chambers can serve as ALD reaction chambers. The reaction chamber may be maintained at a pressure ranging from about 0.5 mTorr to about 760 Torr. Additionally, the temperature in the reaction chamber may range from about 20 캜 to about 700 캜. Those skilled in the art will recognize that the temperature can be optimized through simple experimentation to achieve the desired result.

반응기의 온도는 기판 홀더의 온도를 조절하거나 반응기 벽의 온도를 조절하는 것 중 어느 하나에 의해 조절될 수 있다. 기판을 가열하기 위해 사용되는 디바이스는 당해 분야에 공지되어 있다. 반응기 벽은 충분한 성장 속도에서 그리고 요망되는 물리적 상태 및 조성을 갖는 요망되는 막을 수득하기 위해 충분한 온도까지 가열된다. 반응기 벽이 가열될 수 있는 비-제한적인 예시적인 온도 범위는 대략 20℃ 내지 대략 700℃를 포함한다. 플라즈마 증착 공정이 사용될 때, 증착 온도는 대략 20℃ 내지 대략 550℃의 범위일 수 있다. 대안적으로, 열적 공정이 수행될 때, 증착 온도는 대략 300℃ 내지 대략 700℃의 범위일 수 있다.The temperature of the reactor can be controlled by either adjusting the temperature of the substrate holder or adjusting the temperature of the reactor wall. Devices used to heat the substrate are known in the art. The reactor walls are heated at a sufficient growth rate and to a temperature sufficient to obtain the desired membrane with the desired physical state and composition. A non-limiting exemplary temperature range in which the reactor wall can be heated includes from about 20 占 폚 to about 700 占 폚. When a plasma deposition process is used, the deposition temperature may range from about 20 [deg.] C to about 550 [deg.] C. Alternatively, when a thermal process is performed, the deposition temperature may range from about 300 캜 to about 700 캜.

대안적으로, 기판은 충분한 성장 속도에서 그리고 요망되는 물리적 상태 및 조성을 갖는 요망되는 실리콘-함유 막을 수득하기 위해 충분한 온도까지 가열될 수 있다. 기판이 가열될 수 있는 비-제한적인 예시적인 온도 범위는 150℃ 내지 700℃를 포함한다. 바람직하게, 기판의 온도는 500℃ 또는 그 미만으로 유지된다.Alternatively, the substrate may be heated at a sufficient growth rate and to a temperature sufficient to obtain the desired silicon-containing film having the desired physical state and composition. A non-limiting exemplary temperature range in which the substrate can be heated includes 150 캜 to 700 캜. Preferably, the temperature of the substrate is maintained at or below < RTI ID = 0.0 > 500 C. < / RTI >

실리콘-함유 막이 증착되는 기판의 타입은 의도된 최종 용도에 따라 달라질 것이다. 기판은 일반적으로 공정이 수행되는 물질로서 규정된다. 기판은 반도체, 광전지, 평판, 또는 LCD-TFT 디바이스 제작에서 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 웨이퍼, 예를 들어, 실리콘, 실리카, 유리, Ge, 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 이전 제작 단계로부터 층 상에 증착된 상이한 물질의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 실리콘 층(결정질, 비정질, 다공성 등), 실리콘 옥사이드 층, 실리콘 니트라이드 층, 실리콘 옥시니트라이드 층, 탄소 도핑된 실리콘 옥사이드(SiCOH) 층, 또는 이들의 조합을 포함할 수 있다. 추가적으로, 웨이퍼는 구리 층, 텅스텐 층 또는 금속 층(예를 들어, 백금, 팔라듐, 니켈, 로듐, 또는 금)을 포함할 수 있다. 웨이퍼는 배리어 층, 예를 들어, 망간, 망간 옥사이드, 탄탈, 탄탈 니트라이드 등을 포함할 수 있다. 층은 평평하거나 패턴화될 수 있다. 일부 구현예에서, 기판은 패턴화된 포토레지스트 막으로 코팅될 수 있다. 일부 구현예에서, 기판은 MIM, DRAM, 또는 FeRam 기술에서 유전체 물질(예를 들어, ZrO2 기반 물질, HfO2 기반 물질, TiO2 기반 물질, 희토류 옥사이드 기반 물질, 3원 옥사이드 기반 물질 등)으로서 또는 구리와 저-k 층 사이에 전자이동(electromigration) 배리어 및 접착 층으로서 사용되는 니트라이드-기반 막(예를 들어, TaN)으로부터 사용되는 옥사이드의 층을 포함할 수 있다. 기술된 공정은 웨이퍼 상에 직접적으로 또는 웨이퍼의 상부 상에 하나 또는 그 초과(패턴화된 층이 기판을 형성할 때)의 층 상에 직접적으로 실리콘-함유 층을 증착시킬 수 있다. 또한, 당업자는, 본원에서 사용되는 용어 "막" 또는 "층"이 표면 상에 제공되거나 그 위에 살포되는 일부 물질의 두께를 지칭하며, 표면이 트렌치 또는 라인일 수 있다는 것을 인식할 것이다. 명세서 및 청구범위 전반에 걸쳐, 웨이퍼 및 그 위의 임의의 관련된 층은 기판으로서 지칭된다. 사용되는 실제 기판은 또한, 사용되는 특정 전구체 구현예에 의존적일 수 있다. 여러 경우에서, 사용되는 바람직한 기판은 수소화된 탄소, TiN, SRO, Ru, 및 Si 타입 기판, 예를 들어, 폴리실리콘 또는 결정질 실리콘 기판으로부터 선택될 것이다.The type of substrate on which the silicon-containing film is deposited will vary depending on the intended end use. The substrate is generally defined as the material on which the process is performed. The substrate may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device fabrication. Examples of suitable substrates include wafers, e.g., silicon, silica, glass, Ge, or GaAs wafers. The wafer may have one or more layers of different materials deposited on the layer from previous fabrication steps. For example, the wafer may comprise a silicon layer (crystalline, amorphous, porous, etc.), a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a carbon doped silicon oxide (SiCOH) layer, have. Additionally, the wafer may comprise a copper layer, a tungsten layer, or a metal layer (e.g., platinum, palladium, nickel, rhodium, or gold). The wafer may include a barrier layer, for example, manganese, manganese oxide, tantalum, tantalum nitride, and the like. The layer may be flat or patterned. In some embodiments, the substrate may be coated with a patterned photoresist film. In some embodiments, the substrate can be a dielectric material (e.g., a ZrO 2 -based material, a HfO 2 -based material, a TiO 2 -based material, a rare earth oxide-based material, a ternary oxide based material, etc.) in a MIM, DRAM, or FeRAM technology Or a layer of an oxide used from a nitride-based film (e.g., TaN) used as an adhesion layer and an electromigration barrier between copper and a low-k layer. The process described can deposit a silicon-containing layer directly on one or more layers (when the patterned layer forms a substrate) directly on the wafer or on top of the wafer. In addition, those skilled in the art will recognize that the term "membrane" or "layer " as used herein refers to the thickness of some material provided on or spread over a surface and that the surface can be a trench or line. Throughout the specification and claims, the wafer and any associated layers thereon are referred to as substrates. The actual substrate used may also depend on the particular precursor embodiment being used. In many cases, the preferred substrate used will be selected from hydrogenated carbon, TiN, SRO, Ru, and Si type substrates, e.g., polysilicon or crystalline silicon substrates.

기판은 고종횡비를 갖는 비아 또는 트렌치를 포함하도록 패턴화될 수 있다. 예를 들어, 컨포멀한 Si-함유 막, 예를 들어, SiO2는 대략 20:1 내지 대략 100:1 범위의 종횡비를 갖는 쓰루 실리콘 비아(TSV; through silicon via) 상에 임의의 ALD 기술을 이용하여 증착될 수 있다.The substrate may be patterned to include vias or trenches having a high aspect ratio. For example, a conformal Si-containing film, such as SiO 2 , can be deposited using any ALD technique on a through silicon via (TSV) having an aspect ratio ranging from approximately 20: 1 to approximately 100: 1 Can be deposited.

Si-함유 막 형성 조성물은 순수한 상태(neat)로 공급될 수 있다. 대안적으로, Si-함유 막 형성 조성물은 증기 증착에서 사용하는데 적합한 용매를 추가로 포함할 수 있다. 용매는 다른 것들 중에서, C1-C16 포화되거나 불포화된 탄화수소로부터 선택될 수 있다.The Si-containing film forming composition may be supplied in a neat state. Alternatively, the Si-containing film-forming composition may further comprise a solvent suitable for use in vapor deposition. The solvent may be selected among others, C 1 -C 16 saturated or unsaturated hydrocarbons.

증기 증착을 위해, Si-함유 막 형성 조성물은 통상적인 수단, 예를 들어, 배관 및/또는 유량계에 의해 증기 형태로 반응기에 도입된다. 증기 형태는 통상적인 증기화 단계, 예를 들어, 직접 액체 주입, 운반 가스의 부재 하에 직접 증기 인출, 액체를 통해 운반 가스를 버블링함으로써, 액체를 통한 버블링 없이 운반 가스 중의 증기를 증발시킴으로써, 또는 전구체가 실온에서 고체일 때, PCT 공개번호 WO2009/087609호(Xu et al.)에 기술된 것과 같은 승화기를 이용함으로써 Si-함유 막 형성 조성물을 증기화시킴으로써 형성될 수 있다. Si-함유 막 형성 조성물은 기화기에 액체 상태로 공급될 수 있으며(직접 액체 주입), 여기서, 이는 증기화되고 운반 가스와 혼합되고, 이후에, 이는 반응기에 도입된다. 대안적으로, Si-함유 막 형성 조성물은 조성물을 함유한 컨테이너로 운반 가스를 통과시킴으로써, 또는 조성물에 운반 가스를 버블링시킴으로써 증기화될 수 있다. 운반 가스는 Ar, He, 또는 N2, 및 이들의 혼합물을 포함할 수 있지만, 이로 제한되지 않는다. 운반 가스 및 조성물은 이후에 증기로서 반응기에 도입된다.For vapor deposition, the Si-containing film-forming composition is introduced into the reactor in the form of a vapor by conventional means, for example, piping and / or flow meters. The vapor form can be obtained by conventional vaporization steps, for example direct liquid injection, direct vapor withdrawal in the absence of carrier gas, vaporization of the vapor in the carrier gas without bubbling through the liquid by bubbling the carrier gas through the liquid, Or by vaporizing the Si-containing film forming composition using a sublimator such as that described in PCT Publication No. WO2009 / 087609 (Xu et al.) When the precursor is a solid at room temperature. The Si-containing film-forming composition can be fed to the vaporizer in a liquid state (direct liquid injection), where it is vaporized and mixed with the carrier gas, which is then introduced into the reactor. Alternatively, the Si-containing film-forming composition may be vaporized by passing the carrier gas through a container containing the composition, or by bubbling a carrier gas into the composition. Carrier gas, but may include Ar, He, or N 2, and mixtures thereof, without limitation. The carrier gas and the composition are then introduced into the reactor as steam.

Si-함유 막 형성 조성물은 도 3 내지 도 5의 Si-함유 막 형성 조성물 전달 디바이스에 의해 반응기 또는 증기 증착 챔버에 전달될 수 있으며, 도 3 내지 도 5는 Si-함유 막 형성 조성물 전달 디바이스의 세 개의 예시적인 구현예를 도시한 것이다. 상기에서 상세히 논의되고 하기 실시예에 예시되는 바와 같이, 전달 디바이스는 깨끗하고 건조되고, Si-H 함유 막 형성 조성물과 반응하지 않는 물질로 제조되어야 한다.Si- containing film-forming composition can be delivered to the reactor or a vapor deposition chamber by the film-forming composition Si- containing delivery device of Figures 3 to 5, 3 to 5 years is contained in the film-forming composition Si- delivery device Lt; RTI ID = 0.0 > example. ≪ / RTI > As discussed in detail above and illustrated in the Examples below, the delivery device should be made of a material that is clean, dry, and does not react with the Si-H containing film forming composition.

도 3은 Si-함유 막 형성 조성물 반응물 전달 디바이스(101)의 일 구현예의 측면도이다. 도 3에서, 기술된 Si-함유 막 형성 조성물(110)은 두 개의 도관, 즉 유입구 도관(300) 및 유출구 도관(400)을 갖는 컨테이너(200) 내에 함유된다. 반응물 분야의 당업자는, 컨테이너(200), 유입구 도관(300), 및 유출구 도관(400)이 심지어 상승된 온도 및 압력에서도, 가스상 형태의 Si-함유 막 형성 조성물(110)의 배출을 방지하기 위해 제작된다는 것을 인식할 것이다. 3 is a side view of one embodiment of a Si-containing film forming composition reactant delivery device 101. Fig. 3 , the described Si-containing film-forming composition 110 is contained in a container 200 having two conduits, the inlet conduit 300 and the outlet conduit 400. Those skilled in the art of reactives will appreciate that the container 200, the inlet conduit 300, and the outlet conduit 400, even at elevated temperatures and pressures, may be used to prevent the release of the gaseous form of the Si- Will be made.

전달 디바이스(101)의 유출구 도관(400)은 밸브(700)를 통해, 반응기(미도시됨) 또는 전달 디바이스와 반응기 사이의 다른 부품, 예를 들어, 가스 캐비넷에 유체적으로 연결된다. 바람직하게, 컨테이너(200), 유입구 도관(300), 밸브(600), 유출구 도관(400), 및 밸브(700)는 패시베이션된 316L EP 또는 304 패시베이션된 스테인레스강으로 제조된다. 그러나, 당업자는 다른 비-반응성 물질이 또한 본원의 교시에 사용될 수 있다는 것을 인식할 것이다.The outlet conduit 400 of the delivery device 101 is fluidly connected via valve 700 to a reactor (not shown) or other component between the delivery device and the reactor, for example, a gas cabinet. Preferably, the container 200, the inlet conduit 300, the valve 600, the outlet conduit 400, and the valve 700 are made of passivated 316L EP or 304 passivated stainless steel. However, those skilled in the art will recognize that other non-reactive materials may also be used in the teachings herein.

도 3에서, 유입구 도관(300)의 단부(800)는 Si-함유 막 형성 조성물(110)의 표면 위에 위치되며, 유출구 도관(400)의 단부(900)는 Si-함유 막 형성 조성물(110)의 표면 아래에 위치된다. 이러한 구현예에서, Si-함유 막 형성 조성물(110)은 바람직하게, 액체 형태이다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는 불활성 가스는 유입구 도관(300)에 도입될 수 있다. 액체 Si-함유 막 형성 조성물(110)이 유출구 도관(400)을 통해 그리고 반응기(미도시됨)로 가압되도록, 불활성 가스는 전달 디바이스(200)를 압축시킨다. 반응기는 막이 형성되는 기판에 증기를 전달하기 위해, 운반 가스, 예를 들어, 헬륨, 아르곤, 질소 또는 이들의 혼합물을 사용하거나, 사용하지 않으면서, 액체 Si-함유 막 형성 조성물(110)을 증기로 변환시키는 기화기를 포함할 수 있다. 대안적으로, 액체 Si-함유 막 형성 조성물(110)은 제트 또는 에어로졸로서 웨이퍼 표면에 직접적으로 전달될 수 있다. 3 , an end 800 of the inlet conduit 300 is positioned over the surface of the Si-containing film forming composition 110 and an end 900 of the outlet conduit 400 is positioned within the Si- As shown in FIG. In this embodiment, the Si-containing film forming composition 110 is preferably in a liquid form. An inert gas, including, but not limited to, nitrogen, argon, helium, and mixtures thereof, may be introduced into the inlet conduit 300. The inert gas compresses the delivery device 200 such that the liquid Si-containing film forming composition 110 is forced through the outlet conduit 400 and into the reactor (not shown). Containing film forming composition 110 with or without the use of a carrier gas such as helium, argon, nitrogen or a mixture thereof to deliver the vapor to the substrate on which the film is formed. Into a vaporizer. Alternatively, the liquid Si-containing film forming composition 110 may be delivered directly to the wafer surface as a jet or aerosol.

도 4는 Si-함유 막 형성 조성물 전달 디바이스(101)의 제2 구현예의 측면도이다. 도 4에서, 유입구 도관(300)의 단부(800)는 Si-함유 막 형성 조성물(110)의 표면 아래에 위치되며, 유출구 도관(400)의 단부(900)는 Si-함유 막 형성 조성물(110)의 표면 위에 위치된다. 도 2는 또한 선택적 가열 부재(140)를 포함하는데, 이는 Si-함유 막 형성 조성물(110)의 온도를 증가시킬 수 있다. 이러한 구현예에서, Si-함유 막 형성 조성물(110)은 고체 또는 액체 형태일 수 있다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는 불활성 가스는 유입구 도관(300)에 도입된다. 불활성 가스는 Si-함유 막 형성 조성물(110)을 통해 버블링되고, 불활성 가스와 증기화된 Si-함유 막 형성 조성물(110)의 혼합물을 유출구 도관(400)으로 그리고 반응기 상으로 운반시킨다. 4 is a side view of a second embodiment of the Si-containing film forming composition delivery device 101. Fig. In Figure 4, the end portion 800 of the inlet conduit 300 is positioned below the surface of the film-forming composition containing (110) Si-, end 900 Si- containing film-forming composition (110 of the outlet conduit (400) ). 2 also includes a selective heating element 140, which can increase the temperature of the Si-containing film forming composition 110. In this embodiment, the Si-containing film forming composition 110 may be in solid or liquid form. An inert gas, including, but not limited to, nitrogen, argon, helium, and mixtures thereof is introduced into the inlet conduit 300. The inert gas is bubbled through the Si-containing film forming composition 110 and transports the mixture of the inert gas and the vaporized Si-containing film forming composition 110 to the outlet conduit 400 and onto the reactor.

도 3 및 도 4는 밸브(600) 및 밸브(700)를 포함한다. 당업자는, 밸브(600) 및 밸브(700)가 각각 도관(300) 및 도관(400)을 통해 흐를 수 있도록 개방 위치 또는 폐쇄 위치에 배치될 수 있다는 것을 인식할 것이다. 도 3 및 도 4에서의 전달 디바이스(101), 또는 존재하는 임의의 고체 또는 액체의 표면 위에서 종결되는 단일 도관을 갖는 보다 단순한 전달 디바이스는, Si-함유 막 형성 조성물(110)이 증기 형태인 경우 또는 충분한 증기압이 고체/액체 상 위에 존재하는 경우에 사용될 수 있다. 이러한 경우에, Si-함유 막 형성 조성물(110)은 도 3에서 밸브(600) 또는 도 4에서 밸브(7)를 간단하게 개방함으로써 도관(300) 또는 도관(400)을 통해 증기 형태로 전달된다. 전달 디바이스(101)는 예를 들어, 선택적 가열 부재(140)의 사용에 의해, Si-함유 막 형성 조성물(110)을 증기 형태로 전달하기 위한 충분한 증기압을 제공하는데 적합한 온도에서 유지될 수 있다. Figures 3 and 4 include valve 600 and valve 700. Those skilled in the art will appreciate that valve 600 and valve 700 may be positioned in an open or closed position such that they may flow through conduit 300 and conduit 400, respectively. A simpler delivery device having a single conduit terminated on the surface of the delivery device 101 in Figs. 3 and 4 , or any solid or liquid present, can be used when the Si-containing film-forming composition 110 is in the vapor form Or when a sufficient vapor pressure is present on the solid / liquid phase. In this case, the Si-containing film forming composition 110 is delivered in vapor form through conduit 300 or conduit 400 by simply opening valve 600 in FIG. 3 or valve 7 in FIG. 4 . The delivery device 101 may be maintained at a temperature suitable to provide sufficient vapor pressure to deliver the Si-containing film forming composition 110 in vapor form, for example, by use of a selective heating member 140.

도 3 및 도 4가 Si-함유 막 형성 조성물 전달 디바이스(101)의 두 구현예를 기술하고 있지만, 당업자는, 유입구 도관(300) 및 유출구 도관(400) 둘 모두가 또한, 본원의 설명을 벗어나지 않으면서 Si-함유 막 형성 조성물(110)의 표면 위 또는 아래에 위치될 수 있다는 것을 인식할 것이다. 또한, 유입구 도관(300)은 충전 포트일 수 있다. Although Figures 3 and 4 describe two embodiments of the Si-containing film forming composition delivery device 101, those skilled in the art will appreciate that both the inlet conduit 300 and the outlet conduit 400 may also < RTI ID = 0.0 > Forming film-forming composition 110 without being exposed to the surface of the Si-containing film-forming composition 110. In addition, the inlet conduit 300 may be a charging port.

고체 형태의 Si-함유 막 형성 조성물의 증기는 승화기를 이용하여 반응기로 전달될 수 있다. 도 5는 예시적 승화기(100)의 일 구현예를 도시한 것이다. 승화기(100)는 컨테이너(33)를 포함한다. 컨테이너(33)는 실린더형 컨테이너일 수 있거나, 대안적으로, 비제한적으로, 임의의 형상일 수 있다. 컨테이너(33)는 비제한적으로, 패시베이션된 스테인레스강, 알루미늄 옥사이드, 유리, 및 다른 화학적으로 양립 가능한 물질과 같은 물질로 구성된다. 특정 경우에, 컨테이너(33)는 비제한적으로, 다른 금속 또는 금속 합금으로 구성된다. 특정 경우에, 컨테이너(33)는 약 8 센티미터 내지 약 55 센티미터의 내부 직경, 및 대안적으로, 약 8 센티미터 내지 약 30 센티미터의 내부 직경을 갖는다. 당업자에 의해 이해되는 바와 같이, 대체 구성은 상이한 치수를 가질 수 있다.The vapor of the Si-containing film-forming composition in solid form can be transferred to the reactor using a sublimator. FIG. 5 illustrates one implementation of an exemplary sublimator 100. FIG. The sublimator (100) includes a container (33). The container 33 may be a cylindrical container or, alternatively, but not limited to, any shape. Container 33 is comprised of materials such as, but not limited to, passivated stainless steel, aluminum oxide, glass, and other chemically compatible materials. In certain cases, the container 33 is comprised of, but not limited to, another metal or metal alloy. In certain instances, the container 33 has an inner diameter of about 8 centimeters to about 55 centimeters, and, alternatively, an inner diameter of about 8 centimeters to about 30 centimeters. As will be appreciated by those skilled in the art, alternative configurations may have different dimensions.

컨테이너(33)는 시일링 가능한 상단(sealable top)(15), 시일링 부재(18), 및 가스켓(20)을 포함한다. 시일링 가능한 상단(15)은 외부 환경으로부터 컨테이너(33)를 시일링하도록 구성된다. 시일링 가능한 상단(15)은 컨테이너(33)로의 접근을 가능하게 하도록 구성된다. 추가적으로, 시일링 가능한 상단(15)은 컨테이너(33)로의 도관의 통과를 위해 구성된다. 대안적으로, 시일링 가능한 상단(15)은 컨테이너(33)로의 유체 흐름을 가능하게 하도록 구성된다. 시일링 가능한 상단(15)은 컨테이너(33)와 접촉하는 유체 중에 존재하기 위해 딥 튜브(dip tube)(92)를 포함하는 도관을 수용하고 이를 통과하도록 구성된다. 조절 밸브(90) 및 피팅(fitting)(95)을 갖는 딥 튜브(92)는 운반 가스를 컨테이너(33)로 흐르도록 구성된다. 특정 경우에, 딥 튜브(92)는 컨테이너(33)의 중심축 아래로 확장한다. 또한, 시일링 가능한 상단(15)은 유출구 튜브(12)를 포함하는 도관을 수용하고 이를 통과하도록 구성된다. 운반 가스 및 Si-함유 막 형성 조성물의 증기는 유출구 튜브(12)를 통해 컨테이너(33)로부터 제거된다. 유출구 튜브(12)는 조절 밸브(10) 및 피팅(5)을 포함한다. 특정 경우에, 유출구 튜브(12)는 운반 가스를 승화기(100)에서 반응기로 안내하기 위해 가스 전달 매니폴드에 유체적으로 결합된다.The container 33 includes a sealable top 15, a sealing member 18, and a gasket 20. The sealable top 15 is configured to seal the container 33 from the external environment. The sealable top 15 is configured to allow access to the container 33. In addition, the sealable top 15 is configured for passage of the conduit to the container 33. Alternatively, the sealable top 15 is configured to allow fluid flow to the container 33. The sealable top 15 is configured to receive and pass through a conduit containing a dip tube 92 to be present in the fluid in contact with the container 33. A dip tube 92 having a control valve 90 and a fitting 95 is configured to flow the carrier gas into the container 33. In certain cases, the dip tube 92 extends below the center axis of the container 33. In addition, a sealable top 15 is configured to receive and pass through the conduit including the outlet tube 12. The vapor of the carrier gas and the Si-containing film-forming composition is removed from the container 33 via the outlet tube 12. The outlet tube (12) includes a control valve (10) and a fitting (5). In certain instances, the outlet tube 12 is fluidly coupled to the gas delivery manifold to direct the carrier gas from the sublimator 100 to the reactor.

컨테이너(33) 및 시일링 가능한 상단(15)은 적어도 두 개의 시일링 부재(18)에 의해, 대안적으로, 적어도 약 4개의 시일링 부재에 의해 시일링된다. 특정 경우에, 시일링 가능한 상단(15)은 적어도 약 8개의 시일링 부재(18)에 의해 컨테이너(33)에 시일링된다. 당업자에 의해 이해되는 바와 같이, 시일링 부재(18)는 시일링 가능한 상단(15)을 컨테이너(33)에 탈착 가능하게 결합시키고, 가스켓(20)을 구비한 가스 내성 시일(gas resistant seal)을 형성시킨다. 시일링 부재(18)는 컨테이너(33)를 시일링하기 위해 당업자에게 공지된 임의의 적합한 수단을 포함할 수 있다. 특정 경우에, 시일링 부재(18)는 수나사(thumbscrew)를 포함한다.The container 33 and the sealable top 15 are sealed by at least two sealing members 18, alternatively by at least about four sealing members. In certain cases, the sealable top 15 is sealed to the container 33 by at least about eight sealing members 18. As will be appreciated by those skilled in the art, the sealing member 18 includes a gas-resistant seal with a gasket 20, . The sealing member 18 may comprise any suitable means known to those skilled in the art for sealing the container 33. In certain cases, the sealing member 18 includes a thumbscrew.

도 5에 예시된 바와 같이, 컨테이너(33)는 여기에 배치된 적어도 하나의 디스크를 추가로 포함한다. 디스크는 고체 물질을 위한, 선반, 또는 수평 지지체를 포함한다. 특정 구현예에서, 디스크(30)가 컨테이너(33)의 내부 직경 또는 원주 미만인 외부 직경 또는 원주를 포함하여, 내부 디스크(30)는 컨테이너(33) 내에 환형으로 배치되어, 개구(31)를 형성한다. 디스크(86)가 컨테이너(33)의 내부 직경과 동일하거나, 대략 동일하거나, 일반적으로 일치하는 외부 직경 또는 원주를 포함하도록, 외부 디스크(86)는 컨테이너(33) 내에 원주로 배치된다. 외부 디스크(86)는 디스크의 중심에 배치된 개구(87)를 형성시킨다. 복수의 디스크는 컨테이너(33) 내에 배치된다. 디스크는 교대 방식으로 적층되며, 여기서, 내부 디스크(30, 34, 36, 44)는 교대 외부 디스크(62, 78, 82, 86)를 갖는 컨테이너 내에 수직으로 적층된다. 구현예에서, 내부 디스크(30, 34, 36, 44)는 외측으로 환형으로 확장하며, 외부 디스크(62, 78, 82, 86)는 컨테이너(33)의 중심 쪽으로 환형으로 확장한다. 도 5의 구현예에 예시된 바와 같이, 내부 디스크(30, 34, 36, 44)는 외부 디스크(62, 78, 82, 86)과 물리적으로 접촉하지 않는다.As illustrated in Figure 5 , the container 33 further comprises at least one disc disposed therein. The disc comprises a shelf, or a horizontal support, for solid materials. The inner disk 30 is annularly disposed within the container 33 such that the disk 30 includes an outer diameter or circumference that is less than the inner diameter or circumference of the container 33, do. The outer disc 86 is circumferentially disposed in the container 33 so that the disc 86 includes an outer diameter or circumference equal to, substantially the same as, or generally coinciding with the inner diameter of the container 33. The outer disc 86 forms an opening 87 disposed in the center of the disc. The plurality of discs are disposed in the container 33. [ The disks are stacked in an alternating fashion, wherein the inner disks 30, 34, 36, 44 are vertically stacked in a container with alternating outer disks 62, 78, 82, 86. In the embodiment, the inner disks 30, 34, 36 and 44 extend annularly outwardly and the outer disks 62, 78, 82 and 86 extend annularly towards the center of the container 33. As illustrated in the embodiment of FIG. 5 , the inner disks 30, 34, 36, 44 do not physically contact the outer disks 62, 78, 82, 86.

어셈블링된 승화기(100)는 정렬된 및 결합된 지지체 다리(50), 내부 통로(51), 동심원 벽(concentric wall)(40, 41, 42), 및 동심원 슬롯(47, 48, 49)을 포함하는 내부 디스크(30, 34, 36, 44)를 포함한다. 내부 디스크(30, 34, 36, 44)는 수직으로 적층되고, 딥 튜브(92) 주변에 환형으로 배향된다. 추가적으로, 승화기는 외부 디스크(62, 78, 82, 86)를 포함한다. 도 3에 예시된 바와 같이, 외부 디스크(62, 78, 82, 86)는 열을 컨테이너(33)에서 디스크(62, 78, 82, 86)로 전도시키기 위한 양호한 접촉을 위해 컨테이너(33)에 밀착되게 꼭 들어맞아야 한다. 바람직하게, 외부 디스크(62, 78, 82, 86)는 컨테이너(33)의 내부 벽에 결합되거나, 이러한 내부 벽과 물리적 접촉한다.The assembled sublimator 100 includes an arrayed and coupled support leg 50, an internal passageway 51, concentric walls 40,41 and 42 and concentric slots 47,48 and 49, (30, 34, 36, 44). The inner disks 30, 34, 36, 44 are stacked vertically and are annularly oriented around the dip tube 92. In addition, the sublimator includes external disks 62, 78, 82, 86. 3, the external disks 62, 78, 82, 86 are connected to the container 33 for good contact to conduct heat from the container 33 to the disks 62, 78, 82, It must fit tightly. Preferably, the external disks 62, 78, 82, 86 are coupled to, or in physical contact with, the interior walls of the container 33.

예시된 바와 같이, 외부 디스크(62, 78, 82, 86) 및 내부 디스크(30, 34, 36, 44)는 컨테이너(33) 내측에 적층된다. 승화기(100)를 형성시키기 위해 컨테이너(33)에 어셈블링될 때, 내부 디스크(30, 34, 36, 44)는 어셈블링된 외부 디스크(62, 78, 82, 86) 사이에 외부 가스 통로(31, 35, 37, 45)를 형성시킨다. 또한, 외부 디스크(62, 78, 82, 86)는 내부 디스크(30, 34, 36, 44)의 지지체 다리를 갖는 내부 가스 통로(56, 79, 83, 87)를 형성시킨다. 내부 디스크(30, 34, 36, 44)의 벽(40, 41, 42)은 고체 전구체를 유지하기 위한 홈이 있는 슬롯(grooved slot)을 형성시킨다. 외부 디스크(62, 78, 82, 86)는 고체 전구체를 유지하기 위한 벽(68, 69, 70)을 포함한다. 어셈블리 동안, 고체 전구체는 내부 디스크(30, 34, 36, 44)의 환형 슬롯(47, 48, 49), 및 외부 디스크(62, 78, 82, 86)의 환형 슬롯(64, 65, 66)에 로딩된다.As illustrated, the outer disks 62, 78, 82, 86 and the inner disks 30, 34, 36, 44 are stacked inside the container 33. [ When assembled into the container 33 to form the sublimator 100, the inner disc 30, 34, 36, 44 is sandwiched between the outer disc 62, 78, 82, (31, 35, 37, 45). The external disks 62, 78, 82, 86 also form internal gas passages 56, 79, 83, 87 having support legs of the internal disks 30, 34, 36, 44. The walls 40, 41, 42 of the inner disks 30, 34, 36, 44 form a grooved slot for holding the solid precursor. External disks 62, 78, 82, 86 include walls 68, 69, 70 for holding a solid precursor. During assembly, the solid precursor is inserted into annular slots 47, 48, 49 of the inner disks 30, 34, 36, 44 and annular slots 64, 65, 66 of the outer disks 62, 78, Lt; / RTI >

약 1 센티미터 미만, 대안적으로 약 0.5 센티미터 미만, 및 대안적으로 약 0.1 센티미터 미만의 크기의 고체 분말 및/또는 과립 입자는 내부 디스크(30, 34, 36, 44)의 환형 슬롯(47, 48, 49), 및 외부 디스크(62, 78, 82, 86)의 환형 슬롯(64, 65, 66)에 로딩된다. 고체 전구체는 환형 슬롯에서 고체의 균일한 분포를 위해 적합한 임의의 방법에 의해 각 디스크의 환형 슬롯에 로딩된다. 적합한 방법은 비제한적으로, 직접 붓기(direct pour), 스쿠프(scoop) 사용, 깔대기 사용, 자동화된 측정된 전달, 및 가압된 전달을 포함한다. 고체 전구체 물질의 화학적 성질에 따라, 로딩은 시일링된 환경에서 수행될 수 있다. 추가적으로, 시일링된 박스에서의 불활성 가스 분위기 및/또는 가압(pressurization)은 그러한 독성, 휘발성, 산화 가능한, 및/또는 공기 민감성 고체에 대해 실행될 수 있다. 각 디스크는 컨테이너(33)에 디스크를 셋팅한 후에 로딩될 수 있다. 더욱 바람직한 절차는 컨테이너(33)에 디스크를 셋팅하기 전에 고체를 로딩하는 것이다. 승화기에 로딩된 고체 전구체의 전체 중량은 로딩 공정 전 및 후에, 승화기를 계량함으로써 기록될 수 있다. 또한, 소비된 고체 전구체는 증기화 및 증착 공정 후에 승화기를 계량함으로써 계산될 수 있다.Solid powder and / or granular particles of a size of less than about 1 centimeter, alternatively less than about 0.5 centimeter, and alternatively less than about 0.1 centimeter, are formed in annular slots 47, 48 65 and 66 of the external disks 62, 78, 82 and 86. The external disks 62, 78, The solid precursor is loaded into the annular slot of each disk by any suitable method for uniform distribution of solids in the annular slot. Suitable methods include, but are not limited to, direct pour, use of scoop, use of a funnel, automated measured delivery, and pressurized delivery. Depending on the chemical nature of the solid precursor material, loading can be performed in a sealed environment. Additionally, the inert gas atmosphere and / or pressurization in the sealed box can be performed on such toxic, volatile, oxidizable, and / or air-sensitive solids. Each disk can be loaded after setting the disk in the container 33. [ A more preferred procedure is to load the solid before setting the disc in the container 33. The total weight of the solid precursor loaded in the sublimator may be recorded by metering the sublimation before and after the loading process. In addition, the spent solid precursor can be calculated by metering the sublimation after the vaporization and deposition process.

조절 밸브(90) 및 피팅(95)을 갖는 딥 튜브(92)는 내부 디스크(30, 34, 36, 44)의 정렬되고 결합된 지지체 다리의 중심 통로(51)에 정위된다. 이에 따라, 딥 튜브(92)는 내부 통로(51)를 통해 컨테이너(33)의 하부(58) 쪽으로 수직으로 진행한다. 딥 튜브 단부(55)는 가스 윈도우(52)에/또는 그 위에 컨테이너의 하부(58)의 근위에 배치된다. 가스 윈도우(52)는 하부 내부 디스크(44)에 배치된다. 가스 윈도우(52)는 딥 튜브(92) 밖으로의 운반 가스 흐름을 가능하게 하도록 구성된다. 어셈블링된 승화기(100)에서, 가스 통로(59)는 컨테이너(33)의 하부 표면(58) 및 하부 내부 디스크(44)에 의해 형성된다. 특정 경우에, 가스 통로(59)는 운반 가스를 가열시키도록 구성된다.A dip tube 92 having a control valve 90 and a fitting 95 is positioned in the central passage 51 of the aligned and mated support leg of the inner disc 30, 34, 36, 44. Accordingly, the diptube 92 advances vertically toward the lower portion 58 of the container 33 through the inner passage 51. Dip tube end 55 is disposed proximal to the lower portion 58 of the container in / on the gas window 52. A gas window (52) is disposed in the lower internal disk (44). The gas window 52 is configured to allow transport gas flow out of the dip tube 92. In the assembled sublimator 100, the gas passageway 59 is defined by the lower surface 58 of the container 33 and the lower internal disk 44. In certain cases, the gas passage 59 is configured to heat the carrier gas.

작동 시에, 운반 가스는 딥 튜브(92)를 통해 컨테이너(33)에 도입 이전에 예열된다. 대안적으로, 운반 가스는 가열될 수 있으며, 그 동안 하부 표면(58)에 의해 가스 통로(59)를 통해 흐른다. 하부 표면(58)은 본원의 교시와 일치하게, 외부 히터에 의해 열적으로 결합되고/거나 가열된다. 운반 가스는 이후에, 내부 디스크(44)의 외부 벽(42) 및 외부 디스크(62)의 외측 벽(61)에 의해 형성된 가스 통로(45)로 통과한다. 가스 통로(45)는 내부 디스크(44)의 상부로 이른다. 운반 가스는 환형 슬롯(47, 48, 및 49)에 로딩된 고체 전구체의 상부에 걸쳐 연속적으로 흐른다. 환형 슬롯(47, 48, 49)으로부터의 승화된 고체 증기는 운반 가스와 혼합되고, 컨테이너(33)를 통해 수직 상향으로 흐른다.In operation, the carrier gas is preheated prior to introduction into the container 33 via the dip tube 92. Alternatively, the carrier gas may be heated, while it flows through the gas passageway 59 by the lower surface 58. The lower surface 58 is thermally coupled and / or heated by an external heater in accordance with the teachings herein. The carrier gas then passes to the gas passageway 45 formed by the outer wall 42 of the inner disk 44 and the outer wall 61 of the outer disk 62. The gas passage 45 leads to the top of the inner disk 44. The carrier gas flows continuously over the top of the solid precursor loaded in the annular slots 47, 48, and 49. The sublimed solid vapors from the annular slots 47, 48, 49 mix with the carrier gas and flow vertically upward through the container 33.

도 5가 임의의 고체 Si-함유 막 형성 조성물의 증기를 반응기로 전달할 수 있는 승화기의 일 구현예를 기술하지만, 당업자는, 본원의 교시로부터 벗어나지 않으면서, 다른 승화기 디자인이 또한 적합할 수 있다는 것을 인식할 것이다. 마지막으로, 당업자는, 기술된 Si-함유 막 형성 조성물이 본원의 교시를 벗어나지 않으면서, WO 2006/059187호(Jurcik et al.)에 기술된 앰플과 같은 다른 전달 디바이스를 사용하여 반도체 가공 툴로 전달될 수 있다는 것을 인식할 것이다. Although FIG. 5 describes one embodiment of a sublimator capable of delivering the vapor of any solid Si-containing film forming composition to the reactor, those skilled in the art will appreciate that other sublimator designs may also be suitable without departing from the teachings herein . Finally, those skilled in the art will appreciate that the described Si-containing film forming compositions can be delivered to semiconductor processing tools using other delivery devices such as the ampoules described in WO 2006/059187 (Jurcik et al.) Without departing from the teachings herein. As shown in FIG.

필요한 경우에, 도 3 내지 도 5의 Si-함유 막 형성 조성물 디바이스는 Si-함유 막 형성 조성물이 이의 액체 상으로 존재하고 충분한 증기압을 갖게 하는 온도로 가열될 수 있다. 전달 디바이스는 예를 들어, 0 내지 150℃ 범위의 온도에서 유지될 수 있다. 당업자는, 전달 디바이스의 온도가 증기화된 Si-함유 막 형성 조성물의 양을 조절하기 위해 공지된 방식으로 조정될 수 있다는 것일 인식한다.If necessary, 3 to a film-forming composition Si- containing device of Figure 5 may be heated to a temperature at which the Si- containing film-forming composition present in the liquid phase thereof, and have a sufficient vapor pressure. The delivery device can be maintained, for example, at a temperature in the range of 0-150 < 0 > C. Those skilled in the art will appreciate that the temperature of the delivery device can be adjusted in a known manner to control the amount of vaporized Si-containing film forming composition.

기술된 조성물 이외에, 반응 가스가 또한, 반응기에 도입될 수 있다. 반응 가스는 산화제, 예를 들어, O2; O3; H2O; H2O2; 산소 함유 라디칼, 예를 들어, O· 또는 OH·; NO; NO2; 카르복실산, 예를 들어, 포름산, 아세트산, 프로피온산; NO, NO2, 또는 카르복실산의 라디칼 종; 파라-포름알데히드; 및 이들의 혼합물일 수 있다. 바람직하게, 산화제는 O2, O3, H2O, H2O2, 이의 산소 함유 라디칼, 예를 들어, O· 또는 OH·, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게, ALD 공정이 수행될 때, 보조-반응물은 플라즈마 처리된 산소, 오존, 또는 이들의 조합이다. 산화 가스가 사용될 때, 얻어진 실리콘 함유 막은 또한 산소를 함유할 것이다.In addition to the composition described, a reaction gas may also be introduced into the reactor. The reaction gas may be an oxidizing agent, for example, O 2 ; O 3 ; H 2 O; H 2 O 2 ; Oxygen containing radicals such as O. or OH; NO; NO 2 ; Carboxylic acids such as formic acid, acetic acid, propionic acid; NO, NO 2 , or a radical species of a carboxylic acid; Para-formaldehyde; And mixtures thereof. Preferably, the oxidizing agent is selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , an oxygen containing radical thereof such as O · or OH ·, and mixtures thereof. Preferably, when an ALD process is performed, the auxiliary-reactant is plasma-treated oxygen, ozone, or a combination thereof. When an oxidizing gas is used, the resulting silicon-containing film will also contain oxygen.

대안적으로, 반응 가스는 H2, NH3, (SiH3)3N, 히드리도실란(예를 들어, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예를 들어, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예를 들아, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예를 들어, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 디아민, 예를 들어, 에틸렌 디아민, 디메틸에틸렌 디아민, 테트라메틸에틸렌 디아민, 피라졸린, 피리딘, B-함유 분자(예를 들어, B2H6, 트리메틸붕소, 트리에틸붕소, 보라진, 치환된 보라진, 디알킬 아미노보란), 알킬 금속(예를 들어, 트리메틸알루미늄, 트리에틸알루미늄, 메틸아연, 디에틸아연), 이들의 라디칼 종, 또는 이들의 혼합물일 수 있다. H2 또는 무기 Si 함유 가스가 사용될 때, 얻어진 실리콘 함유 막은 순수한 Si일 수 있다.Alternatively, the reaction gas can be at least one selected from the group consisting of H 2 , NH 3 , (SiH 3 ) 3 N, hydridosilane (eg, SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6 H 12 ), chlorosilanes and chloropolysilanes (for example, SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8 ) a O, Me 2 SiH 2, Et 2 SiH 2, MeSiH 3, EtSiH 3), hydrazine (e.g., N 2 H 4, MeHNNH 2 , MeHNNHMe), organic amines (e.g., NMeH 2, NEtH 2, NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , (SiMe 3 ) 2 NH), diamines such as ethylenediamine, dimethylethylenediamine, tetramethylethylenediamine, pyrazoline, pyridine, For example, B 2 H 6 , trimethylboron, triethylboron, borazine, substituted borazine, dialkylaminoborane), alkylmetals (for example, trimethylaluminum, triethylaluminum, methylzinc, diethylzinc ), Radical species thereof, or a mixture thereof. When H 2 or an inorganic Si-containing gas is used, the obtained silicon-containing film may be pure Si.

대안적으로, 반응 가스는 불화되거나 불포화된, 선형, 분지형 또는 환형 탄화수소, 예를 들어, 비제한적으로, 에틸렌, 아세틸렌, 프로필렌, 이소프렌, 시클로헥산, 시클로헥센, 시클로헥사디엔, 펜텐, 펜틴, 시클로펜탄, 부타디엔, 시클로부탄, 테르피넨, 옥탄, 옥탄, 또는 이들의 조합일 수 있다.Alternatively, the reaction gas may be a fluorinated or unsaturated, linear, branched or cyclic hydrocarbon, such as, but not limited to, ethylene, acetylene, propylene, isoprene, cyclohexane, cyclohexene, cyclohexadiene, Cyclopentane, butadiene, cyclobutane, terpinene, octane, octane, or combinations thereof.

반응 가스는 반응 가스를 이의 라디칼 형태로 분해하기 위해, 플라즈마에 의해 처리될 수 있다. N2는 또한, 플라즈마로 처리될 때 환원제로서 사용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게, 약 100 W 내지 약 200 W 범위의 출력으로 발생될 수 있다. 플라즈마는 반응기 자체 내에서 발생되거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로, 반응기로부터 제거된 위치에서, 예를 들어, 원격으로 위치된 플라즈마 시스템에 존재할 수 있다. 당업자는 이러한 플라즈마 처리를 위해 적합한 방법 및 장치를 인식할 것이다.The reaction gas can be treated by plasma to decompose the reaction gas into its radical form. N 2 can also be used as a reducing agent when treated with a plasma. For example, the plasma may be generated at an output ranging from about 50 W to about 500 W, preferably from about 100 W to about 200 W. Plasma can be generated or present in the reactor itself. Alternatively, the plasma may generally be present at a location removed from the reactor, for example, in a remotely located plasma system. Those skilled in the art will recognize suitable methods and apparatus for such plasma processing.

요망되는 실리콘-함유 막은 또한, 다른 원소, 예를 들어, 그리고 비제한적으로, B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si, 또는 Ge를 함유한다.The desired silicon-containing film also contains other elements such as, but not limited to, B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si, or Ge.

Si-함유 막 형성 조성물 및 하나 이상의 보조-반응물이 반응 챔버에 동시에(화학적 증기 증착), 순차적으로(원자층 증착), 또는 다른 조합으로 도입될 수 있다. 예를 들어, Si-함유 막 형성 조성물의 증기는 한 펄스로 도입될 수 있으며, 두 개의 추가적인 금속 공급원은 별도의 펄스로 함께 도입될 수 있다(변형된 원자층 증착). 대안적으로, 반응 챔버는 Si-함유 막 형성 조성물의 도입 전에 이미 보조-반응물을 함유할 수 있다. 보조-반응물은 국소화된 플라즈마 시스템으로, 또는 반응 챔버로부터 원격으로 플라즈마 시스템으로 통과될 수 있고, 라디칼로 분해될 수 있다. 대안적으로, Si-함유 막 형성 조성물은 반응 챔버에 연속적으로 도입될 수 있으며, 다른 전구체 또는 반응물은 펄스에 의해 도입된다(펄스화된 화학적 증기 증착). 다른 대안예에서, Si-함유 막 형성 조성물 및 하나 이상의 보조-반응물은 샤워 헤드로부터 동시에 분무될 수 있으며, 샤워 헤드 아래에 수 개의 웨이퍼를 유지시키는 서셉터가 스피닝된다(공간적 ALD).The Si-containing film forming composition and the at least one auxiliary-reactant may be simultaneously introduced into the reaction chamber (chemical vapor deposition), sequentially (atomic layer deposition), or other combination. For example, the vapor of the Si-containing film forming composition may be introduced in one pulse, and the two additional metal sources may be introduced together in separate pulses (modified atomic layer deposition). Alternatively, the reaction chamber may already contain an auxiliary-reactant prior to introduction of the Si-containing film forming composition. The co-reactants can be passed to a localized plasma system, or remotely from the reaction chamber, and can be broken down into radicals. Alternatively, the Si-containing film-forming composition can be continuously introduced into the reaction chamber, and other precursors or reactants are introduced by pulses (pulsed chemical vapor deposition). In another alternative, the Si-containing film forming composition and the at least one auxiliary-reactant may be simultaneously sprayed from the showerhead and the susceptor holding the several wafers under the showerhead is spun (spatial ALD).

하나의 비-제한적인 예시적 원자층 증착 공정에서, Si-함유 막 형성 조성물의 증기 상이 반응 챔버에 도입되며, 여기서, 이는 적합한 기판과 접촉된다. 과량의 조성물은 이후에, 반응 챔버를 퍼징시키고/거나 배기시킴으로써 반응 챔버로부터 제거될 수 있다. 산소 공급원은 반응 챔버에 도입되며, 여기서, 이는 자기-제한 방식으로 흡착된 Si-H 함유 요오도실란과 반응한다. 임의의 과량의 산소 공급원은 반응 챔버를 퍼징시키고/거나 배기시킴으로써 반응 챔버로부터 제거된다. 요망되는 막이 실리콘 옥사이드 막인 경우에, 이러한 2-단계 공정은 요망되는 막 두께를 제공할 수 있거나, 필요한 두께를 갖는 막이 얻어질 때까지 반복될 수 있다.In one non-limiting exemplary atomic layer deposition process, a vapor phase of the Si-containing film forming composition is introduced into the reaction chamber, where it is contacted with a suitable substrate. Excess compositions may then be removed from the reaction chamber by purging and / or evacuating the reaction chamber. An oxygen source is introduced into the reaction chamber, which reacts with the Si-H containing iodosilane adsorbed in a self-limiting manner. Any excess oxygen source is removed from the reaction chamber by purging and / or evacuating the reaction chamber. If the desired film is a silicon oxide film, this two-step process can provide the desired film thickness, or it can be repeated until a film with the required thickness is obtained.

대안적으로, 요망되는 막이 실리콘 금속/메탈로이드 옥사이드 막(즉, SiMOx, 여기서, x는 0 내지 4일 수 있으며, M은 B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ga, Ge, 또는 이들의 조합임)인 경우에, 상기 2-단계 공정 이후에, 반응 챔버에 금속- 또는 메탈로이드-함유 전구체의 증기가 도입될 수 있다. 금속- 또는 메탈로이드-함유 전구체는 증착될 실리콘 금속/메탈로이드 옥사이드 막의 특성을 기초로 하여 선택될 것이다. 반응 챔버에 도입한 후에, 금속- 또는 메탈로이드-함유 전구체는 기판과 접촉된다. 임의의 과량의 금속- 또는 메탈로이드-함유 전구체는 반응 챔버를 퍼징시키고/거나 배기시킴으로써 반응 챔버로부터 제거된다. 다시 한번, 산소 공급원은 금속- 또는 메탈로이드-함유 전구체와 반응시키기 위해 반응 챔버에 도입될 수 있다. 과량의 산소 공급원은 반응 챔버를 퍼징시키고/거나 배기시킴으로써 반응 챔버로부터 제거된다. 요망되는 막 두께가 달성된 경우에, 공정이 종결될 수 있다. 그러나, 보다 두꺼운 막이 요망되는 경우에, 전체 4-단계 공정이 반복될 수 있다. Si-함유 막 형성 조성물, 금속- 또는 메탈로이드-함유 전구체, 및 산소 공급원의 제공을 교대함으로써, 요망되는 조성 및 두께의 막이 증착될 수 있다.Alternatively, the desired film can be a silicon metal / metal oxide film (i.e., SiMO x , where x can be from 0 to 4, where M is B, Zr, Hf, Ti, Nb, V, , Ga, Ge, or combinations thereof), the vapor of the metal- or metalloid-containing precursor may be introduced into the reaction chamber after the two-step process. The metal- or metalloid-containing precursor will be selected based on the properties of the silicon metal / metalloid oxide film to be deposited. After introduction into the reaction chamber, the metal- or metalloid-containing precursor is contacted with the substrate. Any excess metal or metalloid-containing precursor is removed from the reaction chamber by purging and / or evacuating the reaction chamber. Once again, the oxygen source may be introduced into the reaction chamber to react with the metal- or metalloid-containing precursor. Excess oxygen source is removed from the reaction chamber by purging and / or evacuating the reaction chamber. If the desired film thickness is achieved, the process can be terminated. However, if a thicker film is desired, the entire four-step process can be repeated. By alternating the provision of the Si-containing film forming composition, the metal- or metalloid-containing precursor, and the oxygen source, a film of the desired composition and thickness can be deposited.

추가적으로, 펄스의 수를 변경함으로써, 요망되는 화학양론적 M:Si 비를 갖는 막이 수득될 수 있다. 예를 들어, SiMO2 막은 Si-함유 막 형성 조성물의 1 펄스 및 금속- 또는 메탈로이드-함유 전구체의 1 펄스를 가짐으로써 수득될 수 있으며, 각 펄스 이후에 산소 공급원의 펄스가 진행된다. 그러나, 당업자는, 요망되는 막을 수득하기 위해 요구되는 펄스의 수가 얻어진 막의 화학양론적 비와 동일하지 않을 수 있다는 것을 인식할 것이다.In addition, by changing the number of pulses, a film having the desired stoichiometric M: Si ratio can be obtained. For example, the SiMO 2 film can be obtained by having one pulse of the Si-containing film forming composition and one pulse of the metal- or metalloid-containing precursor, followed by a pulse of the oxygen source after each pulse. However, those skilled in the art will recognize that the number of pulses required to obtain the desired film may not be the same as the stoichiometric ratio of the film obtained.

상기에서 논의된 공정으로부터 얻어진 실리콘-함유 막은 SiO2; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMO, SiMN을 포함할 수 있으며, 여기서, M은 M의 산화 상태의 과정에 따라, Zr, Hf, Ti, Nb, V, Ta, Al, Ge로부터 선택된다. 당업자는, 적절한 Si-함유 막 형성 조성물 및 보조-반응물의 법적 선택(judicial selection)에 의해, 요망되는 막 조성물이 얻어질 수 있다는 것을 인식할 것이다.The silicon-containing film obtained from the process discussed above may be SiO 2 ; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMO, and SiMN, where M is selected from Zr, Hf, Ti, Nb, V, Ta, Al, and Ge depending on the oxidation state of M. Those skilled in the art will appreciate that the desired film composition can be obtained by judicial selection of suitable Si-containing film forming compositions and auxiliary-reactants.

요망되는 막 두께를 수득할 시에, 막은 추가 가공, 예를 들어, 열적 어닐링, 로-어닐링, 고속 열적 어닐링, UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출로 처리될 수 있다. 당업자는 이러한 추가적인 가공 단계를 수행하기 위해 사용되는 시스템 및 방법을 인식한다. 예를 들어, 실리콘-함유 막은 불활성 분위기, H-함유 분위기, N-함유 분위기, 또는 이들의 조합 하에서, 대략 0.1초 내지 대략 7200초 범위의 시간 동안 대략 200℃ 내지 대략 1000℃ 범위의 온도에 노출될 수 있다. 가장 바람직하게, 온도는 3600초 미만 동안 600℃이다. 더욱더 바람직하게, 온도는 400℃ 미만이다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있으며, 어닐링/플래시 어닐링 공정은 별도의 장치에서 수행된다. 임의의 상기 후-처리 방법, 특히, UV-경화는 막의 연결성 및 가교를 향상시키고, 막이 SiN 함유 막일 때 막의 H 함량을 감소시키기 위해 효과적인 것으로 확인되었다. 통상적으로, 400℃ 미만(바람직하게, 약 100℃ 내지 300℃)으로의 열적 어닐링과 UV 경화의 조합이 가장 높은 밀도를 갖는 막을 수득하기 위해 사용된다.When obtaining the desired film thickness, the film may be processed by further processing, for example, thermal annealing, low-annealing, rapid thermal annealing, UV or e-beam curing, and / or plasma gas exposure. Those skilled in the art will recognize the systems and methods used to perform these additional processing steps. For example, the silicon-containing film may be exposed to a temperature in the range of about 200 ° C to about 1000 ° C for a time in the range of about 0.1 seconds to about 7200 seconds under an inert, H-containing, N-containing atmosphere, . Most preferably, the temperature is 600 [deg.] C for less than 3600 seconds. Even more preferably, the temperature is less than 400 占 폚. The annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber and the annealing / flash annealing process is performed in a separate apparatus. Any such post-treatment methods, in particular UV-curing, have been found to be effective to improve the connectivity and crosslinking of the film and to reduce the H content of the film when the film is a SiN-containing film. Typically, a combination of thermal annealing and UV curing at less than 400 캜 (preferably about 100 캜 to 300 캜) is used to obtain a film having the highest density.

실시예Example

본 발명의 구현예를 추가로 예시하기 위해 하기 비-제한적인 실시예가 제공된다. 그러나, 실시예는 모두 포함하는 것으로 의도되지 않고, 본원에 기술된 발명의 범위를 한정하도록 의도되지 않는다.The following non-limiting examples are provided to further illustrate embodiments of the present invention. However, the embodiments are not intended to be all inclusive and are not intended to limit the scope of the invention described herein.

실시예 1: PTFE-코팅된 자석 교반 막대를 구비한, 250 mL, 3구(24/40) 유럽 스타일 플라스크를 9.56 g(33.7 mmol) 무수 리튬 요오다이드 분말(Sigma Aldrich, 99+%) 및 80 mL의 무수 클로로포름으로 채웠다. 디클로로실란(8.4 g; 83.2 mmol, 과량)("DCS")을 헤드공간을 통해 반응 플라스크에 첨가하고, 그동안 혼합물을 교반하였다. 즉각적인 색상 변화가 관찰되었다(밝은 보라 색채). DSC 노출 동안 온도는 약 22℃에서 29℃까지 증가하였다. 혼합물을 주변 온도에서 추가적인 18시간 동안 교반하였다. 고체의 외관은 베이지 색채를 갖는 조밀한 모폴로지에서 백색의 미분된 분말로 변하였다. 고체의 질량은 이러한 시간에 걸쳐 감소하였다. 고체를 여과하고, 진공 하에서 건조시켰다(2.75 g 수집됨; 3.0 g 계산치). 용매를 액체 질소 중에서 냉각된 트랩으로의 응결에 의해 정적 진공 하에서 제거하였다. 잔류하는 보라색 액체를 계량하고(4.54 g; 10.0 g 계산치; 45%), GCMS에 의해 분석하였다: 80.5% SiH2I2 ("DIS"), 잔류 잔부는 보다 높은 비등 화합물이었다). 계산된 생성물 수율이 샘플 크기 및 클로로포름이 제거된 수단으로 인하여 신뢰성이 없지만, 이러한 실시예는 DIS 생성물을 생성하기 위해 성공적인 할라이드 교환을 나타낸다. Example 1: A 250 mL, three-necked (24/40) European style flask equipped with a PTFE-coated magnetic stir bar was charged with 9.56 g (33.7 mmol) anhydrous lithium iodide powder (Sigma Aldrich, 99 + It was filled with 80 mL of anhydrous chloroform. Dichlorosilane (8.4 g; 83.2 mmol, excess) ("DCS") was added to the reaction flask via the head space and the mixture was stirred while stirring. Immediate color changes were observed (light violet). During DSC exposure, the temperature increased from about 22 ° C to 29 ° C. The mixture was stirred at ambient temperature for an additional 18 hours. The appearance of the solid changed from dense morphology with beige color to white fine powder. The mass of solids decreased over this time. The solid was filtered and dried under vacuum (2.75 g collected; 3.0 g calculated). The solvent was removed under static vacuum by condensation with a cold trap in liquid nitrogen. The residual purple liquid was weighed (4.54 g; 10.0 g calculated; 45%) and analyzed by GCMS: 80.5% SiH 2 I 2 ("DIS"), with the remainder being the higher boiling compound. Although the calculated product yield is unreliable due to the sample size and the means by which chloroform is removed, this embodiment represents a successful halide exchange to produce the DIS product.

실시예 2: 클로로포름 대신에 톨루엔을 사용하는 것을 제외하고, 실시예 1에서 설명된 것과 동일한 셋업 및 시약 로드를 이용하여 유사한 반응을 수행하였다. 액체(추가 워크업(workup)이 없음)의 GC 샘플 분석은, DIS가 주요 생성물(용매 없음)이며, 일부는 DCS, 및 ClSiH2I이다. Example 2: A similar reaction was carried out using the same setup and reagent load as described in Example 1, except that toluene was used instead of chloroform. GC sample analysis of the liquid (no further workup) shows that DIS is the major product (no solvent), some are DCS, and ClSiH 2 I.

실시예 3: 질소 퍼징된 글로브 박스에서 다이아프램 밸브 및 압력 게이지를 구비한 60 cc 스테인레스강 앰플을 4.25 g(31.7 mmol)의 무수 리튬 요오다이드로 채웠다. 질소 가스를 진공 하에서 제거하고, DCS(1.60 g, 15.9 mmol)를 응결(-196℃)에 의해 첨가하였다. 컨테이너를 이후에 폐쇄하고, 주변 온도로 해동시키고, 30분 동안 정치시켰다. 휘발성 물질을 무수 톨루엔으로 추출하고, GCMS에 의해 분석하였으며, 이는 DCS, ClSiH2I 중간체 및 DIS(주요 생성물)를 나타내었다. 본 실시예는, 이러한 공정이 용매의 사용 없이 완료될 수 있음을 나타낸다. Example 3: In a nitrogen purged glove box, a 60 cc stainless steel ampoule with a diaphragm valve and pressure gauge was charged with 4.25 g (31.7 mmol) of anhydrous lithium iodide. The nitrogen gas was removed in vacuo and DCS (1.60 g, 15.9 mmol) was added by condensation (-196 ° C). The container was then closed, thawed to ambient temperature, and allowed to settle for 30 minutes. The volatiles were extracted with anhydrous toluene and analyzed by GCMS, which showed DCS, ClSiH 2 I intermediate and DIS (major product). This example shows that this process can be completed without the use of a solvent.

실시예 4: 반응의 시각적 징후를 갖지 않는 50 cc 슈랭크 튜브에서 소듐 요오다이드 분말(10.61 g; 과립형, 무색, 결정질 고체)을 정적 진공 하에서 과량의 DCS에 노출시켰다. 압력 변화가 관찰되지 않았다. 이후에, DCS를 슈랭크 튜브에서 응결시키고, 여러 차례 주변 온도로 해동시키고, 시약 부피 손실, 색상 또는 압력 변화의 징후를 나타내지 않는다(반응되지 않음). 소듐 요오다이드와의 반응은 약간의 용해도를 갖는 용매(즉, 염화메틸렌, 클로로포름, 아세토니트릴 등)를 필요로 할 가능성이 있다. 리튬 요오다이드는 명확하게 더욱 반응적이고, 바람직하다. 본 실시예는, NaI 알칼리 금속 할라이드 반응물이 LiI 정도로 반응적이지 않다는 것을 나타낸다. Example 4: Sodium iodide powder (10.61 g; granular, colorless, crystalline solid) was exposed to excess DCS under static vacuum in a 50 cc shrunk tube without visual indication of the reaction. No pressure change was observed. Thereafter, the DCS is allowed to condense in a shrank tube, thawed several times at ambient temperature, and does not show any signs of reagent volume loss, color or pressure change (unreacted). The reaction with sodium iodide may require solvents with some solubility (i. E., Methylene chloride, chloroform, acetonitrile, etc.). Lithium iodide is clearly more reactive and preferred. This example shows that the NaI alkali metal halide reactant is not as reactive as LiI.

실시예 5: 펜탄 용매 중 530 g 생성물 스케일: PTFE 코팅된 교반 막대를 구비한 2 L, 3구 둥근 바닥(RB) 플라스크를 500 g의 무수 LiI(3.74 mol; Acros Organics, 99%)로 채우고, 1 L 마크(mark)까지 무수 n-펜탄으로 채웠다. 대부분의 헤드공간 질소를 진공 중에서 제거하고(대략 600 torr 압력까지), 과량의 DCS(492 g; 4.87 mol; 2.8 × mol 과량)를 헤드공간을 통해 플라스크에 첨가하였다. 플라스크를 완전 전달을 가능하게 하기 위해 5 내지 8℃까지 주기적으로 냉각시켰다. 고체가 너무 무겁기 때문에, 교반판/교반 막대를 이용하여 교반이 달성되지 않았다. 포트를 수작업으로 자주 흔들고/소용돌이치게 함으로써 혼합물을 교반하였다. 자석 교반기 상에 놔둔 채로 플라스크를 실온에서 밤새 정치시켰다. 교반이 달성되지 않았다. 고체를 여과하고, 진공 하에서 건조시켰다(169 g 회수됨; 158 g 계산치). 밝은 핑크색 여액을 증류하여 펜탄(b.p.=36℃)을 제거하였다. 드라이 아이스 펠렛에서 냉각된 리시버(receiver)와 함께, 잔류하는 무색 액체를 감압(ca. 0 내지 5 torr/21 내지 31℃) 하에서 증류하였다. 이는 증류 포트에 잔류 액체를 거의 남기지 않고, 수집기에 무색의 냉동된 고체를 초래하였다. 고체 생성물을 해동시키고, 계량하였다(350 g; 530 g 계산치; 65%). 가스 크로마토그래피/질량 분광 분석은 소량의 DCS(0.964%), 펜탄(0.326%), ClSiH2I(4.953%) 및 과염소화된/과요오드화된 디실록산 화합물로서 실험적으로 확인된 불순물과 함께 91%(면적 백분율) 순수한 DIS를 나타내었다(도 6 참조). Example 5: 530 g Product Scale in Pentane Solvent: A 2 L, 3-necked round bottom (RB) flask with PTFE coated stir bar was charged with 500 g of anhydrous LiI (3.74 mol; Acros Organics, 99% 1 L mark was filled with anhydrous n - pentane. Most of the headspace nitrogen was removed in vacuo (up to about 600 torr pressure) and excess DCS (492 g; 4.87 mol; 2.8 x mol excess) was added to the flask via the head space. The flask was periodically cooled to 5-8 [deg.] C to allow complete delivery. Agitation was not achieved using a stirring plate / stir bar because the solids were too heavy. The mixture was stirred by frequent shaking / vortexing of the ports manually. Leave the flask on a magnetic stirrer and allow to stand overnight at room temperature. Stirring was not achieved. The solid was filtered and dried under vacuum (169 g recovered, 158 g calculated). The bright pink filtrate was distilled to remove pentane (bp = 36 ° C). The remaining colorless liquid was distilled under reduced pressure ( ca. 0 to 5 torr / 21 to 31 ° C) with a receiver cooled in a dry ice pellet. This resulted in a colorless, frozen solid in the collector, leaving little residual liquid in the distillation port. The solid product was thawed and weighed (350 g; 530 g calculated; 65%). Gas chromatography / mass spectroscopy analysis showed 91% yield with experimentally identified impurities as small amounts of DCS (0.964%), pentane (0.326%), ClSiH 2 I (4.953%) and perchlorinated / periodinated disiloxane compounds. (Area percent) and pure DIS (see FIG. 6 ).

실시예 5에서 발견된 실록산-타입 불순물의 가능성 있는 존재는, 이러한 화합물이 하기 중 하나 이상으로부터 유래된 수분에 의해 형성됨을 명시한다:The potential presence of the siloxane-type impurities found in Example 5 indicates that these compounds are formed by water derived from one or more of the following:

● 유리 반응기/증류 시스템으로부터의 표면 수분(가능성 없음).● Surface moisture from glass reactor / distillation system (no possibility).

● 시스템으로의 공기 누출로부터.● From air leaks into the system.

● 리튬 요오다이드 출발 물질 중의 수분(합리적인 가능성). 이는 또한 약간의 수준의 리튬 히드록사이드를 포함할 수 있다.• Water in lithium iodide starting material (reasonable probability). It may also contain some level of lithium hydroxide.

● GC 분석을 위한 최적화되지 않은 샘플 제조 및 조작으로부터의 수분(완전히 가능함).● Moisture from un-optimized sample preparation and manipulation for GC analysis (completely possible).

이는 공정 전반에 걸쳐 수분의 임의의 가능한 소스를 제거하기 위해 세심한 측정의 중요성을 강조한다. 그럼에도 불구하고, 이러한 실록산 불순물은 이의 GC 용리 시간을 기초로 하여 주요 생성물로부터 용이하게 분리 가능한 것으로 보인다.This underscores the importance of meticulous measurement to remove any possible source of moisture throughout the process. Nonetheless, such siloxane impurities appear to be readily separable from the main product based on their GC elution time.

실시예 6: 펜탄 용매 중 530 g 생성물 스케일: 기계적 교반기, 냉각 컵 콘덴서 및 1/4" PTFE 살포 튜브를 구비한 2 L, 3구 RB 플라스크를 500 g의 무수 LiI(3.74 mol; Acros Organics, 99%)로 채우고, 1 L 마크까지 무수 n-펜탄으로 채웠다. 디클로로실란(183 g; 1.81 mol)을 온도가 18.1(차가운 펜탄)에서 31.0℃까지 상승하는 22분의 과정에 걸쳐 표면 아래에 첨가하였다. 반응 혼합물을 격렬하게 교반하고, DCS의 첨가 동안 약간의 환류가 관찰되었다. 반응 혼합물을 주변 온도에서 3시간 동안 교반하고, 액체를 GCMS에 의해 분석하였다. 크로마토그래피는 미량의 DCS, 펜탄, ClSiH2I 부분 치환된 중간체 및 DIS를 나타내었다. ClSiH2I 및 DIS의 면적 백분율은 각각 6% 및 13.5%이었다. 반응 혼합물을 추가 18시간 동안 교반하였다. 고체를 이후에, 여과하고, 진공 하에서 건조시켰다(226 g 수집됨, 158 g 계산치). 용매 및 보다 저비등 불순물을 증류에 의해 제거하였다. 미정제 DIS(GC에 의해, 320 g, 89%)를 수득하였다(약 62%). 실시예 5와 실시예 6의 비교는, 반응물의 화학양론적 비율의 변경이 유사한 수율을 형성시킨다는 것을 나타낸다. Example 6: 530 g product scale in pentane solvent A 2 L, 3 RB flask with a mechanical stirrer, a cold cup condenser and a 1/4 "PTFE spray tube was charged with 500 g of anhydrous LiI (3.74 mol; Acros Organics, 99 %) And filled up to 1 L mark with anhydrous n-pentane. Dichlorosilane (183 g; 1.81 mol) was added beneath the surface over a 22 minute course rising from a temperature of 18.1 (cold pentane) to 31.0 ° C . The reaction mixture was stirred vigorously and a slight reflux was observed during the addition of DCS. The reaction mixture was stirred at ambient temperature for 3 hours and the liquid was analyzed by GCMS Chromatography was carried out with traces of DCS, pentane, ClSiH 2 I partially substituted intermediates and DIS The area percentages of ClSiH 2 I and DIS were 6% and 13.5%, respectively. The reaction mixture was stirred for an additional 18 hours. The solid was then filtered, dried under vacuum (226 g collected, 158 g The solvent and lower-boiling impurities were removed by distillation. A crude DIS (320 g, 89%) was obtained (about 62%) by GC. A comparison of Example 5 with Example 6 showed that, Indicating that a change in the stoichiometric ratio of the reactants produces a similar yield.

실시예 7: 500 g의 LiI(3.74 mole; 99.9% City Chemical, 무색 분말)를 기계적 교반기를 구비한 2 L, 3구 RB 플라스크에 채웠다. 냉각 컵 콘덴서 및 내부 열전대를 반응 장치에 부착하였다. 대략 800 mL의 무수 클로로포름을 LiI 분말에 첨가하였다. 콘덴서를 -78℃까지 냉각하고, 교반하면서, 196 g의 디클로로실란(1.94 mol, 3.5 mol% 과량)을 15분에 콘덴서(-78℃ 드라이 아이스, 이소프로필 알코올 진탕 배쓰)를 통해 감압 하에서 첨가하였다. 압력은 23℃에서 680 torr이었다. 추가적인 질소 가스를 반응기에 780 torr의 압력으로 첨가하였다. 혼합물을 22시간 동안 교반하고, 그 때에, 이는 핑크-보라 색채를 가졌다. 고체를 여과하고, 진공 하에서 건조시켰다. 여액을 1 L 플라스크에 수집하였다. 클로로포름을 61℃에서 증류시키고, 잔류하는 보라색 액체를 수집하고 계량하였다(148 g, 28%, 용매 제거 후 미정제 DIS 생성물). 낮은 수율은, 순수한 LiI가 클로로포름 중에서 낮은 용해도로 제한됨을 시사한다. LiI 반응물의 일부 수소화 수준은 염의 반응성을 촉진하고, 보다 높은 실록산-기반 불순물과 함께, 생성물의 형성을 증진시킬 수 있다. Example 7: 500 g of LiI (3.74 mole; 99.9% City Chemical, colorless powder) was charged to a 2 L, 3 RB flask equipped with a mechanical stirrer. A cooling cup condenser and an internal thermocouple were attached to the reactor. About 800 mL of anhydrous chloroform was added to the LiI powder. The condenser was cooled to -78 ° C and 196 g of dichlorosilane (1.94 mol, 3.5 mol% excess) was added under reduced pressure via condenser (-78 ° C dry ice, isopropyl alcohol shaking bath) in 15 minutes while stirring . The pressure was 680 torr at 23 [deg.] C. Additional nitrogen gas was added to the reactor at a pressure of 780 torr. The mixture was stirred for 22 hours, at which time it had a pink-violet hue. The solids were filtered and dried under vacuum. The filtrate was collected in a 1 L flask. The chloroform was distilled at 61 [deg.] C and the remaining purple liquid was collected and quantified (148 g, 28%, crude DIS product after solvent removal). The low yield suggests that pure LiI is limited to low solubility in chloroform. Some hydrogenation levels of LiI reactants may promote the reactivity of the salt and, along with higher siloxane-based impurities, enhance the formation of the product.

실시예 8: 기계적 교반기, 열전대 및 드라이-아이스 IPA 콘덴서가 장착된 4구 둥근 바닥 플라스크를 질소의 스트림 하에서 LiI(24.8 g, 0.19 mol)로 채웠다. 펜탄(80 mL)을 캐뉼라(cannula)를 통해 옮겼다. 얻어진 혼합물에, TSA-Cl((SiH3)2N(SiH2Cl), 25 g, 0.18 mol)을 실온에서 15분의 시간에 걸쳐 적가하였다. 발열도(exothermicity)가 관찰되지 않았다. 주변 온도에서 약 90분 동안 교반한 후에, 반응 혼합물을 GC-MS에 의해 분석하였으며, 이는 57% 미반응된 TSA-Cl 및 39% TSA-I((SiH3)2N(SiH2I))를 나타내었다(도 4). 이때에, 반응 혼합물을 실온에서 밤새 교반하였다. 밤새 교반 후에 GC 분석은 SiH3-I에 해당하는 주요 피크를 야기시켰으며, TSA-Cl 및 TSA-I에 해당하는 피크는 사라졌다. 반응 시간의 최적화는 진행 중에 있다. Example 8: A four-neck round bottom flask equipped with a mechanical stirrer, thermocouple and dry-ice IPA condenser was charged with LiI (24.8 g, 0.19 mol) under a stream of nitrogen. Pentane (80 mL) was transferred via a cannula. TSA-Cl ((SiH 3 ) 2 N (SiH 2 Cl), 25 g, 0.18 mol) was added dropwise to the obtained mixture over a period of 15 minutes at room temperature. No exothermicity was observed. After stirring at ambient temperature for about 90 minutes, the reaction mixture was analyzed by GC-MS, which showed that 57% unreacted TSA-Cl and 39% TSA-I ((SiH 3 ) 2 N (SiH 2 I) ( Fig. 4 ). At this time, the reaction mixture was stirred at room temperature overnight. After stirring overnight, GC analysis led to a major peak corresponding to SiH 3 -I, and peaks corresponding to TSA-Cl and TSA-I disappeared. Optimization of reaction time is underway.

실시예 9: LI 입자 크기의 비교 Example 9: Comparison of LI particle size

0.5 내지 1 mm LI0.5 to 1 mm LI

기계적 교반기, 콘덴서(-70℃로 조절됨), 고체 첨가 포트, 디클로로실란 표면아래 첨가용 유입구 튜브 및 액체 펜탄 첨가용 유입구가 장착된 20 L 자켓장착 필터 반응기를 펜탄 15 L로 채웠다. 반응기 자켓에서의 온도를 +35℃로 조절하였고, 반응기 콘덴서를 -70℃로 조절하였다. 이후에, 반응기를 약 200 RPM으로 교반하고, 이후에, 질소 분위기 하에서 있는 동안, 리튬 요오다이드(12.25 kg, 91.52 mol)를 반응기에 채웠다. 디클로로실란(4.52 kg, 44.75 mol)의 후속 중량측정 첨가(gravimetric addition)를 시간 당 대략 1 kg의 속도로 조절하였다. DCS 첨가를 완료한 후에, 반응기 자켓은 +35℃로 조절된 채로 유지되고, 콘덴서는 -70℃로 조절된 채로 유지되었다. 16시간 동안 교반한 후에, 교반을 정지시키고, 반응기 내용물을 반응기 필터를 통해 22 L 둥근 바닥 플라스크로 배수시켰다. 이후에, 반응기 필터 상의 염을 펜탄(3 × 1 L)로 세척하여 7.19 kg의 고체 잔부를 수득하였다. 합한 여액 및 세척물을 후속하여 88 kPa에서 증류시켜 미정제 디요오도실란(9.04 kg, 83% 순도)을 수득하였다. 나머지 물질은 GC 분석에 의해 제시하는 경우에, DCS, 1.3%; 펜탄, 0.6%; SiH2ClI, 14.1% 및 SiHI3, 0.1%를 포함하였다. 이러한 미정제 물질을 3.2 kPa에서 추가로 증류시켜 GC 분석에 의해 제시하는 경우에, DIS, 99.6%; SiH3I, 0.1%; SiH2ClI, 0.1%; SiHI3, 0.15%, 기타, 0.12%를 포함하는, 디요오도실란(7.39 kg, 58% 수율)을 수득하였다.A 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (adjusted to -70 ° C), solid addition port, inlet tube for addition below the surface of dichlorosilane and inlet for liquid pentane addition was charged with 15 L of pentane. The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. Thereafter, the reactor was stirred at about 200 RPM, and then, while under nitrogen, lithium iodide (12.25 kg, 91.52 mol) was charged to the reactor. Subsequent gravimetric addition of dichlorosilane (4.52 kg, 44.75 mol) was adjusted at a rate of approximately 1 kg per hour. After completion of the DCS addition, the jacket of the reactor was kept at + 35 ° C and the condenser was kept at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were drained through a reactor filter into a 22 L round bottom flask. Thereafter, the salt on the reactor filter was washed with pentane (3 x 1 L) to give 7.19 kg of solid residue. The combined filtrate and washings were subsequently distilled at 88 kPa to give crude diiodosilane (9.04 kg, 83% purity). The remaining material, when presented by GC analysis, is DCS, 1.3%; Pentane, 0.6%; SiH 2 ClI, 14.1% and SiHI 3 , 0.1%. When this crude was further distilled at 3.2 kPa and presented by GC analysis, DIS, 99.6%; SiH 3 I, 0.1%; SiH 2 CI, 0.1%; Diiodosilane (7.39 kg, 58% yield) was obtained, containing SiHI 3 , 0.15% and others, 0.12%.

1 내지 1.25 mm LI1 to 1.25 mm LI

기계적 교반기, 콘덴서(-70℃로 조절됨), 고체 첨가 포트, 디클로로실란 표면아래 첨가용 유입구 튜브 및 액체 펜탄 첨가용 유입구가 장착된 20 L 자켓장착 필터 반응기를 15 L 새로운 펜탄(Sigma Aldrich, 99% 초과의 순도)으로 채웠다. 반응기 자켓에서의 온도를 +35℃로 조절하였고, 반응기 콘덴서를 -70℃로 조절하였다. 이후에, 반응기를 약 200 RPM으로 교반하고, 이후에, 질소 분위기 하에서 있는 동안, 리튬 요오다이드(9.99 kg, 74.64 mol)를 이후에 반응기에 채웠다. 디클로로실란(3.88 kg, 38.42 mol)의 후속 중량측정 첨가를 시간 당 대략 1 kg의 속도로 조절하였다. DCS 첨가를 완료한 후에, 반응기 자켓은 +35℃로 조절된 채로 유지되고, 콘덴서는 -70℃로 조절된 채로 유지되었다. 16시간 동안 교반한 후에, 교반을 정지시키고, 반응기 내용물을 반응기 필터를 통해 22 L 둥근 바닥 플라스크로 배수시켰다. 이후에, 반응기 필터 상의 염을 펜탄(3 × 1 L)로 세척하여 4.96 kg의 고체 잔부를 수득하였다. 합한 여액 및 세척물을 후속하여 88 kPa에서 증류시켜 미정제 디요오도실란(8.01 kg, 86% 순도)을 수득하였다. 나머지 물질은 GC 분석에 의해 제시하는 경우에 DCS, 0.1%, 펜탄, 1.2%; SiH3I, 0.1%, SiH2ClI, 4.5% 및 SiHI3, 0.1%를 포함하였다. 이러한 미정제 물질을 3.2 kPa에서 추가로 증류시켜 DIS, 99.7%; SiH3I, 0.01%; SiH2ClI, 0.03% 및 SiHI3, 0.1%를 포함하는 디요오도실란(8.16 kg, 77% 수율)을 수득하였다).A 20 L jacketed filter reactor equipped with a mechanical stirrer, a condenser (controlled at -70 ° C), a solid addition port, an inlet tube for addition below the dichlorosilane surface and an inlet for liquid pentane addition was charged with 15 L of fresh pentane (Sigma Aldrich, 99% ≪ / RTI > purity). The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. Thereafter, the reactor was stirred at about 200 RPM, and then, while under nitrogen, lithium iodide (9.99 kg, 74.64 mol) was then charged to the reactor. The subsequent gravimetric addition of dichlorosilane (3.88 kg, 38.42 mol) was adjusted at a rate of approximately 1 kg per hour. After completion of the DCS addition, the jacket of the reactor was kept at + 35 ° C and the condenser was kept at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were drained through a reactor filter into a 22 L round bottom flask. Thereafter, the salt on the reactor filter was washed with pentane (3 x 1 L) to give 4.96 kg of solid residue. The combined filtrate and washings were subsequently distilled at 88 kPa to give crude diiodosilane (8.01 kg, 86% purity). The remaining materials were DCS, 0.1%, pentane, 1.2% when presented by GC analysis; SiH 3 I, 0.1%, SiH 2 CI 1 , 4.5% and SiHI 3 , 0.1%. This crude material was further distilled at 3.2 kPa to give DIS, 99.7%; SiH 3 I, 0.01%; SiH 2 ClI, 0.03% and SiHI 3 , 0.1% diiodosilane (8.16 kg, 77% yield).

알 수 있는 바와 같이, 리튬 요오다이드의 입자 크기는 분리된 수율에 영향을 미친다. 놀랍게도, 작은 입자 크기에 비해 보다 큰 입자 크기의 리튬 요오다이드가 사용될 때 개선된 수율이 관찰된다.As can be seen, the particle size of lithium iodide affects the isolated yield. Surprisingly, improved yields are observed when larger particle sizes of lithium iodide are used compared to smaller particle sizes.

실시예 10: 용매 재활용의 효과 Example 10: Effect of solvent recycling

용매 재활용Solvent recycling

기계적 교반기, 콘덴서(-70℃로 조절됨), 고체 첨가 포트, 디클로로실란 표면아래 첨가용 유입구 튜브 및 액체 펜탄 첨가용 유입구가 장착된 20 L 자켓장착 필터 반응기를 15 L 펜탄으로 채웠다. 반응기 자켓에서의 온도를 +35℃로 조절하였고, 반응기 콘덴서를 -70℃로 조절하였다. 이후에, 반응기를 약 200 RPM으로 교반하고, 이후에, 질소 분위기 하에서 있는 동안, 리튬 요오다이드(12.34 kg, 92.19 mol)를 이후에 반응기에 채웠다. 디클로로실란(4.25 kg, 42.08 mol)의 후속 중량측정 첨가를 시간 당 대략 1 kg의 속도로 조절하였다. DCS 첨가를 완료한 후에, 반응기 자켓은 +35℃로 조절된 채로 유지되고, 콘덴서는 -70℃로 조절된 채로 유지되었다. 16시간 동안 교반한 후에 교반을 정지시키고, 반응기 내용물을 반응기 필터를 통해 22 L 둥근 바닥 플라스크로 배수시켰다. 이후에, 반응기 필터 상의 염을 펜탄(3 × 1 L)로 세척하였다. 합한 여액 및 세척물을 후속하여 88 kPa에서 증류시켜 증류 포트에서 미정제 디요오도실란(9.26 kg, 82% 순도)을 수득하였다. 증류물(11 L, 거의 펜탄, 82%; DCS, 12%; SiH2ClI, 4% 및 DIS, 1%를 포함함)을 연속 합성을 위해 반응기로 다시 재순환시켰다.A 20 L jacketed filter reactor equipped with a mechanical stirrer, a condenser (adjusted to -70 ° C), a solid addition port, an inlet tube for addition below the surface of the dichlorosilane and an inlet for adding liquid pentane was charged with 15 L of pentane. The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. Thereafter, the reactor was stirred at about 200 RPM, and then, while under nitrogen, lithium iodide (12.34 kg, 92.19 mol) was then charged to the reactor. Subsequent gravimetric addition of dichlorosilane (4.25 kg, 42.08 mol) was controlled at a rate of approximately 1 kg per hour. After completion of the DCS addition, the jacket of the reactor was kept at + 35 ° C and the condenser was kept at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were drained through a reactor filter into a 22 L round bottom flask. Thereafter, the salt on the reactor filter was washed with pentane (3 x 1 L). The combined filtrate and washings were subsequently distilled at 88 kPa to give crude diiodosilane (9.26 kg, 82% purity) at the distillation port. The distillate (11 L, almost pentane, 82%; DCS, 12%; SiH 2 ClI, 4% and DIS, 1%) was recycled back to the reactor for continuous synthesis.

이에 따라, 상술된 기계적 교반기, 콘덴서(-70℃로 조절됨), 고체 첨가 포트, 디클로로실란 표면아래 첨가용 유입구 튜브 및 증류물/펜탄 첨가용 유입구가 장착된 20 L 자켓장착 필터 반응기를 새로운 펜탄(4 L)과 함께 이전 생산 공정으로부터의 재순환된 증류물(11 L, 거의 펜탄, 82%; DCS, 12%; SiH2ClI, 4% 및 DIS, 1%를 포함함)로 채웠다. 반응기 자켓에서의 온도를 +35℃로 조절하였고, 반응기 콘덴서를 -70℃로 조절하였다. 이후에, 반응기를 약 200 RPM으로 교반하고, 이후에, 질소 분위기 하에서 있는 동안, 리튬 요오다이드(12.38 kg, 92.49 mol)를 이후에, 반응기에 채웠다. 디클로로실란(4.17 kg, 41.28 mol)의 후속 중량측정 첨가를 시간 당 대략 1 kg의 속도로 조절하였다. DCS 첨가를 완료한 후에, 반응기 자켓은 +35℃로 조절된 채로 유지되고, 콘덴서는 -70℃로 조절된 채로 유지되었다. 17시간 교반 후에, 교반을 정지시키고, 반응기 내용물을 반응기 필터를 통해 22 L 둥근 바닥 플라스크로 배수시켰다. 이후에, 반응기 필터 상의 염을 펜탄(3 × 1 L)로 세척하였다. 합한 여액 및 세척물을 후속하여 88 kPa에서 증류시켜 미정제 디요오도실란(8.77 kg, 84% 순도)을 증류 포트에서 증류 하부물(distillation bottom)로서 수득하였다. 이러한 미정제 물질을 3.2 kPa에서 추가로 증류시켜 DIS, 99.5%; SiH3I, 0.14%; SiHI3, 0.24%, 기타, 0.12%를 포함하는 디요오도실란(7.29 kg, 62% 수율)을 수득하였다).Thus, a 20 L jacketed filter reactor equipped with the above mechanical stirrer, condenser (adjusted to -70 ° C), solid addition port, inlet tube for addition below the surface of dichlorosilane, and distillate / pentane addition inlet was charged with fresh pentane 4 L) and recycled distillate from previous production processes (11 L, almost pentane, 82%; DCS, 12%; SiH 2 ClI, 4% and DIS, 1%). The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. Thereafter, the reactor was stirred at about 200 RPM, and then, while under a nitrogen atmosphere, lithium iodide (12.38 kg, 92.49 mol) was then charged to the reactor. The subsequent gravimetric addition of dichlorosilane (4.17 kg, 41.28 mol) was adjusted at a rate of approximately 1 kg per hour. After completion of the DCS addition, the jacket of the reactor was kept at + 35 ° C and the condenser was kept at -70 ° C. After stirring for 17 hours, stirring was stopped and the reactor contents were drained through a reactor filter into a 22 L round bottom flask. Thereafter, the salt on the reactor filter was washed with pentane (3 x 1 L). The combined filtrate and washings were subsequently distilled at 88 kPa to obtain crude diiodosilane (8.77 kg, 84% purity) as a distillation bottom at the distillation port. This crude material was further distilled at 3.2 kPa to give DIS, 99.5%; SiH 3 I, 0.14%; Diiodosilane (7.29 kg, 62% yield) containing SiHI 3 , 0.24%, and others, 0.12%).

새로운 용매(fresh solvent)Fresh solvent

기계적 교반기, 콘덴서(-70℃로 조절됨), 고체 첨가 포트, 디클로로실란 표면아래 첨가용 유입구 튜브 및 액체 펜탄 첨가용 유입구가 장착된 20 L 자켓장착 필터 반응기를 15 L 새로운 펜탄(Sigma Aldrich, 99% 초과의 순도)으로 채웠다. 반응기 자켓에서의 온도를 +35℃로 조절하였고, 반응기 콘덴서를 -70℃로 조절하였다. 이후에, 반응기를 약 200 RPM으로 교반하고, 이후에, 질소 분위기 하에서 있는 동안, 리튬 요오다이드(12.47 kg, 93.16 mol)를 이후에 반응기에 채웠다. 디클로로실란(4.85 kg, 48.02 mol)의 후속 중량측정 첨가를 시간 당 대략 1 kg의 속도로 조절하였다. DCS 첨가를 완료한 후에, 반응기 자켓은 +35℃로 조절된 채로 유지되고, 콘덴서는 -70℃로 조절된 채로 유지되었다. 16시간 동안 교반한 후에, 교반을 정지시키고, 반응기 내용물을 반응기 필터를 통해 22 L 둥근 바닥 플라스크로 배수시켰다. 이후에, 반응기 필터 상의 염을 펜탄(3 × 1 L)으로 세척하였다. 합한 여액 및 세척물을 후속하여 88 kPa에서 증류시켜 미정제 디요오도실란(8.01 kg, 86% 순도)을 수득하였다. 이러한 미정제 물질을 3.2 kPa에서 추가로 증류시켜 DIS, 99.9%; SiH3I, 0.01% 및 SiHI3, 0.02%를 포함하는 디요오도실란(6.68 kg, 51% 수율)을 수득하였다).A 20 L jacketed filter reactor equipped with a mechanical stirrer, a condenser (controlled at -70 ° C), a solid addition port, an inlet tube for addition below the dichlorosilane surface and an inlet for liquid pentane addition was charged with 15 L of fresh pentane (Sigma Aldrich, 99% ≪ / RTI > purity). The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. Thereafter, the reactor was stirred at about 200 RPM, and then, while under nitrogen, lithium iodide (12.47 kg, 93.16 mol) was then charged to the reactor. Subsequent gravimetric addition of dichlorosilane (4.85 kg, 48.02 mol) was adjusted at a rate of approximately 1 kg per hour. After completion of the DCS addition, the jacket of the reactor was kept at + 35 ° C and the condenser was kept at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were drained through a reactor filter into a 22 L round bottom flask. Thereafter, the salt on the reactor filter was washed with pentane (3 x 1 L). The combined filtrate and washings were subsequently distilled at 88 kPa to give crude diiodosilane (8.01 kg, 86% purity). This crude material was further distilled at 3.2 kPa to give DIS, 99.9%; Diiodosilane (6.68 kg, 51% yield) containing SiH 3 I, 0.01% and SiHI 3 , 0.02% was obtained.

알 수 있는 바와 같이, 재활용은 규제 준수를 종종 간소화하면서, 경제적 및 환경적 이익 측면에서 장점을 제공하지만, 불순물이 축적될 수 있다. 재순환 단계의 제거 및 각 합성 공정에 대해 새로운 용매 충전의 사용은 용매 재활용 후에 달성되지 못할 수 있는 초고 생성물 순도 수준을 초래한다.As can be appreciated, recycling often provides advantages in terms of economic and environmental benefits while simplifying regulatory compliance, but impurities can accumulate. The elimination of the recycle step and the use of new solvent charge for each synthesis process results in a level of ultra-high product purity that may not be achieved after solvent recycling.

실시예 11: 물질 혼화성 Example 11: Substance miscibility

작은 피스의 물질을 SiH2I2(미국 특허출원공개번호 제2016/0264426호에 기술된 방법에 따라 합성됨)에 액침시키고, 유리 압력 튜브에서 시일링하고, 광의 부재 하에 기술된 온도에서 기술된 시간 동안 유지시켰다. 초기 대조 검정은 GCMS 피크 적분을 기초로 하여, 1.3% SiH(Me)I2 및 1.6% SiHI3을 갖는, 96.9% SiH2I2이었다. 결과는 하기에 제공되어 있고, SiH2I2의 안정성을 유지하기 어렵다는 것을 나타낸다. 본 출원인은, 그러한 합성 방법에서 사용되는 HX 또는 X2 반응물이 하기의 대조 결과에 나타낸 SiH2I2 반응 생성물의 불안정성에 기여하는 것으로 여긴다. 알 수 있는 바와 같이, 일부 표준 패키징 물질은 SiH2I2 생성물의 분해를 추가로 가속시킨다.The material of the small pieces and immersed in the SiH 2 I 2 (U.S. Patent Application synthesized according to the method described in Publication No. 2016/0264426 No. search), the seal rings, and described in the technical temperature under a light element in a glass pressure tube Hour. The initial control assays were 96.9% SiH 2 I 2 with 1.3% SiH (Me) I 2 and 1.6% SiHI 3 , based on the GCMS peak integral. The results are provided below and show that it is difficult to maintain the stability of SiH 2 I 2 . Applicants believe that the HX or X 2 reactant used in such a synthesis method contributes to the instability of the SiH 2 I 2 reaction product shown in the following control results. As can be seen, some standard packaging materials further accelerate the decomposition of the SiH 2 I 2 product.

실온:Room temperature:

Figure pct00008
Figure pct00008

* 상이한 출발 물질: 97.6% SiH2I2, 0.9% SiH(Me)I2, 및 0.9% SiH3.* Different starting materials: 97.6% SiH 2 I 2 , 0.9% SiH (Me) I 2 , and 0.9% SiH 3 .

40℃:40 ° C:

Figure pct00009
Figure pct00009

* 상이한 출발 물질: 97.6% SiH2I2, 0.9% SiH(Me)I2, 및 0.9% SiH3.* Different starting materials: 97.6% SiH 2 I 2 , 0.9% SiH (Me) I 2 , and 0.9% SiH 3 .

실시예 11: 안정성 Example 11: Stability

본원에 기술된 방법에 따라 합성된 SiH2I2를 실온에서 패시베이션된 스테인레스강 실린더에 저장하였다. 실린더에 저장 전 및 후에, 검정을 GCMS 피크 적분을 이용하여 수행하였다. 하기 표는, 이러한 생성물이 임의의 안정화제를 필요로 하지 않으면서 이의 순도를 유지한다는 것을 나타낸다.SiH 2 I 2 synthesized according to the method described herein was stored in passivated stainless steel cylinders at room temperature. Before and after storage in the cylinder, the assay was performed using GCMS peak integration. The table below shows that these products retain their purity without requiring any stabilizers.

Figure pct00010
Figure pct00010

예측예(Prophetic example): Prophetic example: II 33 SiSi -CH-CH 22 -- SiISiI 33 의 합성Synthesis of

Cl3Si-CH2-SiCl3 + 6 Li-I → I3Si-CH2-SiI3 + 6 Li-Cl Cl 3 Si-CH 2 -SiCl 3 + 6 Li-I → I 3 Si-CH 2 -SiI 3 + 6 Li-Cl

불활성 및 무수 조건 하에서, 플라스크를 리튬 요오다이드 및 펜탄 또는 다른 적합한 용매로 채우고, 이후에, 무용매 액체 비스(트리클로로실릴)메탄의 용액을 첨가하였다. 반응의 완료가 반응 혼합물의 분취액의 GCMS 추적에서 비스(트리클로로실릴)메탄의 소멸에 의해 관찰될 때까지 현탁액을 격렬하게 교반하였다. 얻어진 현탁액을 규조토 패드가 로딩된 중간 유리 프릿에 걸쳐 여과하여, 요망되는 생성물의 펜탄 용액을 수득하였다. 비스(트리요오도실릴)메탄 생성물을 감압 증류 및/또는 승화에 의해 순수한 형태로 분리하였다.Under inert and anhydrous conditions, the flask was filled with lithium iodide and pentane or other suitable solvent, followed by the addition of a solution of non-solvent liquid bis (trichlorosilyl) methane. The suspension was vigorously stirred until completion of the reaction was observed by disappearance of bis (trichlorosilyl) methane in the GCMS traces of the aliquots of the reaction mixture. The resulting suspension was filtered through a mid-glass frit loaded with diatomaceous earth pads to give a pentane solution of the desired product. The bis (triiodosilyl) methane product was isolated in pure form by vacuum distillation and / or sublimation.

반응물은 상업적으로 입수 가능하거나 문헌[J. Organomet. Chem. 92, 1975 163-168]에 따라 합성될 수 있다.The reactants are commercially available or can be prepared according to the method described in J. Organomet. Chem. 92, 1975 163-168).

본 발명의 구현예가 도시되고 기술되었지만, 이의 변형예는 본 발명의 사상 또는 교시를 벗어나지 않으면서 당업자에 의해 이루어질 수 있다. 본원에 기술된 구현예는 단지 예시적인 것으로, 제한적인 것은 아니다. 조성물 및 방법의 여러 변경예 및 변형예가 가능하고, 본 발명의 범위 내에 속한다. 이에 따라, 보호 범위는 본원에 기술된 구현예로 제한되지 않고, 하기 청구범위에 의해 제한되며, 이러한 청구범위는 청구항의 대상의 모든 균등물을 포함할 것이다.While embodiments of the present invention have been shown and described, modifications thereof may be made by those skilled in the art without departing from the spirit or teachings of the present invention. The implementations described herein are by way of example only and not by way of limitation. Various modifications and variations of the compositions and methods are possible and are within the scope of the invention. Accordingly, the scope of protection is not limited to the embodiments described herein, but is limited by the following claims, which shall include all equivalents of the subject matter of the claims.

Claims (16)

하기 화학식을 갖는 Si-H 함유 요오도실란을 합성하는 방법으로서,
화학식 SiwHxRyX z 또는 N(SiHaRbXc)3 또는 (SiHmRnXo)2-CH2(여기서, X는 Cl 또는 Br이며, w, x, y, z, a, b, c, m, n, 및 o는 하기에서 정의된 바와 같음)를 갖는 할로실란 반응물을 화학식 MI(여기서, M은 Li, Na, K, Rb, 또는 Cs임)를 갖는 알칼리 금속 할라이드 반응물과 반응시켜 하기 화학식 (1), 화학식 (2) 또는 화학식 (3)을 갖는 MX의 혼합물을 생성하는 단계; 및
상기 혼합물로부터 화학식 SiwHxRyIz, N(SiHaRbIc)3, 또는 (SiHmRnIo)2-CH2를 갖는 Si-H 함유 요오도실란을 분리하는 단계를 포함하는 방법:
[화학식 1]
SiwHxRyIz
[화학식 2]
N(SiHaRbIc)3 또는
[화학식 3]
(SiHmRnIo)2-CH2
(상기 식에서, w는 1 내지 3이며, x+y+z는 2w+2이며, x는 1 내지 2w+1이며, y는 0 내지 2w+1이며, z는 1 내지 2w+1이며, 각 a는 독립적으로, 0 내지 3이며, 각 b는 독립적으로, 0 내지 3이며, 각 c는 독립적으로, 0 내지 3이며, a+b+c는 3이며, 단, 적어도 하나의 a 및 적어도 하나의 c는 1이며, 각 m은 독립적으로, 0 내지 3이며, 각 n은 독립적으로, 0 내지 3이며, 각 o는 독립적으로, 0 내지 3이며, m+n+o는 3이며, 단, 적어도 하나의 m 및 적어도 하나의 o는 1이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기임).
A process for synthesizing Si-H containing iodosilanes having the formula:
The general formula Si w H x R y X z or N (R b SiH a X c) 3 or (SiH m R n X o) 2 -CH 2 ( wherein, X is Cl or Br, w, x, y, z , wherein a, b, c, m, n and o are as defined below, with an alkali metal having the formula MI (wherein M is Li, Na, K, Rb or Cs) With a halide reagent to produce a mixture of MX having the formula (1), (2) or (3) And
Separating the Si-H containing iodosilane having the formula Si w H x R y I z , N (SiH a R b I c ) 3 , or (SiH m R n I o ) 2 -CH 2 from the mixture Comprising:
[Chemical Formula 1]
Si w H x R y I z
(2)
N (SiH a R b I c ) 3 or
(3)
(SiH m R n I o ) 2 -CH 2
Wherein x is 1 to 2 w + 1, y is 0 to 2 w + 1, z is 1 to 2 w + 1, and x is 1 to 2 w + a is independently 0 to 3, each b is independently 0 to 3, each c is independently 0 to 3, a + b + c is 3, provided that at least one a and at least one Each of m is independently 0 to 3, each n is independently 0 to 3, each o is independently 0 to 3, m + n + o is 3, and at least one of m and at least one o is 1, each R is independently, C1 to C12 hydrocarbyl and Bill group, Cl, Br, or ER '3 group, where each E is independently selected from, Si or Ge , Each R 'is independently H or a C1 to C12 hydrocarbyl group.
제1항에 있어서, M이 Li인 방법.2. The method of claim 1, wherein M is Li. 제1항에 있어서, 반응 단계에 비-배위 용매(non-coordinating solvent)를 첨가하는 것을 추가로 포함하는 방법.The method of claim 1, further comprising adding a non-coordinating solvent to the reaction step. 제3항에 있어서, 비-배위 용매가 프로판, 부탄, 펜탄, 헥산, 헵탄, 클로로메탄, 디클로로메탄, 클로로포름, 사염화탄소, 염화메틸렌, 아세토니트릴, 및 이들의 조합인 방법.The process according to claim 3, wherein the non-coordinating solvent is propane, butane, pentane, hexane, heptane, chloromethane, dichloromethane, chloroform, carbon tetrachloride, methylene chloride, acetonitrile and combinations thereof. 제1항 내지 제4항 중 어느 한 항에 있어서, 분리 단계가 혼합물을 여과하여 화학식 SiwHxRyIz 또는 N(SiHaRbIc)3을 갖는 Si-H 함유 요오도실란으로부터 MX를 분리하는 것을 포함하는 방법.5. Process according to any one of claims 1 to 4, characterized in that the separating step comprises filtering the mixture to obtain Si-H containing iodosilanes having the formula Si w H x R y I z or N (SiH a R b I c ) 3 RTI ID = 0.0 > MX. ≪ / RTI > 제1항 내지 제5항 중 어느 한 항에 있어서, 알칼리 금속 할라이드 반응물이 LiI인 방법.6. The process according to any one of claims 1 to 5, wherein the alkali metal halide reactant is LiI. 제1항 내지 제6항 중 어느 한 항에 있어서, 할로실란 반응물이 SiH2Cl2인 방법.Claim 1 to claim 6 according to any one of claims, wherein the halosilane reagent of SiH 2 Cl 2. 제1항 내지 제6항 중 어느 한 항에 있어서, 할로실란 반응물이 Si2HCl5인 방법.Any one of claims 1 to A method according to any one of claim 6, wherein the halosilane reactants Si 2 HCl 5. 제1항 내지 제6항 중 어느 한 항에 있어서, 할로실란 반응물이 (SiH3)2N(SiH2Cl)인 방법.Any one of claims 1 to 6 according to any one of items, halosilane reactants (SiH 3) 2 N (SiH 2 Cl) method. 화학식 SiwHxIz 또는 N(SiHaIc)3(여기서, w는 1 내지 3이며, x+z는 2w+2이며, x는 1 내지 2w+1이며, z는 1 내지 2w+1이며, 각 a는 독립적으로, 0 내지 3이며, 각 c는 독립적으로, 0 내지 3이며, a+c는 3이며, 단, 적어도 하나의 a는 1이며, 적어도 하나의 c는 1임)을 갖는 Si-H 함유 요오도실란을 합성하는 방법으로서,
화학식 SiwHyXz 또는 N(SiHaXc)3(여기서, X는 Cl 또는 Br이며, w, x, z, a, 및 c는 상기에서 정의된 바와 같음)을 갖는 할로실란 반응물을 화학식 MI(여기서, M은 Li, Na, K, Rb, 또는 Cs임)를 갖는 알칼리 금속 할라이드 반응물과 혼합하여 SiwHxIz 또는 N(SiHaIc)3과 nMX의 혼합물을 생성하는 단계; 및
상기 혼합물을 여과하여 화학식 SiwHxIz 또는 N(SiHaIc)3을 갖는 Si-H 함유 요오도실란을 생성하는 단계를 포함하는 방법.
The general formula Si w H x I z or N (SiH a I c) 3 ( where, w is 1 to 3, x + z is 2w + 2, and x is 1 to 2w + 1, z is 1 to 2w + 1, each a is independently 0 to 3, each c is independently 0 to 3, a + c is 3 with the proviso that at least one a is 1 and at least one c is 1. [ Containing Si-H containing iodosilane,
A halosilane reactant having the formula Si w H y X z or N (SiH a X c ) 3 , where X is Cl or Br and w, x, z, a, and c are as defined above, Is reacted with an alkali metal halide reagent having the formula MI where M is Li, Na, K, Rb, or Cs to produce a mixture of Si w H x I z or N (SiH a I c ) 3 and nMX step; And
Filtering the mixture to produce an Si-H containing iodosilane having the formula Si w H x I z or N (SiH a I c ) 3 .
제10항에 있어서, 할로실란 반응물이 SiH2Cl2인 방법.11. The method of claim 10, wherein the halosilane reactants SiH 2 Cl 2. 제10항에 있어서, 할로실란 반응물이 Si2HCl5인 방법.11. The method of claim 10, wherein the halosilane reactants Si 2 HCl 5. 제10항에 있어서, 할로실란 반응물이 (SiH3)2N(SiH2Cl)인 방법.The method of claim 10, wherein the halosilane reagent (SiH 3) 2 N (SiH 2 Cl) method. 제10항 내지 제13항 중 어느 한 항에 있어서, 알칼리 금속 할라이드 반응물이 LiI인 방법.14. The process according to any one of claims 10 to 13, wherein the alkali metal halide reactant is LiI. 유입구 도관 및 유출구 도관을 구비하고 Si-함유 막 형성 조성물을 함유하는 캐니스터(canister)를 포함하는, Si-함유 막 형성 조성물 전달 디바이스로서,
Si-함유 막 형성 조성물은 Si-H 함유 요오도실란 및 대략 0 ppbw 내지 대략 100 ppbw의 Ag, Au, 또는 Sb를 포함하며;
Si-H 함유 요오도실란은 하기 화학식을 갖는, Si-함유 막 형성 조성물 전달 디바이스:
[화학식 1]
SiwHxRyIz
[화학식 2]
N(SiHaRbIc)3 또는
[화학식 3]
(SiHmRnIo)2-CH2
(상기 식에서, w는 1 내지 3이며, x+y+z는 2w+2이며, x는 1 내지 2w+1이며, y는 0 내지 2w+1이며, z는 1 내지 2w+1이며, 각 a는 독립적으로, 0 내지 3이며, 각 b는 독립적으로, 0 내지 3이며, 각 c는 독립적으로, 0 내지 3이며, a+b+c는 3이며, 단, 적어도 하나의 a 및 적어도 하나의 c는 1이며, 각 m은 독립적으로, 0 내지 3이며, 각 n은 독립적으로, 0 내지 3이며, 각 o는 독립적으로, 0 내지 3이며, m+n+o는 3이며, 단, 적어도 하나의 m 및 적어도 하나의 o는 1이며, 각 R은 독립적으로, C1 내지 C12 히드로카르빌 기, Cl, Br, 또는 ER'3 기이며, 여기서, 각 E는 독립적으로, Si 또는 Ge이며, 각 R'는 독립적으로, H 또는 C1 내지 C12 히드로카르빌 기임).
A Si-containing film forming composition delivery device comprising a canister having an inlet conduit and an outlet conduit and containing a Si-containing film forming composition,
The Si-containing film forming composition comprises Si-H containing iodosilane and from about 0 ppbw to about 100 ppbw of Ag, Au, or Sb;
The Si-H containing iodosilane has the formula: Si-containing film forming composition delivery device:
[Chemical Formula 1]
Si w H x R y I z
(2)
N (SiH a R b I c ) 3 or
(3)
(SiH m R n I o ) 2 -CH 2
Wherein x is 1 to 2 w + 1, y is 0 to 2 w + 1, z is 1 to 2 w + 1, and x is 1 to 2 w + a is independently 0 to 3, each b is independently 0 to 3, each c is independently 0 to 3, a + b + c is 3, provided that at least one a and at least one Each of m is independently 0 to 3, each n is independently 0 to 3, each o is independently 0 to 3, m + n + o is 3, and at least one of m and at least one o is 1, each R is independently, C1 to C12 hydrocarbyl and Bill group, Cl, Br, or ER '3 group, where each E is independently selected from, Si or Ge , Each R 'is independently H or a C1 to C12 hydrocarbyl group.
제15항에 있어서, Si-H 함유 요오도실란이 SiH2I2인 Si-함유 막 형성 조성물 전달 디바이스.16. The method of claim 15, SiH-containing silane is iodo SiH 2 I 2 a Si- containing film-forming composition delivery device.
KR1020177035366A 2016-05-19 2017-05-19 Preparation of Si-H-Containing Iodosilanes via a Halide Exchange Reaction KR102038215B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662338882P 2016-05-19 2016-05-19
US62/338,882 2016-05-19
PCT/US2017/033620 WO2017201456A1 (en) 2016-05-19 2017-05-19 Preparation of si-h containing iodosilanes via halide exchange reaction

Publications (2)

Publication Number Publication Date
KR20170141261A true KR20170141261A (en) 2017-12-22
KR102038215B1 KR102038215B1 (en) 2019-10-29

Family

ID=60326369

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177035366A KR102038215B1 (en) 2016-05-19 2017-05-19 Preparation of Si-H-Containing Iodosilanes via a Halide Exchange Reaction

Country Status (7)

Country Link
EP (1) EP3458415A4 (en)
JP (2) JP6543354B2 (en)
KR (1) KR102038215B1 (en)
CN (1) CN107864649B (en)
SG (1) SG11201709441TA (en)
TW (1) TWI805561B (en)
WO (1) WO2017201456A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200043896A (en) * 2018-10-18 2020-04-28 야마나카 휴텍 가부시키가이샤 Diiodosilane producing method
KR20210003222A (en) * 2018-05-01 2021-01-11 밀리켄 앤드 캄파니 Method for producing halosilane compound
KR20210080554A (en) * 2018-12-10 2021-06-30 엔테그리스, 아이엔씨. Preparation of triiodosilane
KR102331310B1 (en) * 2020-11-12 2021-12-01 (주)엘케이켐 Method for preparing diiodosilane and composition prepared thereby

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US20210331930A1 (en) * 2020-04-24 2021-10-28 Entegris, Inc. Method of preparing iodosilanes and compositions therefrom
WO2022087036A1 (en) * 2020-10-23 2022-04-28 Entegris, Inc. Method for preparing iodosilanes
CN113548669B (en) * 2021-09-01 2022-11-11 福建福豆新材料有限公司 Preparation device and preparation method of high-purity electronic-grade diiodosilane
JP2023157339A (en) * 2022-04-14 2023-10-26 ヤマナカヒューテック株式会社 Method for producing compound
CN116375038A (en) * 2023-02-23 2023-07-04 安徽博泰电子材料有限公司 Preparation method of diiodosilane
CN116081626B (en) * 2023-03-30 2023-06-27 研峰科技(北京)有限公司 Preparation method of diiodosilane

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006059187A1 (en) * 2004-12-02 2006-06-08 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Liquid precursor refill system
KR20070061593A (en) * 2004-10-20 2007-06-13 어플라이드 머티어리얼스, 인코포레이티드 Low temperature sin deposition methods
US20160115593A1 (en) * 2015-12-30 2016-04-28 American Air Liquide, Inc. Amino(iodo)silane precursors for ald/cvd silicon-containing film applications and methods of using the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3047995C2 (en) * 1980-12-19 1984-05-10 Degussa Ag, 6000 Frankfurt Process for the preparation of (iodoorganyl) alkoxysilanes
US5997637A (en) * 1993-06-18 1999-12-07 Nippon Oil Co., Ltd. Method of producing a semiconducting material
JPH07252271A (en) * 1994-03-14 1995-10-03 Shin Etsu Chem Co Ltd Production of iodosilane
JP3895053B2 (en) * 1998-07-30 2007-03-22 独立行政法人科学技術振興機構 Production method of dihalomethylsilanes
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20160046408A1 (en) 2015-10-27 2016-02-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Internally coated vessel for housing a metal halide
US10106425B2 (en) 2016-05-19 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Synthesis methods for halosilanes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070061593A (en) * 2004-10-20 2007-06-13 어플라이드 머티어리얼스, 인코포레이티드 Low temperature sin deposition methods
JP2008517479A (en) * 2004-10-20 2008-05-22 アプライド マテリアルズ インコーポレイテッド SiN low temperature deposition method
WO2006059187A1 (en) * 2004-12-02 2006-06-08 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Liquid precursor refill system
US20160115593A1 (en) * 2015-12-30 2016-04-28 American Air Liquide, Inc. Amino(iodo)silane precursors for ald/cvd silicon-containing film applications and methods of using the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210003222A (en) * 2018-05-01 2021-01-11 밀리켄 앤드 캄파니 Method for producing halosilane compound
KR20200043896A (en) * 2018-10-18 2020-04-28 야마나카 휴텍 가부시키가이샤 Diiodosilane producing method
KR20210080554A (en) * 2018-12-10 2021-06-30 엔테그리스, 아이엔씨. Preparation of triiodosilane
KR102331310B1 (en) * 2020-11-12 2021-12-01 (주)엘케이켐 Method for preparing diiodosilane and composition prepared thereby

Also Published As

Publication number Publication date
JP2019189523A (en) 2019-10-31
WO2017201456A8 (en) 2017-12-28
TWI805561B (en) 2023-06-21
JP7014753B2 (en) 2022-02-01
JP2018519233A (en) 2018-07-19
TW201900659A (en) 2019-01-01
CN107864649A (en) 2018-03-30
KR102038215B1 (en) 2019-10-29
WO2017201456A1 (en) 2017-11-23
EP3458415A1 (en) 2019-03-27
SG11201709441TA (en) 2018-05-30
JP6543354B2 (en) 2019-07-10
CN107864649B (en) 2021-07-20
EP3458415A4 (en) 2019-11-27

Similar Documents

Publication Publication Date Title
US10800661B2 (en) Preparation of Si-H containing iodosilanes via halide exchange reaction
KR102038215B1 (en) Preparation of Si-H-Containing Iodosilanes via a Halide Exchange Reaction
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US10106425B2 (en) Synthesis methods for halosilanes
US10544506B2 (en) Method of forming a silicon nitride film using Si—N containing precursors
US20160237099A1 (en) Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US20180202042A1 (en) Alkylamino-substituted halocarbosilane precursors
KR102603851B1 (en) Method for preparing isomerically enriched advanced silanes
US10011903B2 (en) Manganese-containing film forming compositions, their synthesis, and use in film deposition
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US20200115238A1 (en) Process for producing isomer enriched higher silanes
US9738971B2 (en) Vapor deposition methods to form group 8-containing films

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant