JP2018519233A - Preparation of Si-H containing iodosilane by halide exchange reaction - Google Patents

Preparation of Si-H containing iodosilane by halide exchange reaction Download PDF

Info

Publication number
JP2018519233A
JP2018519233A JP2017563971A JP2017563971A JP2018519233A JP 2018519233 A JP2018519233 A JP 2018519233A JP 2017563971 A JP2017563971 A JP 2017563971A JP 2017563971 A JP2017563971 A JP 2017563971A JP 2018519233 A JP2018519233 A JP 2018519233A
Authority
JP
Japan
Prior art keywords
sih
independently
iodosilane
reactor
forming composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017563971A
Other languages
Japanese (ja)
Other versions
JP6543354B2 (en
Inventor
コール・リッター
ゲンナジー・アイトフ
マニッシュ・カンデルウォール
ジャン−マルク・ジラード
グレン・クーヘンベイザー
ショーン・ケリガン
ペン・ツァン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2018519233A publication Critical patent/JP2018519233A/en
Application granted granted Critical
Publication of JP6543354B2 publication Critical patent/JP6543354B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/08Compounds containing halogen
    • C01B33/107Halogenated silanes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • C07F7/121Preparation or treatment not provided for in C07F7/14, C07F7/16 or C07F7/20
    • C07F7/123Preparation or treatment not provided for in C07F7/14, C07F7/16 or C07F7/20 by reactions involving the formation of Si-halogen linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Abstract

ハライド交換反応を利用する、ジヨードシラン又はペンタヨードジシランなどのSi−H含有ヨードシランを合成する方法が開示される。【選択図】図1A method of synthesizing a Si—H containing iodosilane, such as diiodosilane or pentaiododisilane, utilizing a halide exchange reaction is disclosed. [Selection] Figure 1

Description

関連出願の相互参照
本出願は、参照によりあらゆる目的のためにその全体が本明細書に組み込まれる、2016年5月19日に出願された米国特許出願第62/338,882号明細書の利益を主張するものである。
CROSS REFERENCE TO RELATED APPLICATIONS This application is a benefit of US Patent Application No. 62 / 338,882, filed May 19, 2016, which is hereby incorporated by reference in its entirety for all purposes. Is an insistence.

ハライド交換反応を利用する、ジヨードシラン又はペンタヨードジシランなどのSi−H含有ヨードシランを合成する方法が開示される。   A method of synthesizing a Si—H containing iodosilane, such as diiodosilane or pentaiododisilane, utilizing a halide exchange reaction is disclosed.

ハロシラン化学製品は産業界において多くの用途が見出されている。特に、ジヨードシラン(SiH)などのヨードシラン前駆体は、半導体製造工程で使用するための様々なケイ素含有膜の堆積に使用されている。 Halosilane chemical products have found many uses in industry. In particular, iodosilane precursors such as diiodosilane (SiH 2 I 2 ) have been used to deposit various silicon-containing films for use in semiconductor manufacturing processes.

Emeleusらは、シラン(SiH)と、ヨウ化水素(HI)と、ヨウ化アルミニウム(AlI)との反応によるジヨードシラン(SiH)の合成を開示している。Derivatives of monosilane.PartII.The Iodo compounds:Emeleus,H.J.;Maddock,A.G.;Reid C.,J.Chem.Soc.1941,353−358)。同文献の354ページでは、反応により、ヨードシラン(SiHI)、トリヨードシラン(SiHI)、及びテトラヨードシラン(SiI)と共に目的の反応生成物SiHが生成する。 Emeleus et al. Disclose the synthesis of diiodosilane (SiH 2 I 2 ) by reaction of silane (SiH 4 ), hydrogen iodide (HI), and aluminum iodide (AlI 3 ). Derivatives of monosilane. Part II. The Ido compounds: Emeleus, H .; J. et al. Maddock, A .; G. Reid C .; , J .; Chem. Soc. 1941, 353-358). On page 354 of the document, the reaction produces the desired reaction product SiH 2 I 2 along with iodosilane (SiH 3 I), triiodosilane (SiHI 3 ), and tetraiodosilane (SiI 4 ).

Keinanらは、微量の酢酸エチルの存在下において−20℃で1:1のモル比でヨウ素とフェニルシランとを反応させると、1モルのSiH及び1モルのベンゼンが生成することを開示している。J.Org.Chem.,Vol.52,No.22,1987,pp.4846−4851。他の生成し得るヨードシラン(すなわち、SiHI、SiHI、及びSiI)よりもSiHに選択的ではあるものの、この方法は公知のヒト発がん性のベンゼンを生成し、そのため商業化の実現が困難である。この欠点にもかかわらず、これは依然としてジヨードシラン製造のための好ましい合成ルートのままである。 Keinan et al. Show that reacting iodine with phenylsilane at a molar ratio of 1: 1 at −20 ° C. in the presence of trace amounts of ethyl acetate produces 1 mole of SiH 2 I 2 and 1 mole of benzene. Disclosure. J. et al. Org. Chem. , Vol. 52, no. 22, 1987, pp. 4846-4851. Although selective to SiH 2 I 2 over other possible iodosilanes (ie, SiH 3 I, SiHI 3 , and SiI 4 ), this method produces a known human carcinogenic benzene and is therefore commercialized. Is difficult to realize. Despite this drawback, it still remains the preferred synthetic route for diiodosilane production.

ヨウ化水素及び/又はヨウ素などのこれらの合成方法由来の不純物は、得られる生成物のヨードシランを分解する場合がある。現行の工業上の慣行は、Eaborn,‘Organosilicon Compounds.Part II.‘A Conversion Series for Organosilicon Halides,Pseudohalides,and Sulphides’,1950,J.Chem.Soc.,3077−3089及びBeilstein 4,IV,4009中で教示されているように、アンチモン、銀、又は銅の粉末/ペレット添加剤を使用してこれらの生成物を安定化することである。銅の添加によって生成物を安定化できるものの、堆積された膜の電気的な特性に悪影響を及ぼし得る不純物(Cu)を導入することにもなり得る。   Impurities from these synthetic methods, such as hydrogen iodide and / or iodine, may degrade the resulting product iodosilane. Current industrial practices are described in Eaborn, 'Organicicon Compounds. Part II. 'A Conversion Series for Organosilicate Halides, Pseudohalides, and Sulphides', 1950, J. Am. Chem. Soc. , 3077-3089 and Beilstein 4, IV, 4009, using antimony, silver, or copper powder / pellet additives to stabilize these products. Although the addition of copper can stabilize the product, it can also introduce impurities (Cu) that can adversely affect the electrical properties of the deposited film.

いわゆるフィンケルシュタイン反応は、1つのハロゲン原子を別のものと交換することを含むS2反応(求核置換2分子反応)である。ハライドの交換は平衡反応であるが、異なる溶解性のハライド塩を利用するか、又は大過剰のハライド塩を使用することによって反応を完結させることができる。Smith et al.,(2007),Advanced Organic Chemistry:Reactions,Mechanisms,and Structure(6th ed.),New York:Wiley−Interscience。 The so-called Finkelstein reaction is an S N 2 reaction (nucleophilic substitution bimolecular reaction) involving the exchange of one halogen atom for another. Although the halide exchange is an equilibrium reaction, the reaction can be completed by utilizing a different soluble halide salt or by using a large excess of halide salt. Smith et al. (2007), Advanced Organic Chemistry: Reactions, Mechanisms, and Structure (6th ed.), New York: Wiley-Interscience.

例えば、クロロトリメチルシランと、クロロホルム中のヨウ化リチウム又はアセトニトリル中のヨウ化ナトリウムとの反応によるヨードトリメチルシラン(TMS−I)の合成が報告されている(式4)。Handbook of Reagents for Organic Synthesis,Reagents for Silicon−Mediated Organic Synthesis,Iodotrimethylsilane,Wiley 2011,p.325。   For example, the synthesis of iodotrimethylsilane (TMS-I) by the reaction of chlorotrimethylsilane with lithium iodide in chloroform or sodium iodide in acetonitrile has been reported (Formula 4). Handbook of Reagents for Organic Synthesis, Reagents for Silicon-Mediated Organic Synthesis, Iodotrimethylsilane, Wiley 2011, p. 325.

Figure 2018519233
Figure 2018519233

Si−Clは、このルートによるヨウ素の交換に反応性を有するが、アルキル又はアリール基のようなR基は反応性を有さない。他方、Si−H結合は、通常、Si−Cl結合よりも反応性が高いことが分かっている。Chemistry and Technology of Silicones,Academic Press,1968,p.50。これを受けて、当業者はフィンケルシュタイン反応による任意のSi−H含有ハロシランのH原子とCl原子との両方の交換を期待するであろう。   Si-Cl is reactive to the exchange of iodine by this route, but R groups such as alkyl or aryl groups are not reactive. On the other hand, it has been found that Si-H bonds are usually more reactive than Si-Cl bonds. Chemistry and Technology of Silicones, Academic Press, 1968, p. 50. In response, one skilled in the art would expect the exchange of both H and Cl atoms of any Si-H containing halosilane by a Finkelstein reaction.

半導体産業での使用に適した、ジヨードシランなどの安定なSi−H含有ヨードシランの商業的に実行可能な合成及び供給が依然として必要とされている。   There remains a need for a commercially viable synthesis and supply of stable Si-H containing iodosilanes, such as diiodosilanes, suitable for use in the semiconductor industry.

Si−H含有ヨードシランを合成する方法が開示される。Si−H含有ヨードシランは、式
Si (1)
N(SiH (2)、又は
(SiH−CH (3)
(式中、wは1〜3であり、x+y+z=2w+2であり、xは1〜2w+1であり、yは0〜2w+1であり、zは1〜2w+1であり、各aは独立に0〜3であり、各bは独立に0〜3であり、各cは独立に0〜3であり、少なくとも1つのa及び少なくとも1つのcが1であることを条件としてa+b+c=3であり、各mは独立に0〜3であり、各nは独立に0〜3であり、各oは独立に0〜3であり、少なくとも1つのm及び少なくとも1つのoが1であることを条件としてm+n+o=3であり、及び各Rは独立にC1〜C12のヒドロカルビル基、Cl、Br、又はER’基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12のヒドロカルビル基である)を有する。式Si、N(SiH、又は(SiH−CH(式中、XはCl又はBrであり、及びw、x、y、z、a、b、c、m、n、及びoは上で定義したとおりである)を有するハロシラン反応物は、式MI(式中、M=Li、Na、K、Rb、又はCsである)を有するアルカリ金属ハライド反応物と反応して、MXとSi、N(SiH、又は(SiH−CHとの混合物を生成する。式Si、N(SiH、又は(SiH−CHを有するSi−H含有ヨードシランは、混合物から単離される。或いは、ハロシラン反応物はアルカリ金属ハライド反応物と混合されて、Si、N(SiH又は(SiH−CHとMXとの混合物を生成する。混合物は濾過されて、式Si、N(SiH、又は(SiH−CHを有するSi−H含有ヨードシランを生成する。本開示の方法のいずれかは、次の態様のうちの1つ以上を含み得る:
・RはCl又はBrではない;
・RはCl〜C12のヒドロカルビル基である;
・RはER’基である;
・M=Liである;
・y=0である;
・z=2〜2w+1である;
・反応工程に溶媒を添加する;
・溶媒はSi−H含有ヨードシランである;
・溶媒はアルカンである;
・溶媒は、プロパン、ブタン、ペンタン、ヘキサン、ヘプタン、クロロメタン、ジクロロメタン、クロロホルム、四塩化炭素、塩化メチレン、アセトニトリル、及びこれらの組み合わせである;
・溶媒はペンタンである;
・単離工程は、混合物を濾過して、式Siを有するSi−H含有ヨードシランからMXを分離することを含む;
・ハロシラン反応物はSiHClである;
・ハロシラン反応物はSiHClである;
・ハロシラン反応物は(SiHN(SiHCl)である;
・アルカリ金属ハライド反応物はLiIである;
・Si−H含有ヨードシランは式Si(1)を有する;
・Si−H含有ヨードシランは式SiH4−x(式中、x=1〜3である)を有する;
・Si−H含有ヨードシランはSiHIである;
・Si−H含有ヨードシランはSiHである;
・Si−H含有ヨードシランはSiHIである;
・Si−H含有ヨードシランは式SiH4−x−y(式中、x=1〜2であり、y=1〜2であり、x+yは3以下であり、及び各Rは独立にC1〜C12ヒドロカルビル基、Cl、Br、又はER’3基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12ヒドロカルビル基である)を有する;
・Si−H含有ヨードシランはMeSiHIである;
・Si−H含有ヨードシランはMeSiHIである;
・Si−H含有ヨードシランはMeSiHIである;
・Si−H含有ヨードシランはEtSiHIである;
・Si−H含有ヨードシランはEtSiHIである;
・Si−H含有ヨードシランはEtSiHIである;
・Si−H含有ヨードシランはClSiHIである;
・Si−H含有ヨードシランはClSiHIである;
・Si−H含有ヨードシランはClSiHIである;
・Si−H含有ヨードシランはBrSiHIである;
・Si−H含有ヨードシランはBrSiHIである;
・Si−H含有ヨードシランはBrlSiHIである;
・Si−H含有ヨードシランはHSiSiHIである;
・Si−H含有ヨードシランはHSiSiHIである;
・Si−H含有ヨードシランは(HSi)SiHIである;
・Si−H含有ヨードシランはHGeSiHIである;
・Si−H含有ヨードシランはHGeSiHIである;
・Si−H含有ヨードシランは(HGe)SiHIである;
・Si−H含有ヨードシランはMeSiSiHIである;
・Si−H含有ヨードシランはMeSiSiHIである;
・Si−H含有ヨードシランは(MeSi)SiHIである;
・Si−H含有ヨードシランはMeGeSiHIである;
・Si−H含有ヨードシランはMeGeSiHIである;
・Si−H含有ヨードシランは(MeGe)SiHIである;
・Si−H含有ヨードシランはMeHSiSiHIである;
・Si−H含有ヨードシランはMeHSiSiHIである;
・Si−H含有ヨードシランは(MeHSi)SiHIである;
・Si−H含有ヨードシランはMeHGeSiHIである;
・Si−H含有ヨードシランはMeHGeSiHIである;
・Si−H含有ヨードシランは(MeHGe)SiHIである;
・Si−H含有ヨードシランは式Si6−x(式中、x=1〜5である)を有する;
・Si−H含有ヨードシランはSiHIである;
・Si−H含有ヨードシランはSiである;
・Si−H含有ヨードシランはSiである;
・Si−H含有ヨードシランはSiである;
・Si−H含有ヨードシランはSiIである;
・Si−H含有ヨードシランは式Si6−x−y(式中、x=1〜4であり、y=1〜4であり、x+yは5以下であり、及び各Rは独立にC1〜C12ヒドロカルビル基、Cl、Br、又はER’3基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12ヒドロカルビル基である)を有する;
・Si−H含有ヨードシランはMeSiHIである;
・Si−H含有ヨードシランはMeSiである;
・Si−H含有ヨードシランはMeSiである;
・Si−H含有ヨードシランはMeSiIである;
・Si−H含有ヨードシランはMeSiHIである;
・Si−H含有ヨードシランはMeSiである;
・Si−H含有ヨードシランはMeSiIである;
・Si−H含有ヨードシランはMeSiHIである;
・Si−H含有ヨードシランはMeSiIである;
・Si−H含有ヨードシランはMeSiHIである;
・Si−H含有ヨードシランはEtSiHIである;
・Si−H含有ヨードシランはEtSiである;
・Si−H含有ヨードシランはEtSiである;
・Si−H含有ヨードシランはEtSiIである;
・Si−H含有ヨードシランはEtSiHIである;
・Si−H含有ヨードシランはEtSiである;
・Si−H含有ヨードシランはEtSiIである;
・Si−H含有ヨードシランはEtSiHIである;
・Si−H含有ヨードシランはEtSiIである;
・Si−H含有ヨードシランはEtSiHIである;
・Si−H含有ヨードシランはClSiHIである;
・Si−H含有ヨードシランはClSiである;
・Si−H含有ヨードシランはClSiである;
・Si−H含有ヨードシランはClSiIである;
・Si−H含有ヨードシランはClSiHIである;
・Si−H含有ヨードシランはClSiである;
・Si−H含有ヨードシランはClSiIである;
・Si−H含有ヨードシランはClSiHIである;
・Si−H含有ヨードシランはClSiIである;
・Si−H含有ヨードシランはClSiHIである;
・Si−H含有ヨードシランはBrSiHIである;
・Si−H含有ヨードシランはBrSiである;
・Si−H含有ヨードシランはBrSiである;
・Si−H含有ヨードシランはBrSiIである;
・Si−H含有ヨードシランはBrSiHIである;
・Si−H含有ヨードシランはBrSiである;
・Si−H含有ヨードシランはBrSiIである;
・Si−H含有ヨードシランはBrSiHIである;
・Si−H含有ヨードシランはBrSiIである;
・Si−H含有ヨードシランはBrSiHIである;
・Si−H含有ヨードシランはHSiSiHIである;
・Si−H含有ヨードシランはHSiSiである;
・Si−H含有ヨードシランはHSiSiである;
・Si−H含有ヨードシランはHSiSiIである;
・Si−H含有ヨードシランは(HSi)SiHIである;
・Si−H含有ヨードシランは(HSi)Siである;
・Si−H含有ヨードシランは(HSi)SiIである;
・Si−H含有ヨードシランは(HSi)SiHIである;
・Si−H含有ヨードシランは(HSi)SiIである;
・Si−H含有ヨードシランは(HSi)SiHIである;
・Si−H含有ヨードシランはHGeSiHIである;
・Si−H含有ヨードシランはHGeSiである;
・Si−H含有ヨードシランはHGeSiである;
・Si−H含有ヨードシランはHGeSiIである;
・Si−H含有ヨードシランは(HGe)SiHIである;
・Si−H含有ヨードシランは(HGe)Siである;
・Si−H含有ヨードシランは(HGe)SiIである;
・Si−H含有ヨードシランは(HGe)SiHIである;
・Si−H含有ヨードシランは(HGe)SiIである;
・Si−H含有ヨードシランは(HGe)SiHIである;
・Si−H含有ヨードシランはMeSiSiHIである;
・Si−H含有ヨードシランはMeSiSiである;
・Si−H含有ヨードシランはMeSiSiである;
・Si−H含有ヨードシランはMeSiSiIである;
・Si−H含有ヨードシランは(MeSi)SiHIである;
・Si−H含有ヨードシランは(MeSi)Siである;
・Si−H含有ヨードシランは(MeSi)SiIである;
・Si−H含有ヨードシランは(MeSi)SiHIである;
・Si−H含有ヨードシランは(MeSi)SiIである;
・Si−H含有ヨードシランは(MeSi)SiHIである;
・Si−H含有ヨードシランはMeGeSiHIである;
・Si−H含有ヨードシランはMeGeSiである;
・Si−H含有ヨードシランはMeGeSiである;
・Si−H含有ヨードシランはMeGeSiIである;
・Si−H含有ヨードシランは(MeGe)SiHIである;
・Si−H含有ヨードシランは(MeGe)Siである;
・Si−H含有ヨードシランは(MeGe)SiIである;
・Si−H含有ヨードシランは(MeGe)SiHIである;
・Si−H含有ヨードシランは(MeGe)SiIである;
・Si−H含有ヨードシランは(MeGe)SiHIである;
・Si−H含有ヨードシランはMeHSiSiHIである;
・Si−H含有ヨードシランはMeHSiSiである;
・Si−H含有ヨードシランはMeHSiSiである;
・Si−H含有ヨードシランはMeHSiSiIである;
・Si−H含有ヨードシランは(MeHSi)SiHIである;
・Si−H含有ヨードシランは(MeHSi)Siである;
・Si−H含有ヨードシランは(MeHSi)SiIである;
・Si−H含有ヨードシランは(MeHSi)SiHIである;
・Si−H含有ヨードシランは(MeHSi)SiIである;
・Si−H含有ヨードシランは(MeHSi)SiHIである;
・Si−H含有ヨードシランはMeHGeSiHIである;
・Si−H含有ヨードシランはMeHGeSiである;
・Si−H含有ヨードシランはMeHGeSiである;
・Si−H含有ヨードシランはMeHGeSiIである;
・Si−H含有ヨードシランは(MeHGe)SiHIである;
・Si−H含有ヨードシランは(MeHGe)Siである;
・Si−H含有ヨードシランは(MeHGe)SiIである;
・Si−H含有ヨードシランは(MeHGe)SiHIである;
・Si−H含有ヨードシランは(MeHGe)SiIである;
・Si−H含有ヨードシランは(MeHGe)SiHIである;
・Si−H含有ヨードシランは式Si8−x(式中、x=1〜8である)を有する;
・Si−H含有ヨードシランはSiIである;
・Si−H含有ヨードシランはSiである;
・Si−H含有ヨードシランはSiである;
・Si−H含有ヨードシランはSiである;
・Si−H含有ヨードシランはSiである;
・Si−H含有ヨードシランはSiである;
・Si−H含有ヨードシランはSiHIである;
・Si−H含有ヨードシランは式N(SiH(式中、少なくとも1つのa及び少なくとも1つのcが1であることを条件として、各aは独立に0〜3であり、及び各cは独立に0〜3である)を有する;
・Si−H含有ヨードシランはN(SiH(SiHI)である;
・Si−H含有ヨードシランはN(SiH(SiHI)である;
・Si−H含有ヨードシランはN(SiH)(SiHI)である;
・Si−H含有ヨードシランはN(SiH)(SiHIである;
・Si−H含有ヨードシランはN(SiHI(SiHI)である;
・Si−H含有ヨードシランはN(SiHI)(SiHI)である;
・Si−H含有ヨードシランはN(SiHI)である;
・Si−H含有ヨードシランはN(SiHIである;
・Si−H含有ヨードシランは式N(SiH(式中、各aは独立に0〜3であり、各bは独立に0〜3であり、各cは独立に0〜3であり、a+b+c=3であり、及び各Rは独立にC1〜C12のヒドロカルビル基、Cl、Br、又はER’3基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12のヒドロカルビル基であるが、(a)少なくとも1つのx、少なくとも1つのy、及び少なくとも1つのzが1であることと、(b)少なくとも1つのSiがHとIとの両方に結合していることとを条件とする)を有する;
・Si−H含有ヨードシランはN(SiH(SiMeHI)である;
・Si−H含有ヨードシランはN(SiHMe)(SiMeHI)である;
・Si−H含有ヨードシランはN(SiHMe(SiMeHI)である;
・Si−H含有ヨードシランはN(SiMeH)(SiHI)である;
・Si−H含有ヨードシランはN(SiMe(SiHI)である;
・Si−H含有ヨードシランはN(SiMeH)(SiHI)である;
・Si−H含有ヨードシランはN(SiMe(SiHI)である;
・Si−H含有ヨードシランは式(SiH−CH(3)を有する;
・Si−H含有ヨードシランは式(SiHCH(式中、少なくとも1つのx及び少なくとも1つのyが1であることを条件として、各xは独立に0〜3であり、及び各yは独立に0〜3である)を有する;
・Si−H含有ヨードシランは(SiHI)−CHである;
・Si−H含有ヨードシランは(SiHI−CHである;
・Si−H含有ヨードシランは(SiHI)−CH−(SiH)である;
・Si−H含有ヨードシランは(SiHI)−CH−(SiH)である;又は
・Si−H含有ヨードシランは(SiHI)−CH−(SiHI)である。
A method of synthesizing a Si-H containing iodosilane is disclosed. The Si-H containing iodosilane has the formula Si w H x R y I z (1)
N (SiH a R b I c ) 3 (2), or (SiH m R n I o ) 2 —CH 2 (3)
(Wherein w is 1 to 3, x + y + z = 2w + 2, x is 1 to 2w + 1, y is 0 to 2w + 1, z is 1 to 2w + 1, and each a is independently 0 to 3 Each b is independently 0-3, each c is independently 0-3, a + b + c = 3, provided that at least one a and at least one c are 1, and each m Is independently 0-3, each n is independently 0-3, each o is independently 0-3, and at least one m and at least one o is 1, m + n + o = 3 and each R is independently a C1-C12 hydrocarbyl group, Cl, Br, or ER ′ 3 group, where each E is independently Si or Ge, and each R ′ is independently H or a C1-C12 hydrocarbyl group). Formula Si w H x R y X z , N (SiH a R b X c) 3, or in (SiH m R n X o) 2 -CH 2 ( wherein, X is Cl or Br, and w, x , Y, z, a, b, c, m, n, and o are as defined above, can be represented by the formula MI, where M = Li, Na, K, Rb, or by reacting with an alkali metal halide reactant having a a) cs, MX and Si w H x R y I z , n (SiH a R b I c) 3, or (SiH m R n I o) 2 -CH A mixture with 2 is produced. SiH-containing Yodoshiran having the formula Si w H x R y I z , N (SiH a R b I c) 3, or (SiH m R n I o) 2 -CH 2 may be isolated from the mixture. Alternatively, the halosilane reactant may be mixed with an alkali metal halide reactant to produce Si w H x I z , N (SiH a R b I c ) 3 or (SiH m R n I o ) 2 —CH 2 and MX. A mixture is produced. The mixture is filtered to produce a Si—H containing iodosilane having the formula Si w H x I z , N (SiH a R b I c ) 3 , or (SiH m R n I o ) 2 —CH 2 . Any of the methods of the present disclosure may include one or more of the following aspects:
R is not Cl or Br;
R is a Cl to C12 hydrocarbyl group;
R is 3 ER 'groups;
M = Li;
Y = 0
Z = 2 to 2w + 1;
Adding a solvent to the reaction process;
The solvent is Si-H containing iodosilane;
The solvent is an alkane;
The solvent is propane, butane, pentane, hexane, heptane, chloromethane, dichloromethane, chloroform, carbon tetrachloride, methylene chloride, acetonitrile, and combinations thereof;
The solvent is pentane;
And isolating step, the mixture was filtered, and separating the MX from Si-H containing Yodoshiran having the formula Si w H x R y I z ;
The halosilane reactant is SiH 2 Cl 2 ;
The halosilane reactant is Si 2 HCl 5 ;
The halosilane reactant is (SiH 3 ) 2 N (SiH 2 Cl);
The alkali metal halide reactant is LiI;
· Si-H-containing Yodoshiran has the formula Si w H x R y I z (1);
The Si-H containing iodosilane has the formula SiH x I 4-x where x = 1-3;
The Si—H containing iodosilane is SiHI 3 ;
The Si—H containing iodosilane is SiH 2 I 2 ;
The Si—H containing iodosilane is SiH 3 I;
· SiH-containing Yodoshiran the formula SiH x R y I 4-x -y ( wherein a x = 1 to 2, a y = 1~2, x + y is less than or equal to 3, and each R is independently C1-C12 hydrocarbyl group, Cl, Br, or ER'3 group, wherein each E is independently Si or Ge, and each R 'is independently H or C1-C12 hydrocarbyl group) Having:
The Si—H containing iodosilane is MeSiHI 2 ;
The Si—H containing iodosilane is MeSiH 2 I;
The Si—H containing iodosilane is Me 2 SiHI;
The Si—H containing iodosilane is EtSiHI 2 ;
The Si—H containing iodosilane is EtSiH 2 I;
The Si—H containing iodosilane is Et 2 SiHI;
The Si—H containing iodosilane is ClSiHI 2 ;
The Si—H containing iodosilane is ClSiH 2 I;
The Si—H containing iodosilane is Cl 2 SiHI;
The Si—H containing iodosilane is BrSiHI 2 ;
The Si—H containing iodosilane is BrSiH 2 I;
The Si-H containing iodosilane is Br 2 SiHI;
The Si—H containing iodosilane is H 3 SiSiHI 2 ;
The Si—H containing iodosilane is H 3 SiSiH 2 I;
The Si—H containing iodosilane is (H 3 Si) 2 SiHI;
The Si—H containing iodosilane is H 3 GeSiHI 2 ;
The Si—H containing iodosilane is H 3 GeSiH 2 I;
The Si—H containing iodosilane is (H 3 Ge) 2 SiHI;
The Si—H containing iodosilane is Me 3 SiSiHI 2 ;
The Si—H containing iodosilane is Me 3 SiSiH 2 I;
The Si—H containing iodosilane is (Me 3 Si) 2 SiHI;
The Si—H containing iodosilane is Me 3 GeSiHI 2 ;
The Si—H containing iodosilane is Me 3 GeSiH 2 I;
The Si—H containing iodosilane is (Me 3 Ge) 2 SiHI;
The Si—H containing iodosilane is Me 2 HSiSiHI 2 ;
The Si—H containing iodosilane is Me 2 HSiSiH 2 I;
The Si—H containing iodosilane is (Me 2 HSi) 2 SiHI;
The Si—H containing iodosilane is Me 2 HGeSiHI 2 ;
The Si—H containing iodosilane is Me 2 HGeSiH 2 I;
The Si—H containing iodosilane is (Me 2 HGe) 2 SiHI;
· Si-H-containing Yodoshiran has the formula Si 2 H x I 6-x ( wherein, is x = 1 to 5);
The Si—H containing iodosilane is Si 2 HI 5 ;
The Si—H containing iodosilane is Si 2 H 2 I 4 ;
The Si—H containing iodosilane is Si 2 H 3 I 3 ;
The Si—H containing iodosilane is Si 2 H 4 I 2 ;
The Si—H containing iodosilane is Si 2 H 5 I;
Si-H containing iodosilane has the formula Si 2 H x R y I 6-xy (where x = 1-4, y = 1-4, x + y is 5 or less, and each R Are independently C1-C12 hydrocarbyl groups, Cl, Br, or ER′3 groups, where each E is independently Si or Ge, and each R ′ is independently H or C1-C12 hydrocarbyl group. Have)
The Si—H containing iodosilane is MeSi 2 HI 4 ;
The Si—H containing iodosilane is MeSi 2 H 2 I 3 ;
The Si—H containing iodosilane is MeSi 2 H 3 I 2 ;
The Si—H containing iodosilane is MeSi 2 H 4 I;
The Si—H containing iodosilane is Me 2 Si 2 HI 3 ;
The Si—H containing iodosilane is Me 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is Me 2 Si 2 H 3 I;
The Si—H containing iodosilane is Me 3 Si 2 HI 2 ;
The Si—H containing iodosilane is Me 3 Si 2 H 2 I;
The Si—H containing iodosilane is Me 4 Si 2 HI;
The Si—H containing iodosilane is EtSi 2 HI 4 ;
The Si—H containing iodosilane is EtSi 2 H 2 I 3 ;
The Si—H containing iodosilane is EtSi 2 H 3 I 2 ;
The Si—H containing iodosilane is EtSi 2 H 4 I;
The Si—H containing iodosilane is Et 2 Si 2 HI 3 ;
The Si—H containing iodosilane is Et 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is Et 2 Si 2 H 3 I;
The Si—H containing iodosilane is Et 3 Si 2 HI 2 ;
The Si—H containing iodosilane is Et 3 Si 2 H 2 I;
The Si—H containing iodosilane is Et 4 Si 2 HI;
The Si—H containing iodosilane is ClSi 2 HI 4 ;
The Si—H containing iodosilane is ClSi 2 H 2 I 3 ;
The Si—H containing iodosilane is ClSi 2 H 3 I 2 ;
The Si—H containing iodosilane is ClSi 2 H 4 I;
The Si—H containing iodosilane is Cl 2 Si 2 HI 3 ;
The Si—H containing iodosilane is Cl 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is Cl 2 Si 2 H 3 I;
The Si—H containing iodosilane is Cl 3 Si 2 HI 2 ;
The Si—H containing iodosilane is Cl 3 Si 2 H 2 I;
The Si—H containing iodosilane is Cl 4 Si 2 HI;
The Si—H containing iodosilane is BrSi 2 HI 4 ;
The Si—H containing iodosilane is BrSi 2 H 2 I 3 ;
The Si—H containing iodosilane is BrSi 2 H 3 I 2 ;
The Si—H containing iodosilane is BrSi 2 H 4 I;
The Si—H containing iodosilane is Br 2 Si 2 HI 3 ;
The Si—H containing iodosilane is Br 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is Br 2 Si 2 H 3 I;
The Si—H containing iodosilane is Br 3 Si 2 HI 2 ;
The Si—H containing iodosilane is Br 3 Si 2 H 2 I;
The Si—H containing iodosilane is Br 4 Si 2 HI;
The Si—H containing iodosilane is H 3 SiSi 2 HI 4 ;
The Si—H containing iodosilane is H 3 SiSi 2 H 2 I 3 ;
The Si—H containing iodosilane is H 3 SiSi 2 H 3 I 2 ;
The Si—H containing iodosilane is H 3 SiSi 2 H 4 I;
The Si—H containing iodosilane is (H 3 Si) 2 Si 2 HI 3 ;
The Si—H containing iodosilane is (H 3 Si) 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is (H 3 Si) 2 Si 2 H 3 I;
The Si—H containing iodosilane is (H 3 Si) 3 Si 2 HI 2 ;
The Si—H containing iodosilane is (H 3 Si) 3 Si 2 H 2 I;
The Si—H containing iodosilane is (H 3 Si) 4 Si 2 HI;
The Si—H containing iodosilane is H 3 GeSi 2 HI 4 ;
The Si—H containing iodosilane is H 3 GeSi 2 H 2 I 3 ;
The Si—H containing iodosilane is H 3 GeSi 2 H 3 I 2 ;
The Si—H containing iodosilane is H 3 GeSi 2 H 4 I;
The Si—H containing iodosilane is (H 3 Ge) 2 Si 2 HI 3 ;
The Si—H containing iodosilane is (H 3 Ge) 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is (H 3 Ge) 2 Si 2 H 3 I;
The Si—H containing iodosilane is (H 3 Ge) 3 Si 2 HI 2 ;
The Si—H containing iodosilane is (H 3 Ge) 3 Si 2 H 2 I;
The Si—H containing iodosilane is (H 3 Ge) 4 Si 2 HI;
The Si—H containing iodosilane is Me 3 SiSi 2 HI 4 ;
The Si—H containing iodosilane is Me 3 SiSi 2 H 2 I 3 ;
The Si—H containing iodosilane is Me 3 SiSi 2 H 3 I 2 ;
The Si—H containing iodosilane is Me 3 SiSi 2 H 4 I;
The Si—H containing iodosilane is (Me 3 Si) 2 Si 2 HI 3 ;
The Si—H containing iodosilane is (Me 3 Si) 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is (Me 3 Si) 2 Si 2 H 3 I;
The Si—H containing iodosilane is (Me 3 Si) 3 Si 2 HI 2 ;
The Si—H containing iodosilane is (Me 3 Si) 3 Si 2 H 2 I;
The Si—H containing iodosilane is (Me 3 Si) 4 Si 2 HI;
The Si—H containing iodosilane is Me 3 GeSi 2 HI 4 ;
The Si—H containing iodosilane is Me 3 GeSi 2 H 2 I 3 ;
The Si—H containing iodosilane is Me 3 GeSi 2 H 3 I 2 ;
The Si—H containing iodosilane is Me 3 GeSi 2 H 4 I;
The Si—H containing iodosilane is (Me 3 Ge) 2 Si 2 HI 3 ;
The Si—H containing iodosilane is (Me 3 Ge) 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is (Me 3 Ge) 2 Si 2 H 3 I;
The Si—H containing iodosilane is (Me 3 Ge) 3 Si 2 HI 2 ;
The Si—H containing iodosilane is (Me 3 Ge) 3 Si 2 H 2 I;
The Si—H containing iodosilane is (Me 3 Ge) 4 Si 2 HI;
The Si—H containing iodosilane is Me 2 HSiSi 2 HI 4 ;
The Si—H containing iodosilane is Me 2 HSiSi 2 H 2 I 3 ;
The Si—H containing iodosilane is Me 2 HSiSi 2 H 3 I 2 ;
The Si—H containing iodosilane is Me 2 HSiSi 2 H 4 I;
The Si—H containing iodosilane is (Me 2 HSi) 2 Si 2 HI 3 ;
The Si—H containing iodosilane is (Me 2 HSi) 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is (Me 2 HSi) 2 Si 2 H 3 I;
The Si—H containing iodosilane is (Me 2 HSi) 3 Si 2 HI 2 ;
The Si—H containing iodosilane is (Me 2 HSi) 3 Si 2 H 2 I;
The Si—H containing iodosilane is (Me 2 HSi) 4 Si 2 HI;
The Si—H containing iodosilane is Me 2 HGeSi 2 HI 4 ;
The Si—H containing iodosilane is Me 2 HGeSi 2 H 2 I 3 ;
The Si—H containing iodosilane is Me 2 HGeSi 2 H 3 I 2 ;
The Si—H containing iodosilane is Me 2 HGeSi 2 H 4 I;
The Si—H containing iodosilane is (Me 2 HGe) 2 Si 2 HI 3 ;
The Si—H containing iodosilane is (Me 2 HGe) 2 Si 2 H 2 I 2 ;
The Si—H containing iodosilane is (Me 2 HGe) 2 Si 2 H 3 I;
The Si—H containing iodosilane is (Me 2 HGe) 3 Si 2 HI 2 ;
The Si—H containing iodosilane is (Me 2 HGe) 3 Si 2 H 2 I;
The Si—H containing iodosilane is (Me 2 HGe) 4 Si 2 HI;
· Si-H-containing Yodoshiran has the formula Si 3 H x I 8-x ( wherein, is x = 1 to 8);
The Si—H containing iodosilane is Si 3 H 7 I;
The Si—H containing iodosilane is Si 3 H 6 I 2 ;
The Si—H containing iodosilane is Si 3 H 5 I 3 ;
The Si—H containing iodosilane is Si 3 H 4 I 4 ;
The Si—H containing iodosilane is Si 3 H 3 I 5 ;
The Si—H containing iodosilane is Si 3 H 2 I 6 ;
The Si—H containing iodosilane is Si 3 HI 7 ;
The Si-H containing iodosilane is of the formula N (SiH a I c ) 3 , where each a is independently 0-3, provided that at least one a and at least one c are 1. Each c is independently 0-3);
The Si—H containing iodosilane is N (SiH 3 ) 2 (SiH 2 I);
The Si—H containing iodosilane is N (SiH 3 ) 2 (SiHI 2 );
The Si—H containing iodosilane is N (SiH 3 ) (SiH 2 I) 2 ;
The Si—H containing iodosilane is N (SiH 3 ) (SiHI 2 ) 2 ;
The Si—H containing iodosilane is N (SiHI 2 ) 2 (SiH 2 I);
The Si—H containing iodosilane is N (SiHI 2 ) (SiH 2 I) 2 ;
The Si—H containing iodosilane is N (SiH 2 I) 3 ;
The Si—H containing iodosilane is N (SiHI 2 ) 3 ;
Si-H containing iodosilane is represented by the formula N (SiH a R b I c ) 3 (wherein each a is independently 0-3, each b is independently 0-3, and each c is independently 0 ~ 3, a + b + c = 3, and each R is independently a C1-C12 hydrocarbyl group, Cl, Br, or ER'3 group, where each E is independently Si or Ge; And each R ′ is independently H or a C1-C12 hydrocarbyl group, wherein (a) at least one x, at least one y, and at least one z are 1, and (b) at least one Provided that Si is bonded to both H and I);
The Si—H containing iodosilane is N (SiH 3 ) 2 (SiMeHI);
The Si—H containing iodosilane is N (SiH 2 Me) 2 (SiMeHI);
The Si—H containing iodosilane is N (SiHMe 2 ) 2 (SiMeHI);
The Si—H containing iodosilane is N (SiMe 2 H) 2 (SiH 2 I);
The Si—H containing iodosilane is N (SiMe 3 ) 2 (SiH 2 I);
The Si—H containing iodosilane is N (SiMe 2 H) 2 (SiHI 2 );
The Si—H containing iodosilane is N (SiMe 3 ) 2 (SiHI 2 );
· SiH-containing Yodoshiran has the formula (SiH m R n I o) 2 -CH 2 (3);
The Si—H containing iodosilane has the formula (SiH x I y ) 2 CH 2 , where each x is independently 0-3, provided that at least one x and at least one y are 1. And each y is independently 0-3);
The Si—H containing iodosilane is (SiH 2 I) 2 —CH 2 ;
The Si—H containing iodosilane is (SiHI 2 ) 2 —CH 2 ;
The Si—H containing iodosilane is (SiH 2 I) —CH 2 — (SiH 3 );
The Si—H containing iodosilane is (SiHI 2 ) —CH 2 — (SiH 3 ); or The Si—H containing iodosilane is (SiH 2 I) —CH 2 — (SiHI 2 ).

上に列挙したSi−H含有ヨードシランのいずれかを含有するSi含有膜形成用組成物も開示される。本開示のSi含有膜形成用組成物は、次の態様のうちの1つ以上を含む:
・Si含有膜形成用組成物は約99%v/v〜約100%v/vの1種のSi−H含有ヨードシランを含有する;
・Si含有膜形成用組成物は約99.5%v/v〜約100%v/vの1種のSi−H含有ヨードシランを含有する;
・Si含有膜形成用組成物は約99.97%v/v〜約100%v/vの1種のSi−H含有ヨードシランを含有する;
・Si含有膜形成用組成物は約0ppbw〜約100ppbwのCuを含有する;
・Si含有膜形成用組成物は約0ppbw〜約100ppbwのAgを含有する;
・Si含有膜形成用組成物は約0ppbw〜約100ppbwのSbを含有する;
・Si含有膜形成用組成物は約0ppbw〜約50ppbwのCuを含有する;
・Si含有膜形成用組成物は約0ppbw〜約50ppbwのAgを含有する;
・Si含有膜形成用組成物は約0ppbw〜約50ppbwのSbを含有する;
・Si含有膜形成用組成物は約0ppbw〜約10ppbwのCuを含有する;
・Si含有膜形成用組成物は約0ppbw〜約10ppbwのAgを含有する;又は
・Si含有膜形成用組成物は約0ppbw〜約10ppbwのSbを含有する。
A Si-containing film forming composition containing any of the Si-H containing iodosilanes listed above is also disclosed. The composition for forming a Si-containing film of the present disclosure includes one or more of the following aspects:
The Si-containing film forming composition contains from about 99% v / v to about 100% v / v of one Si-H containing iodosilane;
The Si-containing film-forming composition contains about 99.5% v / v to about 100% v / v of one Si—H containing iodosilane;
The Si-containing film-forming composition contains about 99.97% v / v to about 100% v / v of one Si-H containing iodosilane;
The Si-containing film-forming composition contains about 0 ppbw to about 100 ppbw Cu;
The Si-containing film-forming composition contains about 0 ppbw to about 100 ppbw of Ag;
The Si-containing film-forming composition contains from about 0 ppbw to about 100 ppbw Sb;
The Si-containing film-forming composition contains about 0 ppbw to about 50 ppbw Cu;
The Si-containing film-forming composition contains about 0 ppbw to about 50 ppbw of Ag;
The Si-containing film-forming composition contains about 0 ppbw to about 50 ppbw Sb;
The Si-containing film-forming composition contains about 0 ppbw to about 10 ppbw Cu;
The Si-containing film-forming composition contains about 0 ppbw to about 10 ppbw Ag; or The Si-containing film-forming composition contains about 0 ppbw to about 10 ppbw Sb.

Si含有膜形成用組成物移送装置であって、入口管と出口管とを有し、且つ上に開示したSi含有膜形成用組成物のいずれかが入っているキャニスターを含むSi含有膜形成用組成物移送装置も開示される。本開示の装置は、次の態様のうちの1つ以上を含み得る:
・入口管末端の端部はSi含有膜形成用組成物の表面の上方に位置し、及び出口管の端部はSi含有膜形成用組成物の表面の下側に位置する;
・入口管末端の端部はSi含有膜形成用組成物の表面の下側に位置し、及び出口管の端部はSi含有膜形成用組成物の表面の上方に位置する;
・入口及び出口にダイアフラムバルブを更に含む;
・キャニスターの内表面はガラスである;
・キャニスターの内表面は不動態化されたステンレス鋼である;
・キャニスターは、キャニスターの外表面に耐光性コーティングを有する耐光性のガラスである;
・キャニスターの内表面は酸化アルミニウムである;
・キャニスターの内表面に1層以上のバリア層を更に含む;
・キャニスターの内表面に1〜4層のバリア層を更に含む;
・キャニスターの内表面に1層又は2層のバリア層を更に含む;
・各バリア層は、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭窒化ケイ素層、酸炭窒化ケイ素層、又はこれらの組み合わせを含む;
・各バリア層は1〜100nmの厚さである;又は
・各バリア層は2〜10nmの厚さである。
A Si-containing film forming composition transfer apparatus, comprising an inlet pipe and an outlet pipe, and comprising a canister containing any of the Si-containing film forming compositions disclosed above A composition transfer device is also disclosed. The apparatus of the present disclosure may include one or more of the following aspects:
The end of the inlet tube end is located above the surface of the Si-containing film-forming composition and the end of the outlet tube is located below the surface of the Si-containing film-forming composition;
The end of the inlet tube is located below the surface of the Si-containing film-forming composition and the end of the outlet tube is located above the surface of the Si-containing film-forming composition;
-Further comprising diaphragm valves at the inlet and outlet;
The inner surface of the canister is glass;
The inner surface of the canister is passivated stainless steel;
The canister is a light-resistant glass with a light-resistant coating on the outer surface of the canister;
The inner surface of the canister is aluminum oxide;
-Further comprising one or more barrier layers on the inner surface of the canister;
-Further comprising 1-4 barrier layers on the inner surface of the canister;
-Further comprising one or two barrier layers on the inner surface of the canister;
Each barrier layer comprises a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a silicon carbonitride layer, a silicon oxycarbonitride layer, or a combination thereof;
• Each barrier layer is 1-100 nm thick; or • Each barrier layer is 2-10 nm thick.

表記及び命名
特定の略語、記号、及び用語が以降の本明細書及び請求項全体を通じて使用されており、次のものが含まれる。
Notation and Naming Certain abbreviations, symbols and terms are used throughout the following specification and claims, including the following:

本明細書において、不定冠詞「1つの(a)」又は「1つの(an)」は、1つ以上を意味する。   In this specification, the indefinite article “one (a)” or “one” means one or more.

本明細書において、用語「およそ」又は「約」は、規定の値の±10%を意味する。   As used herein, the term “approximately” or “about” means ± 10% of a specified value.

本明細書において、R基の記述に関連して使用される場合の用語「独立に」は、対象のR基が同じ又は異なる下付き又は上付きを有する他のR基から独立して選択されるだけでなく、同じR基のいずれの追加的な種からも独立して選択されることを意味すると理解すべきである。例えば、式MR (NR(4−x)(式中、xは2又は3である)において、2つ又は3つのR基は、互いに又はR若しくはRと同じであってもよいが、同じである必要はない。更に、特段の記載がない限り、異なる式で使用される場合のR基の値は互いに独立であると理解すべきである。 As used herein, the term “independently” when used in connection with the description of an R group is independently selected from other R groups in which the subject R group has the same or different subscript or superscript. It should be understood to mean that it is independently selected from any additional species of the same R group. For example, in the formula MR 1 x (NR 2 R 3 ) (4-x) where x is 2 or 3, two or three R 1 groups are the same as each other or R 2 or R 3 May, but need not be the same. Further, unless otherwise specified, it should be understood that the values of the R groups when used in different formulas are independent of each other.

本明細書において、用語「ヒドロカルビル基」は、炭素及び水素を含む官能基のことを意味し、用語「アルキル基」は、炭素原子及び水素原子のみを含む飽和の官能基を意味する。ヒドロカルビル基は飽和であっても不飽和であってもよい。いずれの用語も、直鎖、分岐、又は環状の基を意味する。直鎖アルキル基の例としては、限定するものではないが、メチル基、エチル基、n−プロピル基、n−ブチル基等が挙げられる。分岐アルキル基の例としては、限定するものではないが、iso−プロピル、t−ブチルが挙げられる。環状アルキル基の例としては、限定するものではないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基等が挙げられる。   As used herein, the term “hydrocarbyl group” means a functional group containing carbon and hydrogen, and the term “alkyl group” means a saturated functional group containing only carbon and hydrogen atoms. The hydrocarbyl group may be saturated or unsaturated. Any term means a linear, branched or cyclic group. Examples of linear alkyl groups include, but are not limited to, methyl groups, ethyl groups, n-propyl groups, n-butyl groups, and the like. Examples of branched alkyl groups include, but are not limited to, iso-propyl and t-butyl. Examples of the cyclic alkyl group include, but are not limited to, a cyclopropyl group, a cyclopentyl group, a cyclohexyl group, and the like.

本明細書において、用語「アリール」は、1つの水素原子が環から取り除かれた芳香環化合物を意味する。本明細書において、用語「ヘテロ環」は、その環の要素として少なくとも2つの異なる元素の原子を有する環状化合物を意味する。   As used herein, the term “aryl” means an aromatic ring compound in which one hydrogen atom is removed from the ring. As used herein, the term “heterocycle” means a cyclic compound having atoms of at least two different elements as elements of the ring.

本明細書において、略語「Me」はメチル基を意味し、略語「Et」はエチル基を意味し、略語「Pr」は任意のプロピル基(すなわち、n−プロピル又はイソプロピル)を意味し、略語「iPr」はイソプロピル基を意味し、略語「Bu」は任意のブチル基(n−ブチル、iso−ブチル、t−ブチル、sec−ブチル)を意味し、略語「tBu」はtert−ブチル基を意味し、略語「sBu」はsec−ブチル基を意味し、略語「iBu」はiso−ブチル基を意味し、略語「Ph」はフェニル基を意味し、略語「Am」は任意のアミル基(iso−アミル、sec−アミル、tert−アミル)を意味し、略語「Cy」は環状アルキル基(シクロブチル、シクロペンチル、シクロヘキシル等)を意味する。   As used herein, the abbreviation “Me” means a methyl group, the abbreviation “Et” means an ethyl group, the abbreviation “Pr” means any propyl group (ie, n-propyl or isopropyl), and the abbreviation “IPr” means isopropyl group, abbreviation “Bu” means any butyl group (n-butyl, iso-butyl, t-butyl, sec-butyl), abbreviation “tBu” means tert-butyl group. The abbreviation “sBu” means sec-butyl group, the abbreviation “iBu” means iso-butyl group, the abbreviation “Ph” means phenyl group, and the abbreviation “Am” means any amyl group ( iso-amyl, sec-amyl, tert-amyl), and the abbreviation “Cy” means a cyclic alkyl group (cyclobutyl, cyclopentyl, cyclohexyl, etc.).

本明細書において、頭字語「HCDS」はヘキサクロロジシランを意味し、頭字語「PCDS」はペンタクロロジシランを意味し、頭字語「OCTS」はn−オクチルトリメトキシシランを意味し、頭字語「TSA」はトリシリルアミン又はN(SiHを意味する。 As used herein, the acronym “HCDS” means hexachlorodisilane, the acronym “PCDS” means pentachlorodisilane, the acronym “OCTS” means n-octyltrimethoxysilane, and the acronym “TSA”. "Means trisilylamine or N (SiH 3 ) 3 .

本明細書において、用語「ヨードシラン」は、Si上の他の結合又は分子の主鎖に関係なく、少なくとも1つのSi−I結合を含む分子を意味する。より一般的には、「ハロシラン」は、Si上の他の結合又は分子の主鎖に関係なく、少なくとも1つのSi−X含有結合(Xはハロゲン原子である)を含む分子を意味する。   As used herein, the term “iodosilane” means a molecule that contains at least one Si—I bond, regardless of other bonds on Si or the backbone of the molecule. More generally, “halosilane” refers to a molecule that contains at least one Si—X containing bond (where X is a halogen atom), regardless of other bonds on Si or the backbone of the molecule.

本明細書において、用語「Si−H含有」は、Si上の他の結合又は分子の主鎖に関係なく、少なくとも1つのSi−H結合を含む分子を意味する。   As used herein, the term “Si—H containing” means a molecule that contains at least one Si—H bond, regardless of other bonds on Si or the backbone of the molecule.

本明細書において、用語「配位性溶媒」は、OH基又はNH基を含む溶媒など、電子対を供与する任意の溶媒を意味する。典型的な配位性溶媒としては、アミン、ホスフィン、エーテル、及びケトンが挙げられる。 As used herein, the term “coordinating solvent” means any solvent that donates an electron pair, such as a solvent containing an OH group or an NH 3 group. Typical coordinating solvents include amines, phosphines, ethers, and ketones.

本明細書において、頭字語「LCD−TFT」は液晶ディスプレイ薄膜トランジスタを意味し、頭字語「MIM」は金属−絶縁体−金属を意味し、頭字語「DRAM」はダイナミックランダムアクセスメモリを意味し、頭字語「FeRAM」は強誘電体ランダムアクセスメモリを意味し、頭字語「sccm」は標準立方センチメートル毎分を意味し、頭字語「GCMS」はガスクロマトグラフィー質量分析法を意味する。   In this specification, the acronym “LCD-TFT” means a liquid crystal display thin film transistor, the acronym “MIM” means metal-insulator-metal, the acronym “DRAM” means dynamic random access memory, The acronym “FeRAM” means ferroelectric random access memory, the acronym “sccm” means standard cubic centimeter per minute, and the acronym “GCMS” means gas chromatography mass spectrometry.

本明細書では、元素の周期律表由来の元素の標準的な略語が使用される。元素はこれらの略語(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味する等)によって言及され得ることを理解すべきである。   In this specification, standard abbreviations for elements from the periodic table of elements are used. It should be understood that an element may be referred to by these abbreviations (eg, Si means silicon, N means nitrogen, O means oxygen, C means carbon, etc.).

本明細書に列挙されている任意の及び全ての範囲は、用語「全て含めて」が使用されているか否かに関わらず、それらの端点を含む(すなわち、X=1〜4は、X=1、X=4、及びX=その間の任意の数を含む)。   Any and all ranges listed herein include their endpoints regardless of whether the term “include all” is used (ie, X = 1 to 4 is X = 1, X = 4, and X = including any number in between).

本発明の特性及び目的を更に理解するために、添付の図面と併せて以降の詳細な説明を参照すべきである。   For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description taken together with the accompanying figures.

本開示の合成方法を行うことができる装置の概略図である。1 is a schematic diagram of an apparatus capable of performing the synthesis method of the present disclosure. 本開示の合成方法を行うことができる別の装置の概略図である。FIG. 6 is a schematic diagram of another apparatus capable of performing the synthesis method of the present disclosure. Si含有膜形成用組成物の移送装置1のある実施形態の側断面図である。1 is a side sectional view of an embodiment of a transfer device 1 for a composition for forming a Si-containing film. Si含有膜形成用組成物の移送装置1の第2の実施形態の側断面図である。It is a sectional side view of 2nd Embodiment of the transfer apparatus 1 of the composition for Si containing film formation. 固体のSi含有膜形成用組成物を昇華させるための固体前駆体昇華装置100の例示的な実施形態の側断面図である。1 is a side cross-sectional view of an exemplary embodiment of a solid precursor sublimation apparatus 100 for sublimating a solid Si-containing film forming composition. 実施例5の反応生成物SiHのガスクロマトグラフィー/マススペクトル(GC/MS)グラフである。 6 is a gas chromatography / mass spectrum (GC / MS) graph of the reaction product SiH 2 I 2 of Example 5. FIG. 90分撹拌後の実施例7の反応混合物のGC/MSグラフである。It is a GC / MS graph of the reaction mixture of Example 7 after stirring for 90 minutes.

式:
Si (1)
N(SiH (2)、又は
(SiH−CH (3)
(式中、wは1〜3であり、x+y+z=2w+2であり、xは1〜2w+1であり、yは0〜2w+1であり、zは1〜2w+1であり、各aは独立に0〜3であり、各bは独立に0〜3であり、各cは独立に0〜3であり、少なくとも1つのa及び少なくとも1つのcが1であることを条件としてa+b+c=3であり、各mは独立に0〜3であり、各nは独立に0〜3であり、各oは独立に0〜3であり、少なくとも1つのm及び少なくとも1つのoが1であることを条件としてm+n+o=3であり、及び各Rは独立にC1〜C12のヒドロカルビル基、Cl、Br、又はER’基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12のヒドロカルビル基である)
を有するSi−H含有ヨードシランを合成する方法が開示される。
formula:
Si w H x R y I z (1)
N (SiH a R b I c ) 3 (2), or (SiH m R n I o ) 2 —CH 2 (3)
(Wherein w is 1 to 3, x + y + z = 2w + 2, x is 1 to 2w + 1, y is 0 to 2w + 1, z is 1 to 2w + 1, and each a is independently 0 to 3 Each b is independently 0-3, each c is independently 0-3, a + b + c = 3, provided that at least one a and at least one c are 1, and each m Is independently 0-3, each n is independently 0-3, each o is independently 0-3, and at least one m and at least one o is 1, m + n + o = 3 and each R is independently a C1-C12 hydrocarbyl group, Cl, Br, or ER ′ 3 group, where each E is independently Si or Ge, and each R ′ is independently H or a C1-C12 hydrocarbyl group)
Disclosed is a method for synthesizing a Si-H containing iodosilane having:

ジヨードシラン(SiH)又はペンタヨードジシラン(SiHI)などのこれらの化合物は、反応性の高いSi−H基を含んでおり、y又はb又はnが0の場合に有機保護基を全く有さない。その結果、これらの水素化ケイ素は配位性溶媒からの水素化ケイ素の求核攻撃を受けやすい。例えば、Keinan et al.,J.Org.Chem.1987,52,4846−4851(ヨードトリメチルシランによるアルコール及びエーテルの触媒的脱酸素化、カルボニル共役付加反応、並びにケトンのα−アルコキシメチル化を実証)を参照されたい。すなわち、最終生成物が溶媒と反応し得ることから、溶媒を使用する場合、適切な溶媒の選択において細心の注意を払わなければならない。これは生成物の分解及び副反応を生じさせ得る。また、これは合成に適切な溶媒の選択も制限する。 These compounds such as diiodosilane (SiH 2 I 2 ) or pentaiododisilane (Si 2 HI 5 ) contain highly reactive Si—H groups, and organic protecting groups when y or b or n is 0. There is no at all. As a result, these silicon hydrides are susceptible to nucleophilic attack of silicon hydride from coordinating solvents. For example, Keinan et al. , J .; Org. Chem. 1987, 52, 4846-4851 (demonstrating catalytic deoxygenation of alcohols and ethers with iodotrimethylsilane, carbonyl conjugate addition reaction, and α-alkoxymethylation of ketones). That is, since the final product can react with the solvent, great care must be taken in selecting an appropriate solvent when using a solvent. This can cause product degradation and side reactions. This also limits the selection of suitable solvents for synthesis.

フィンケルシュタイン型のS2反応は、典型的には、反応の駆動力として機能させるための試薬及び塩副生成物のそれぞれの溶解性及び不溶性に依存する。例えば、ヨードトリメチルシラン(TMS−I)は、クロロホルム又はアセトニトリルなどの適切な溶媒中でクロロトリメチルシランとアルカリ金属ヨウ化物塩との反応(上の反応スキーム4参照)によって合成することができる。この具体的な例では、クロロトリメチルシラン(TMS−Cl)及びヨウ化ナトリウム塩は、これらの溶媒にいくらかの溶解性を有する一方、副生成物の塩化ナトリウムは有さない。副生成物である塩化ナトリウムの析出は、反応の駆動力に寄与する。 The Finkelstein-type S N 2 reaction typically relies on the respective solubility and insolubility of the reagents and salt by-products to serve as the driving force for the reaction. For example, iodotrimethylsilane (TMS-I) can be synthesized by reaction of chlorotrimethylsilane with an alkali metal iodide salt (see Reaction Scheme 4 above) in a suitable solvent such as chloroform or acetonitrile. In this specific example, chlorotrimethylsilane (TMS-Cl) and sodium iodide salt have some solubility in these solvents, but no by-product sodium chloride. Precipitation of sodium chloride as a by-product contributes to the driving force of the reaction.

Si(例えば、SiH又はSiHI)、N(SiH(例えば、N(SiH(SiHI))、又は(SiH−CH(例えば、(SiHI)−CH−(SiH))の合成は、Si−H結合の反応性のため、ハロゲン交換(scrambling)及び副反応を生じ得る。配位性溶媒は、このようなハロゲンの組み換え及び副反応を深刻にし得る。ジクロロシラン(DCS)とヨウ化リチウムとの間の反応により、周囲温度で溶媒の不存在下でジヨードシランが生成する(下の実施例3参照)。副生成物である塩化リチウム塩の濾過時には非配位性溶媒(例えば、n−ペンタン及びクロロホルム)が有用である。非配位性溶媒は、改善された混合(すなわち、反応生成物の希釈)及び副反応の抑制(熱交換媒体)により反応も促進し得る。好適な非配位性溶媒としては、炭化水素(ペンタン、ヘキサン、シクロヘキサン、ヘプタン、オクタン、ベンゼン、トルエンなど)、及び塩素系脂肪族炭化水素(クロロメタン、ジクロロメタン、クロロホルム、四塩化炭素など)、アセトニトリル等が挙げられる。しかし、塩素系溶媒は、通常、厳しく規制されており(許可が必要とされる)、また発がん性の場合があることから、塩素系溶媒の使用はあまり魅力的ではない選択肢である。溶媒は、目的生成物との十分な沸点の差を有するように選択する必要があり、そのような沸点の差は典型的には>20℃、好ましくは>40℃である。 Si w H x R y I z (eg, SiH 2 I 2 or Si 2 HI 5 ), N (SiH a R b I c ) 3 (eg, N (SiH 3 ) 2 (SiH 2 I)), or ( SiH m R n I o) 2 -CH 2 ( e.g., (SiH 2 I) -CH 2 - synthesis of (SiH 3)), since the reactivity of the SiH bond, halogen exchange (scrambling) and side reactions Can result. Coordinating solvents can make such halogen recombination and side reactions serious. The reaction between dichlorosilane (DCS) and lithium iodide produces diiodosilane in the absence of solvent at ambient temperature (see Example 3 below). Non-coordinating solvents (for example, n-pentane and chloroform) are useful when filtering the by-product lithium chloride salt. Non-coordinating solvents can also facilitate the reaction through improved mixing (ie, dilution of the reaction product) and suppression of side reactions (heat exchange medium). Suitable non-coordinating solvents include hydrocarbons (pentane, hexane, cyclohexane, heptane, octane, benzene, toluene, etc.) and chlorinated aliphatic hydrocarbons (chloromethane, dichloromethane, chloroform, carbon tetrachloride, etc.), Examples include acetonitrile. However, the use of chlorinated solvents is a less attractive option because chlorinated solvents are usually strictly regulated (permissions are required) and may be carcinogenic. The solvent should be selected to have a sufficient boiling point difference with the desired product, and such boiling point difference is typically> 20 ° C, preferably> 40 ° C.

典型的なSi−H含有ヨードシラン反応生成物としては、限定するものではないが:
・SiHI、SiH、又はSiHIなどのSiH4−x(式中、x=1〜3である);
・MeSiHI、MeSiHI、MeSiHI、EtSiHI、EtSiHI、EtSiHI、ClSiHI、ClSiHI、ClSiHI、BrSiHI、BrSiHI、BrlSiHI、HSiSiHI、HSiSiHI、(HSi)SiHI、HGeSiHI、HGeSiHI、(HGe)SiHI、MeSiSiHI、MeSiSiHI、(MeSi)SiHI、MeGeSiHI、MeGeSiHI、(MeGe)SiHI、MeHSiSiHI、MeHSiSiHI、(MeHSi)SiHI、MeHGeSiHI、MeHGeSiHI、(MeHGe)SiHI等などのSiH4−x−y(式中、x=1〜2であり、y=1〜2であり、x+yは3以下であり、及び各Rは独立にC1〜C12ヒドロカルビル基、Cl、Br、又はER’3基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12ヒドロカルビル基である);
・SiHI、Si、Si、Si、又はSiIなどのSix−6(式中、x=1〜5である)であり、xは、好ましくは5である(すなわち、SiHI);
・MeSiHI、MeSi、MeSi、MeSiI、MeSiHI、MeSi、MeSiI、MeSiHI、MeSiI、MeSiHI、EtSiHI、EtSi、EtSi、EtSiI、EtSiHI、EtSi、EtSiI、EtSiHI、EtSiI、EtSiHI、ClSiHI、ClSi、ClSi、ClSiI、ClSiHI、ClSi、ClSiI、ClSiHI、ClSiI、ClSiHI、BrSiHI、BrSi、BrSi、BrSiI、BrSiHI、BrSi、BrSiI、BrSiHI、BrSiI、BrSiHI、HSiSiHI、HSiSi、HSiSi、HSiSiI、(HSi)SiHI、(HSi)Si、(HSi)SiI、(HSi)SiHI、(HSi)SiI、(HSi)SiHI、HGeSiHI、HGeSi、HGeSi、HGeSiI、(HGe)SiHI、(HGe)Si、(HGe)SiI、(HGe)SiHI、(HGe)SiI、(HGe)SiHI、MeSiSiHI、MeSiSi、MeSiSi、MeSiSiI、(MeSi)SiHI、(MeSi)Si、(MeSi)SiI、(MeSi)SiHI、(MeSi)SiI、(MeSi)SiHI、MeGeSiHI、MeGeSi、MeGeSi、MeGeSiI、(MeGe)SiHI、(MeGe)Si、(MeGe)SiI、(MeGe)SiHI、(MeGe)SiI、(MeGe)SiHI、MeHSiSiHI、MeHSiSi、MeHSiSi、MeHSiSiI、(MeHSi)SiHI、(MeHSi)Si、(MeHSi)SiI、(MeHSi)SiHI、(MeHSi)SiI、(MeHSi)SiHI、MeHGeSiHI、MeHGeSi、MeHGeSi、MeHGeSiI、(MeHGe)SiHI、(MeHGe)Si、(MeHGe)SiI、(MeHGe)SiHI、(MeHGe)SiI、(MeHGe)SiHI等などのSi6−x−y(式中、x=1〜4であり、y=1〜4であり、x+yは5以下であり、及び各Rは独立にC1〜C12ヒドロカルビル基、Cl、Br、又はER’3基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12ヒドロカルビル基である);
・SiI、Si、Si、Si、Si、Si、SiHIなどのSix−8(式中、x=1〜7である);
・N(SiH(SiHI)、N(SiH(SiHI)、N(SiH)(SiHI)、N(SiH)(SiHI、N(SiHI(SiHI)、N(SiHI)(SiHI)、N(SiHI)、又はN(SiHIなどのN(SiH(式中、少なくとも1つのx及び少なくとも1つのyが1であることを条件として、各xは独立に0〜3であり、及び各yは独立に0〜3である);
・N(SiH(SiMeHI)、N(SiHMe)(SiMeHI)、N(SiHMe(SiMeHI)、N(SiMeH)(SiHI)、N(SiMe(SiHI)、N(SiMeH)(SiHI)、N(SiMe(SiHI)等などのN(SiH(式中、各xは独立に0〜3であり、各yは独立に0〜3であり、各zは独立に0〜3であり、x+y+z=3であり、及び各Rは独立にC1〜C12のヒドロカルビル基、Cl、Br、又はER’3基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12のヒドロカルビル基であるが、(a)少なくとも1つのx、少なくとも1つのy、及び少なくとも1つのzが1であることと、(b)少なくとも1つのSiがHとIとの両方に結合していることとを条件とする);又は
・(SiHI)−CH、(SiHI−CH、(SiHI)−CH−(SiH)、(SiHI)−CH−(SiH)、又は(SiHI)−CH−(SiHI)などの(SiHCH(式中、少なくとも1つのx及び少なくとも1つのyが1であることを条件として、各xは独立に0〜3であり、及び各yは独立に0〜3である);
〜が挙げられる。
Typical Si—H containing iodosilane reaction products include, but are not limited to:
SiH x I 4-x such as SiHI 3 , SiH 2 I 2 , or SiH 3 I, where x = 1-3;
· MeSiHI 2, MeSiH 2 I, Me 2 SiHI, EtSiHI 2, EtSiH 2 I, Et 2 SiHI, ClSiHI 2, ClSiH 2 I, Cl 2 SiHI, BrSiHI 2, BrSiH 2 I, Brl 2 SiHI, H 3 SiSiHI 2, H 3 SiSiH 2 I, (H 3 Si) 2 SiHI, H 3 GeSiHI 2 , H 3 GeSiH 2 I, (H 3 Ge) 2 SiHI, Me 3 SiSiHI 2 , Me 3 SiSiH 2 I, (Me 3 Si) 2 SiHI, Me 3 GeSiHI 2 , Me 3 GeSiH 2 I, (Me 3 Ge) 2 SiHI, Me 2 HSiSiHI 2 , Me 2 HSiSiH 2 I, (Me 2 HSi) 2 SiHI, Me 2 HGeSiH 2 , Me 2 HGeSiH 2 , (Me 2 HGe) 2 SiH x R y I 4- xy, such as SiHI (wherein x = 1 to 2, y = 1 to 2, x + y is 3 or less, and each R is independently C1 to C2 C12 hydrocarbyl groups, Cl, Br, or ER′3 groups, where each E is independently Si or Ge, and each R ′ is independently H or a C1-C12 hydrocarbyl group);
Si 2 H x-6 I x such as Si 2 HI 5 , Si 2 H 2 I 4 , Si 2 H 3 I 3 , Si 2 H 4 I 2 , or Si 2 H 5 I where x = 1 X is preferably 5 (ie, Si 2 HI 5 );
MeSi 2 HI 4 , MeSi 2 H 2 I 3 , MeSi 2 H 3 I 2 , MeSi 2 H 4 I, Me 2 Si 2 HI 3 , Me 2 Si 2 H 2 I 2 , Me 2 Si 2 H 3 I, Me 3 Si 2 HI 2 , Me 3 Si 2 H 2 I, Me 4 Si 2 HI, EtSi 2 HI 4 , EtSi 2 H 2 I 3 , EtSi 2 H 3 I 2 , EtSi 2 H 4 I, Et 2 Si 2 HI 3 , Et 2 Si 2 H 2 I 2 , Et 2 Si 2 H 3 I, Et 3 Si 2 HI 2 , Et 3 Si 2 H 2 I, Et 4 Si 2 HI, ClSi 2 HI 4 , ClSi 2 H 2 I 3 , ClSi 2 H 3 I 2 , ClSi 2 H 4 I, Cl 2 Si 2 HI 3 , Cl 2 Si 2 H 2 I 2 , Cl 2 Si 2 H 3 I, Cl 3 Si 2 HI 2 , Cl 3 S i 2 H 2 I, Cl 4 Si 2 HI, BrSi 2 HI 4 , BrSi 2 H 2 I 3 , BrSi 2 H 3 I 2 , BrSi 2 H 4 I, Br 2 Si 2 HI 3 , Br 2 Si 2 H 2 I 2 , Br 2 Si 2 H 3 I, Br 3 Si 2 HI 2 , Br 3 Si 2 H 2 I, Br 4 Si 2 HI, H 3 SiSi 2 HI 4 , H 3 SiSi 2 H 2 I 3 , H 3 SiSi 2 H 3 I 2 , H 3 SiSi 2 H 4 I, (H 3 Si) 2 Si 2 HI 3 , (H 3 Si) 2 Si 2 H 2 I 2 , (H 3 Si) 2 Si 2 H 3 I , (H 3 Si) 3 Si 2 HI 2 , (H 3 Si) 3 Si 2 H 2 I, (H 3 Si) 4 Si 2 HI, H 3 GeSi 2 HI 4 , H 3 GeSi 2 H 2 I 3 , H 3 GeSi 2 H 3 I 2 , H 3 GeSi 2 H 4 I, (H 3 Ge) 2 Si 2 HI 3 , (H 3 Ge) 2 Si 2 H 2 I 2 , (H 3 Ge) 2 Si 2 H 3 I, (H 3 Ge) 3 Si 2 HI 2 , (H 3 Ge) 3 Si 2 H 2 I, (H 3 Ge) 4 Si 2 HI, Me 3 SiSi 2 HI 4 , Me 3 SiSi 2 H 2 I 3 , Me 3 SiSi 2 H 3 I 2 , Me 3 SiSi 2 H 4 I, (Me 3 Si) 2 Si 2 HI 3 , (Me 3 Si) 2 Si 2 H 2 I 2 , (Me 3 Si) 2 Si 2 H 3 I, (Me 3 Si) 3 Si 2 HI 2 , (Me 3 Si) 3 Si 2 H 2 I, (Me 3 Si) 4 Si 2 HI, Me 3 GeSi 2 HI 4 , Me 3 GeSi 2 H 2 I 3 , Me 3 GeSi 2 H 3 I 2, Me 3 GeSi 2 H I, (Me 3 Ge) 2 Si 2 HI 3, (Me 3 Ge) 2 Si 2 H 2 I 2, (Me 3 Ge) 2 Si 2 H 3 I, (Me 3 Ge) 3 Si 2 HI 2, ( Me 3 Ge) 3 Si 2 H 2 I, (Me 3 Ge) 4 Si 2 HI, Me 2 HSiSi 2 HI 4 , Me 2 HSiSi 2 H 2 I 3 , Me 2 HSiSi 2 H 3 I 2 , Me 2 HSiSi 2 H 4 I, (Me 2 HSi) 2 Si 2 HI 3 , (Me 2 HSi) 2 Si 2 H 2 I 2 , (Me 2 HSi) 2 Si 2 H 3 I, (Me 2 HSi) 3 Si 2 HI 2 , (Me 2 HSi) 3 Si 2 H 2 I, (Me 2 HSi) 4 Si 2 HI, Me 2 HGeSi 2 HI 4, Me 2 HGeSi 2 H 2 I 3, Me 2 HGeSi 2 H 3 I 2, e 2 HGeSi 2 H 4 I, (Me 2 HGe) 2 Si 2 HI 3, (Me 2 HGe) 2 Si 2 H 2 I 2, (Me 2 HGe) 2 Si 2 H 3 I, (Me 2 HGe) 3 Si 2 HI 2, (Me 2 HGe) 3 Si 2 H 2 I, (Me 2 HGe) 4 Si 2 Si 2 H such or HI x R y I 6-x- y ( where, x = 1 to 4 Y = 1-4, x + y is 5 or less, and each R is independently a C1-C12 hydrocarbyl group, Cl, Br, or ER′3 group, where each E is independently Si or Ge, and each R ′ is independently H or a C1-C12 hydrocarbyl group);
· Si 3 H 7 I, Si 3 H 6 I 2, Si 3 H 5 I 3, Si 3 H 4 I 4, Si 3 H 3 I 5, Si 3 H 2 I 6, Si 3 HI 7 Si 3 such as H x-8 I x where x = 1-7;
N (SiH 3 ) 2 (SiH 2 I), N (SiH 3 ) 2 (SiHI 2 ), N (SiH 3 ) (SiH 2 I) 2 , N (SiH 3 ) (SiHI 2 ) 2 , N (SiHI) 2 ) N (SiH x I y ) 3 such as 2 (SiH 2 I), N (SiHI 2 ) (SiH 2 I) 2 , N (SiH 2 I) 3 , or N (SiHI 2 ) 3 Each x is independently 0-3, and each y is independently 0-3, provided that at least one x and at least one y are 1);
N (SiH 3 ) 2 (SiMeHI), N (SiH 2 Me) 2 (SiMeHI), N (SiHMe 2 ) 2 (SiMeHI), N (SiMe 2 H) 2 (SiH 2 I), N (SiMe 3 ) 2 (SiH 2 I), N (SiMe 2 H) 2 (SiHI 2 ), N (SiMe 3 ) 2 (SiHI 2 ), etc. N (SiH x R y I z ) 3 (wherein each x is independent 0 to 3, each y is independently 0 to 3, each z is independently 0 to 3, x + y + z = 3, and each R is independently a C1 to C12 hydrocarbyl group, Cl, Br, or ER′3 group, wherein each E is independently Si or Ge, and each R ′ is independently H or a C1-C12 hydrocarbyl group, but (a) at least one x , At least one y, and at least one Z is 1 and (b) provided that at least one Si is bonded to both H and I); or (SiH 2 I) 2 —CH 2 , (SiHI 2) 2 -CH 2, (SiH 2 I) -CH 2 - , such as (SiHI 2) - (SiH 3 ), (SiHI 2) -CH 2 - (SiH 3), or (SiH 2 I) -CH 2 (SiH x I y ) 2 CH 2 , wherein each x is independently 0-3, and each y is independently 0-0, provided that at least one x and at least one y are 1. 3);
~ Can be mentioned.

Si−H含有ヨードシランは、示されているように、対応するハロシランをアルカリ金属ハライドと反応させることにより合成される:
Si+nMI→Si+nMX (6)
N(SiH+nMI→N(SiH+nMX (7)
(SiH−CH+nMI→(SiH−CH+nMX (8)
(式中、w=1〜3であり、x=1〜2w+1であり、y=0〜2w+1であり、z=1〜2w+1であり、x+y+z=2w+2であり、各aは独立に0〜3であり、各bは独立に0〜3であり、各cは独立に0〜3であり、少なくとも1つのa及び少なくとも1つのcが1であることを条件としてa+b+c=3であり、各mは独立に0〜3であり、各pは独立に0〜3であり、各oは独立に0〜3であり、少なくとも1つのm及び少なくとも1つのoが1であることを条件としてm+n+o=3であり、n=1〜4であり、X=Br又はClであり、M=Li、Na、K、Rb、又はCs、好ましくはLiであり、及び各Rは独立にC1〜C12のヒドロカルビル基、Cl、Br、又はER’3基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12のヒドロカルビル基である)。アルカリ金属塩(すなわち、MI)は、望ましいハロゲン交換の程度に応じて、過剰量又は不足量で使用されてもよい。しかし、過剰のMIはヨウ化物によるハロシラン上のハライドの完全な置換に有利に作用し、反応生成物中に含まれる塩素又は臭素の不純物の量を減らすであろう。当業者は、SiHICl、SiHClI、SiHClI、SiHIBr、SiHBrI、SiHBrI等などの部分的にヨウ素化された分子を作るための反応化学量論量を調整するであろう。
Si-H containing iodosilanes are synthesized by reacting the corresponding halosilane with an alkali metal halide as shown:
Si w H x R y X z + nMI → Si w H x R y I z + nMX (6)
N (SiH a R b X c ) 3 + nMI → N (SiH a R b I c ) 3 + nMX (7)
(SiH m R p X o) 2 -CH 2 + nMI → (SiH m R p I o) 2 -CH 2 + nMX (8)
(W = 1-3, x = 1-2w + 1, y = 0-2w + 1, z = 1-2w + 1, x + y + z = 2w + 2, each a is independently 0-3 Each b is independently 0-3, each c is independently 0-3, a + b + c = 3, provided that at least one a and at least one c are 1, and each m Is independently 0-3, each p is independently 0-3, each o is independently 0-3, and at least one m and at least one o is 1, m + n + o = 3, n = 1-4, X = Br or Cl, M = Li, Na, K, Rb, or Cs, preferably Li, and each R is independently a C1-C12 hydrocarbyl. Group, Cl, Br, or ER′3 group, where each E is independently Si or Ge and each R ′ is independently H or a C1-C12 hydrocarbyl group). Alkali metal salts (ie, MI) may be used in excess or deficiency, depending on the desired degree of halogen exchange. However, excess MI will favor complete replacement of the halide on the halosilane with iodide and will reduce the amount of chlorine or bromine impurities contained in the reaction product. Those skilled in the art will know the reaction stoichiometry for making partially iodinated molecules such as SiH 2 ICl, SiHClI 2 , Si 2 HCl 4 I, SiH 2 IBr, SiHBrI 2 , Si 2 HBr 4 I, etc. Will adjust.

上で述べたように、塩により駆動される反応は、いずれの試薬を使用すべきかを決定付ける。しかし、先行技術のフィンケルシュタイン反応と異なり、ヨウ化リチウム及び塩化リチウムは炭化水素又はフッ化炭素に少しのみ溶解性を示すか、又はまったく溶解性を示さない。例えば、脂肪族、芳香族、又は塩素系炭化水素中でのSiClと2モルのヨウ化リチウムとの反応は、それぞれ主生成物及び塩副生成物としてSiI及び2モルの塩化リチウムを形成するであろう。この反応中、LiIとLiClとの両方が固体のままである。LiとClとは硬い酸/塩基のペアを形成する一方、LiIは硬い/軟らかい酸/塩基のミスマッチを有する。その結果として、本出願人は、不溶性のLiClの形成が反応の駆動力を与え得ると考えている。しかし、SiH自体の生成が部分的にLiIを可溶化させて反応の駆動に役立ち得る。結果として、元々の反応混合物に、目的とする生成物であるSi−H含有ヨードシランを添加することが有益な場合がある。
SiHCl(g又はl)+2LiI(s)→SiH(l)+2LiCl(s) (9)
ここで、gは気体であり、lは液体であり、sは固体である。ハロゲン交換生成物の合成のためのいくつかの場合、ヨウ化ナトリウム(NaI)などの他のアルカリ金属塩が有用である。しかし、NaIは同等の溶媒中でヨウ化リチウムよりも反応性が低く、典型的には、工業的に適切な反応速度でいずれの反応を進行させるためにも配位性溶媒を必要とするであろう。ただし、配位性溶媒は、生成物の合成及び/又は収率への悪影響を最小限にするように選択される。
As mentioned above, the salt driven reaction determines which reagent should be used. However, unlike the prior art Finkelstein reaction, lithium iodide and lithium chloride show little or no solubility in hydrocarbons or fluorocarbons. For example, aliphatic, aromatic, or reaction of SiCl 2 H 2 and 2 moles of lithium iodide in a chlorinated hydrocarbon, the main product and the salt by-product SiI 2 H 2 and 2 moles, respectively Lithium chloride will be formed. During this reaction, both LiI and LiCl remain solid. Li and Cl form a hard acid / base pair, while LiI has a hard / soft acid / base mismatch. As a result, Applicants believe that the formation of insoluble LiCl can provide the driving force for the reaction. However, the generation of SiH 2 I 2 itself can partially solubilize LiI and help drive the reaction. As a result, it may be beneficial to add the desired product, Si—H containing iodosilane, to the original reaction mixture.
SiH 2 Cl 2 (g or l) + 2LiI (s) → SiH 2 I 2 (l) + 2LiCl (s) (9)
Here, g is a gas, l is a liquid, and s is a solid. In some cases for the synthesis of halogen exchange products, other alkali metal salts such as sodium iodide (NaI) are useful. However, NaI is less reactive than lithium iodide in an equivalent solvent and typically requires a coordinating solvent to proceed with any reaction at an industrially suitable reaction rate. I will. However, the coordinating solvent is selected to minimize adverse effects on product synthesis and / or yield.

別の例では、クロロホルムなどの塩素系炭化水素中でのSiClHと5モルのヨウ化ナトリウムとの反応は、それぞれ主生成物及び塩副生成物としてSiH及び5モルの塩化ナトリウムを生成するであろう。NaClの生成が反応の駆動力である。
SiHCl(l)+5NaI(s)→SiHI(l)+5NaCl(s) (10)
当業者は、Si−Si結合の開裂とハロゲン交換との間の競合に反応性の低いNaI若しくは別のアルカリ金属ハライド及び/又は別の溶媒の使用が必要とされ得ることを認識するであろう。生成物の収率は、ハロゲン交換及び副反応を更に防止するために反応の進行と共に全ての塩副生成物を除去するなど、反応パラメーターを最適化することによって更に最大化され得るであろう。
In another example, the reaction of Si 2 Cl 5 H with 5 moles of sodium iodide in a chlorinated hydrocarbon such as chloroform is performed using Si 2 I 5 H and 5 moles as the main product and salt by-product, respectively. Of sodium chloride. The production of NaCl is the driving force for the reaction.
Si 2 HCl 5 (l) + 5NaI (s) → Si 2 HI 5 (l) + 5NaCl (s) (10)
One skilled in the art will recognize that the use of less reactive NaI or another alkali metal halide and / or another solvent may be required for competition between Si-Si bond cleavage and halogen exchange. . Product yield could be further maximized by optimizing the reaction parameters, such as removing all salt by-products as the reaction proceeds to further prevent halogen exchange and side reactions.

下の実施例は、無機ハロシラン反応物を使用する本開示の合成方法を実証しているが、当業者は、有機Si−R基がSi−X及びSi−Hよりも反応性が低く、そのため、本開示の合成方法時に影響を受けないままになりやすいことを認識するであろう。   The examples below demonstrate synthetic methods of the present disclosure using inorganic halosilane reactants, but those skilled in the art will recognize that organic Si-R groups are less reactive than Si-X and Si-H, It will be appreciated that the synthetic methods of the present disclosure are likely to remain unaffected.

ハロシラン及びアルカリ金属ハライド反応物は商業的に入手することができる。或いは、ハロシラン反応物は、対応する完全にハロゲン化されたシラン(すなわち、Si2x+2−y、N(SiR3−b、又は(SiR3−n−CH)を、標準的な還元剤(水素化アルミニウムリチウム(例えば、LiAlH)、NaBH等など)で還元することによって合成することができる。もう1つの代替手段では、ハロシラン反応物は、Morrison et al.,J.Organomet.Chem.,92,2,1975,163〜168に従って、トルエン中で1〜12時間にわたり0℃〜還流の範囲の温度で対応するシラン[すなわち、Si2x+2−y、N(SiH3−a、又は(SiH3−m−CH]をハロゲン化剤(N−クロロ、−ブロモ、又は−ヨードのコハク酸イミドなど)と反応させることによって合成することができる。反応物の形態は重要な意味を有さないが(すなわち、固体、液体、又は気体)、当業者は、より大きい表面積を有する反応物ほどより多くの反応部位を与え、その結果、より効率的な反応が得られることを認識するであろう。例えば、典型的には、より細かい粒子粉末が固体のビーズ又は塊よりも多い反応部位を与える。 Halosilane and alkali metal halide reactants are commercially available. Alternatively, the halosilane reactant may be a corresponding fully halogenated silane (ie, Si x R y X 2x + 2-y , N (SiR b X 3-b ) 3 , or (SiR n X 3-n ) 2 −. CH 2 ) can be synthesized by reduction with a standard reducing agent (lithium aluminum hydride (eg, LiAlH 4 ), NaBH 4 etc.). In another alternative, the halosilane reactant can be obtained from Morrison et al. , J .; Organomet. Chem. , 92, 2, 1975, 163 to 168, the corresponding silane in toluene at a temperature in the range of 0 ° C. to reflux for 1 to 12 hours [ie, Si x R y H 2x + 2-y , N (SiH a R 3 -a) 3, or (SiH m R 3-m) 2 -CH 2] with a halogenating agent (N- chloro - bromo, or - can be synthesized by reacting such succinimide) of iodine . The form of the reactant is not critical (ie, solid, liquid, or gas), but one skilled in the art will give more reaction sites for reactants with a larger surface area, resulting in more efficient You will recognize that a good response is obtained. For example, typically finer particle powders provide more reactive sites than solid beads or lumps.

反応物及び全ての溶媒の含水率は、副生成物のシロキサン(すなわち、Si−O−Si)の生成を防止するために最小限にする必要がある。好ましくは、含水率は約0%w/w〜約0.001%w/w(10ppmw)の範囲である。必要に応じて、反応物はP上での還流、モレキュラーシーブによる処理、又は真空下での加熱(例えば、無水LiIは真空下で8時間以上にわたり325℃で焼成することによって製造することができる)などの標準的な技術を使用して、合成前に乾燥させることができる。 The water content of the reactants and all solvents should be minimized to prevent the formation of the by-product siloxane (ie, Si—O—Si). Preferably, the moisture content ranges from about 0% w / w to about 0.001% w / w (10 ppmw). If necessary, the reaction is prepared by refluxing over P 2 O 5 , treatment with molecular sieves, or heating under vacuum (eg, anhydrous LiI is calcined at 325 ° C. for more than 8 hours under vacuum. Can be dried prior to synthesis using standard techniques.

反応容器は、反応物及び生成物と適合性のある材料製であるか、そのような材料で内側が覆われているか、又はそのような材料へと処理される。典型的な材料としては、不動態化されたステンレス鋼、ガラス、パーフルオロアルコキシアルカン(PFA)、及びポリテトラフルオロエチレン(PTFE)が挙げられる。容器は、ジャケットで覆われるか、又は加熱浴若しくは冷却浴中に置かれてもよい。反応容器は、ガラス製の撹拌軸、PTFE製のパドルスターラー、及び/又はPTFEでコーティングされたステンレス鋼のインペラーなどの適合性のある材料製の撹拌機構を含んでいてもよい。反応容器は、複数の「注入ポート」、圧力ゲージ、ダイアフラムバルブも備えていてもよい。反応容器は、N又は希ガスなどの不活性な雰囲気下で合成を行うように設計される。スズ箔で全ての透明なガラス製品を覆うなど、反応物及び反応混合物が光に曝されることを最小限にするための予防措置が行われてもよい。SiHの合成に関して、酸化鉄コーティングが生成物を汚染し得ることから、琥珀色に着色されているガラス製品は適切ではない。また、反応容器、撹拌機構、及び任意の他の関連する装置(シュレンクライン又はグローブボックスなど)は、真空、不活性ガス流、オーブン乾燥等などの標準的な乾燥技術を使用して、空気及び水分を有さないようにする必要がある。 The reaction vessel is made of a material that is compatible with the reactants and products, is covered with such material, or is processed into such material. Typical materials include passivated stainless steel, glass, perfluoroalkoxyalkane (PFA), and polytetrafluoroethylene (PTFE). The container may be covered with a jacket or placed in a heating or cooling bath. The reaction vessel may include a stirring mechanism made of a compatible material, such as a glass stirring shaft, a PTFE paddle stirrer, and / or a stainless steel impeller coated with PTFE. The reaction vessel may also include a plurality of “injection ports”, pressure gauges, and diaphragm valves. The reaction vessel is designed to perform the synthesis under an inert atmosphere such as N 2 or a noble gas. Precautions may be taken to minimize exposure of the reactants and reaction mixture to light, such as covering all transparent glassware with tin foil. For the synthesis of SiH 2 I 2 , glass products that are amber colored are not suitable because the iron oxide coating can contaminate the product. Also, the reaction vessel, agitation mechanism, and any other associated equipment (such as a Schlenk line or glove box) can be used to air and standard air using standard drying techniques such as vacuum, inert gas flow, oven drying, etc. It is necessary not to have moisture.

反応物に関して上述したように及び下の実施例で示されるように、反応容器、並びに反応物及び生成物と接触する任意の及び全ての成分は、高い純度を有する必要がある。高い純度の反応容器は、典型的にはSi−H含有ヨードシランと適合性がある容器であり、これはSi−H含有ヨードシランと反応し得るか、又はこれを汚染し得る不純物を含まない。そのような高い純度の容器の典型的な例は、低い表面粗さ及び鏡面仕上げを有するステンレス鋼製キャニスターである。低い表面粗さ及び鏡面仕上げは、典型的には機械研磨によって及び任意選択的に追加的な電解研磨によって得られる。高い純度は、典型的には(a)希酸(HF、HNO)を用いた洗浄工程、及びそれに続く(b)微量の酸を確実に完全に除去するための高純度脱イオン水を用いたすすぎ、及びそれに続く(c)容器の乾燥を含む処理によって得られる。脱イオン水(DIW)すすぎは、典型的には、すすぎ水の抵抗率が100μS/cm、好ましくは25μS/cm未満に到達するまで行われる。乾燥工程は、He、N、Ar(好ましくはN又はAr)などの不活ガスを用いたパージ工程、容器中の圧力を低下させて表面からの脱ガスを促進するための真空工程、容器の加熱、又はこれらの任意の組み合わせを含んでいてもよい。 As described above with respect to the reactants and as shown in the examples below, the reaction vessel and any and all components that come into contact with the reactants and products should have a high purity. A high purity reaction vessel is typically a vessel that is compatible with the Si—H containing iodosilane and does not contain impurities that can react with or contaminate the Si—H containing iodosilane. A typical example of such a high purity container is a stainless steel canister with low surface roughness and mirror finish. Low surface roughness and mirror finish are typically obtained by mechanical polishing and optionally additional electropolishing. High purity typically uses (a) a washing step with dilute acid (HF, HNO 3 ), followed by (b) high purity deionized water to ensure complete removal of trace amounts of acid. Obtained by a process including rinsing and subsequent (c) drying of the container. Deionized water (DIW) rinses are typically performed until the resistivity of the rinse water reaches 100 μS / cm, preferably less than 25 μS / cm. The drying step includes a purge step using an inert gas such as He, N 2 , Ar (preferably N 2 or Ar), a vacuum step for promoting degassing from the surface by reducing the pressure in the container, It may include heating the container, or any combination thereof.

パージのために使用される気体は、半導体グレードのもの、すなわち微量の水分及び酸素(<1ppm、好ましくは<10ppb)及び粒子(<5粒子毎リットル@0.5μm)などの汚染物質を含まないものである必要がある。乾燥工程は、一定の気体の流れが容器を流れ抜ける別のパージ手順、及び真空化工程を含んでいてもよい。或いは、乾燥工程は、容器内を低圧に維持しながらパージガスを常に流すことによって行ってもよい。キャニスター乾燥の効率及び終点は、容器から出る気体中の微量のHOレベルを測定することによって評価することができる。10ppb未満のHOを有する流入気体を用いると、流出気体は約0ppm〜約10ppmの範囲、好ましくは約0ppm〜約1ppmの範囲、より好ましくは約0ppb〜約200ppbの範囲の含水率を有するはずである。パージ工程時及び真空工程時に容器を加熱すると乾燥が速まることが知られており、容器は、典型的には、約40℃〜約150℃の範囲の温度で維持される。 The gas used for the purge is semiconducting grade, i.e. free of contaminants such as traces of moisture and oxygen (<1 ppm, preferably <10 ppb) and particles (<5 particles per liter @ 0.5 μm) It needs to be a thing. The drying process may include another purging procedure in which a constant gas flow flows through the vessel, and a vacuuming process. Alternatively, the drying step may be performed by constantly flowing a purge gas while maintaining the inside of the container at a low pressure. The efficiency and endpoint of canister drying can be assessed by measuring trace H 2 O levels in the gas exiting the vessel. With an inflow gas having less than 10 ppb H 2 O, the effluent gas has a moisture content in the range of about 0 ppm to about 10 ppm, preferably in the range of about 0 ppm to about 1 ppm, more preferably in the range of about 0 ppb to about 200 ppb. It should be. It is known that heating the vessel during the purge and vacuum steps accelerates drying, and the vessel is typically maintained at a temperature in the range of about 40 ° C to about 150 ° C.

洗浄及び乾燥後、このような高い純度の容器は、1E−6std cm/s未満、好ましくは<1E−8std cm/sの総漏れ量を有さなければならない。 After washing and drying, the container of such a high purity, less than 1E-6std cm 3 / s, preferably should have a total amount of leakage of <1E-8std cm 3 / s .

任意選択的に、容器は、容器の腐食のリスクを更に低減するための、又は容器中の生成物の安定性を更に向上させるための内部コーティング又はめっきを有していてもよい。典型的なコーティングとしては、Silcotek(https://www.silcotek.com)により示されているもの、又は米国特許出願公開第2016/046408号明細書に開示されているものが挙げられる。容器は、反応前及び/又はSi−H含有ヨードシランが入れられる前にシラン、ジシラン、モノクロロシラン、ヘキサメチルジシラザンなどのシリル化剤に曝露させることによって不動態化されてもよい。   Optionally, the container may have an internal coating or plating to further reduce the risk of corrosion of the container or to further improve the stability of the product in the container. Exemplary coatings include those shown by Silcotek (https://www.silcotek.com) or those disclosed in US Patent Application Publication No. 2016/046408. The vessel may be passivated by exposure to a silylating agent such as silane, disilane, monochlorosilane, hexamethyldisilazane before the reaction and / or before the Si—H containing iodosilane is introduced.

当業者は、本開示の方法を実施するために使用される系の装置の構成要素のための供給元を認識するであろう。望ましい温度範囲、圧力範囲、及び現地の規制等に基づいて、ある程度の構成要素のカスタマイズが必要とされる場合がある。例示的な装置の供給業者としては、Buchi Glass Uster AG,Shandong ChemSta Machinery Manufacturing Co.Ltd.,Jiangsu Shajiabang Chemical Equipment Co.Ltd等が挙げられる。上で説明したように、構成要素は、好ましくは、ガラス、ガラスで内面が覆われている鋼材、又は耐腐食性のライナーを有する鋼材等などの耐腐食性の材料から作られる。   Those skilled in the art will recognize suppliers for system components that are used to perform the disclosed methods. Some customization of the components may be required based on the desired temperature range, pressure range, local regulations, and the like. Exemplary equipment suppliers include Buchi Glass Uster AG, Shandong Chemsta Machinery Manufacturing Co. Ltd .. , Jiangsu Shajiabang Chemical Equipment Co., Ltd. Ltd. and the like. As explained above, the component is preferably made from a corrosion-resistant material such as glass, steel that is internally coated with glass, or steel with a corrosion-resistant liner.

空気及び水分を含まない高い純度の反応器にアルカリ金属ハライドが入れられる。アルカリ金属ハライドの添加前又は添加後、最終生成物を分解しない又はこれと反応しない任意選択的な溶媒が熱交換媒体及び/又は混合の補助及び/又は生成物の抽出として添加されてもよい。典型的な溶媒としては、プロパン、ブタン、ペンタン等などのC3−C20のアルカン、又はクロロメタン、ジクロロメタン、クロロホルム、四塩化炭素等などの塩素系炭化水素、及びこれらの混合物が挙げられる。上で述べたように、目的とするSi−H含有ヨードシランを溶媒として使用してもよい。アルカリ金属ハライド塩は溶媒中に溶解し得る。しかし、反応物によっては、塩の溶解性は重要な因子とならない場合がある。例えば、下の実施例5で示されるように、ペンタン中のヨウ化リチウムは固液反応でジクロロシランと反応する。反応混合物は反応物間の接触を促進するために撹拌されてもよい。或いは、下の実施例3で示されるように、反応は溶媒なしで進行する場合がある。   An alkali metal halide is placed in a high purity reactor free of air and moisture. An optional solvent that does not decompose or react with the final product before or after addition of the alkali metal halide may be added as a heat exchange medium and / or as a mixing aid and / or product extraction. Typical solvents include C3-C20 alkanes such as propane, butane, pentane, etc., or chlorinated hydrocarbons such as chloromethane, dichloromethane, chloroform, carbon tetrachloride, etc., and mixtures thereof. As described above, the target Si—H containing iodosilane may be used as a solvent. The alkali metal halide salt can be dissolved in the solvent. However, depending on the reactants, the solubility of the salt may not be an important factor. For example, as shown in Example 5 below, lithium iodide in pentane reacts with dichlorosilane in a solid-liquid reaction. The reaction mixture may be stirred to facilitate contact between the reactants. Alternatively, as shown in Example 3 below, the reaction may proceed without a solvent.

ハロシランは、気体として、液体(凝縮)として、又は溶液の状態で、ヘッドスペースを通して、又は表面下への添加によって反応器に添加されてもよい。ハロシランは、気体の形態であってもよく、またヨウ化リチウム/溶媒混合物の上方のヘッドスペースに添加されてもよい。或いは、気体形態のハロシランは、コンデンサーを用いて凝縮されてヨウ化リチウム/溶媒混合物に直接添加されてもよい。もう1つの代替手段では、反応器へ配管されている導管を使用して反応器の上から液体形態のハロシランが添加されてもよい。もう1つの代替手段では、気体形態又は液体形態が、塩/溶媒混合物の内部に差し込まれている浸漬管を備えた反応器を使用して、ヨウ素/溶媒混合物の表面の下に添加されてもよい。下の実施例では、より迅速に試薬を移送し易くするためにジクロロシランの凝縮が行われた。   The halosilane may be added to the reactor as a gas, as a liquid (condensation), or in solution, through the headspace, or by subsurface addition. The halosilane may be in gaseous form and may be added to the headspace above the lithium iodide / solvent mixture. Alternatively, the gaseous form of the halosilane may be condensed using a condenser and added directly to the lithium iodide / solvent mixture. In another alternative, halosilane in liquid form may be added from the top of the reactor using a conduit plumbed to the reactor. In another alternative, the gaseous or liquid form may be added below the surface of the iodine / solvent mixture using a reactor with a dip tube plugged inside the salt / solvent mixture. Good. In the examples below, dichlorosilane condensation was performed to facilitate transfer of reagents more rapidly.

必要とされる生成物分布に応じて、ハロシランは過剰に添加されても、化学量論量で添加されても、化学量論量未満で添加されてもよい。金属ヨウ化物塩に対する過剰量のハロシランは、ハロシラン上でのヨウ素によるハライドの部分的な置換を生じさせ、Siの式の化合物(式中、少なくとも1つのRはCl又はBrである)の生成を可能にするであろう。過剰の金属ヨウ化物塩は、ハロシラン上でのヨウ化物の完全な置換(すなわち、R=Cl又はBrが存在しない)に有利に作用するであろう。 Depending on the product distribution required, the halosilane may be added in excess, in stoichiometric amounts, or in sub-stoichiometric amounts. An excess of halosilane relative to the metal iodide salt results in partial substitution of the halide with iodine on the halosilane, wherein the compound of formula Si w H x R y I z where at least one R is Cl or Will be possible). Excess metal iodide salt will favor the complete substitution of iodide on the halosilane (ie, the absence of R = Cl or Br).

或いは、ハロシランはアルカリ金属ハライドの添加前に反応器内に添加されてもよい。上述のハロシラン及びアルカリ金属ハライドの添加機構は、反応物が反応器に最初に添加されるか2番目に添加されるかに関わらず同じままである。   Alternatively, the halosilane may be added into the reactor prior to the addition of the alkali metal halide. The mechanism of addition of the halosilane and alkali metal halide described above remains the same regardless of whether the reactants are added to the reactor first or second.

ハロシラン/アルカリ金属ハライド混合物は、反応物間の接触を促進するために撹拌されてもよい。反応は発熱的であってもよい。下の実施例では、反応混合物は、周囲温度(すなわち、約20℃〜約26℃)で反応を完結させるのに十分な時間撹拌される。下の実施例では加熱は必要とされなかったが、反応を加速させるための選択肢となり得る。当業者は、各ハロシランの個々の速度論に応じて、最も適切な温度範囲を決定することができる。例えば、部分的にヒドロカルビル置換を有するハロシランは、ヒドロカルビル基によって生じる立体障害のため、ヒドロカルビル置換基を有していないハロシランよりも高い反応温度を必要とする場合がある。   The halosilane / alkali metal halide mixture may be agitated to facilitate contact between the reactants. The reaction may be exothermic. In the examples below, the reaction mixture is stirred for a time sufficient to complete the reaction at ambient temperature (ie, about 20 ° C. to about 26 ° C.). In the examples below, heating was not required, but it could be an option to accelerate the reaction. One skilled in the art can determine the most appropriate temperature range depending on the individual kinetics of each halosilane. For example, halosilanes with partial hydrocarbyl substitution may require higher reaction temperatures than halosilanes without hydrocarbyl substituents due to steric hindrance caused by hydrocarbyl groups.

反応の進行は、例えばガスクロマトグラフィー又はin−situプローブ(FTIR又はRAMANプローブなど)を使用して追跡することができ、これらは市販されている。金属ヨウ化物塩の化学量論過剰について、主な反応生成物はSi+nMXであり、少量のSiと、MIと、溶媒と、中間体反応生成物Si(IX)(z量のI及びXの両方を含む)とを含む。例えば、SiHの反応混合物は、反応生成物SiHと、反応副生成物LiClと、少量の残留SiCl及び/又は反応物LiIと、溶媒と、中間体反応生成物ClSiHIとを含み得る。 The progress of the reaction can be followed using, for example, gas chromatography or in-situ probes (such as FTIR or RAMAN probes), which are commercially available. For stoichiometric excess of a metal iodide salt, the major reaction product is Si w H x R y I z + nMX, and a small amount of Si w H x R y X z , and MI, and a solvent, the intermediate reaction The product Si w H x R y (IX) z (including both z and I). For example, a reaction mixture of SiH 2 I 2 may include a reaction product SiH 2 I 2 , a reaction by-product LiCl, a small amount of residual SiCl 2 H 2 and / or reactant LiI, a solvent, and an intermediate reaction product. ClSiH 2 I may be included.

撹拌された混合物は、あらゆる固体不純物及び副生成物である塩を除去するために濾過されてもよい。典型的なフィルターとしては、ガラスフリットフィルター又はポリマーフリットフィルターが挙げられる。   The stirred mixture may be filtered to remove any solid impurities and by-product salts. Typical filters include glass frit filters or polymer frit filters.

或いは、溶媒中に塩副生成物が溶解する場合、以降の処理前に固体副生成物を除去するために撹拌された混合物を濾過してもよい。工程を改良するために無水珪藻土などの濾過剤を用いてもよい。典型的なフィルターとしては、ガラスフリットフィルター又はポリマーフリットフィルターが挙げられる。   Alternatively, if the salt by-product dissolves in the solvent, the stirred mixture may be filtered to remove the solid by-product prior to further processing. Filtering agents such as anhydrous diatomaceous earth may be used to improve the process. Typical filters include glass frit filters or polymer frit filters.

場合により濾液の追加的な処理が必要であり得る。例えば、濾液が固体物質の不均質な懸濁液を生じる場合、一部又は全ての望ましくない反応副生成物又は不純物を除去するフラッシュ蒸留工程によってSi−H含有ヨードシランを得るために、濾液は、その後、ショートパスカラムを通して蒸留されてもよい。或いは、反応生成物であるSi−H含有ヨードシランは、蒸留塔により、又は反応生成物である非有機水素化ケイ素の略沸点まで濾液を加熱することによって濾液から単離されてもよい。もう1つの代替手段では、フラッシュ工程と蒸留塔との両方が必要とされてもよい。当業者は、温められた撹拌混合物の沸点が、反応生成物であるSi−H含有ヨードシランが温められた撹拌混合物から単離されるにつれて変化し、それに応じて回収温度を調節することを認識するであろう。Br又はClに対するヨウ素の大きい質量のため、全ての未反応のハロシランは得られる生成物よりも揮発性が高い傾向があることから、蒸留塔を通して排気することができる。当業者は、排気されたハロシランが後の使用のため又は排気のために回収され得ることを認識するであろう。   In some cases, additional processing of the filtrate may be necessary. For example, if the filtrate yields a heterogeneous suspension of solid material, to obtain Si-H containing iodosilane by a flash distillation process that removes some or all unwanted reaction by-products or impurities, It may then be distilled through a short pass column. Alternatively, the Si-H containing iodosilane, the reaction product, may be isolated from the filtrate by a distillation column or by heating the filtrate to about the boiling point of the non-organic silicon hydride, the reaction product. In another alternative, both a flash step and a distillation column may be required. One skilled in the art will recognize that the boiling point of the warmed stirred mixture changes as the reaction product, Si-H containing iodosilane, is isolated from the warmed stirred mixture and adjusts the recovery temperature accordingly. I will. Because of the large mass of iodine relative to Br or Cl, all unreacted halosilanes tend to be more volatile than the resulting product and can be evacuated through a distillation column. One skilled in the art will recognize that the exhausted halosilane can be recovered for later use or exhaust.

本開示の方法は、約40%モル/モル〜約99%モル/モルのハロシランを、反応生成物であるSi−H含有ヨードシランへと変換することができる。単離された反応生成物であるSi−H含有ヨードシランは、典型的には約50%モル/モル〜約99%モル/モルの範囲の純度を有する。   The disclosed method can convert from about 40% mol / mol to about 99% mol / mol halosilane into the reaction product, Si—H containing iodosilane. The isolated reaction product, Si—H containing iodosilane, typically has a purity in the range of about 50% mol / mol to about 99% mol / mol.

反応生成物であるSi−H含有ヨードシランは、蒸留、昇華、又は再結晶によって更に精製されてもよい。適切な蒸留方法としては、大気圧分別蒸留、又はバッチ式分別蒸留、又は真空分別蒸留が挙げられる。バッチ式分別蒸留は、低温低圧で行われてもよい。或いは、反応生成物であるSi−H含有ヨードシランは、逐次的な工程で低沸点と高沸点との両方の不純物から、反応生成物であるSi−H含有ヨードシランを分離するために、2つの蒸留塔による連続蒸留によって精製されてもよい。精製された、反応生成物であるSi−H含有ヨードシランは、Si含有膜形成用組成物として使用することができる。   The reaction product, Si—H containing iodosilane, may be further purified by distillation, sublimation, or recrystallization. Suitable distillation methods include atmospheric fractional distillation, or batch fractional distillation, or vacuum fractional distillation. Batch fractional distillation may be performed at low temperature and low pressure. Alternatively, the reaction product, Si—H containing iodosilane, is separated by two distillations in order to separate the reaction product, Si—H containing iodosilane, from both low and high boiling impurities. It may be purified by continuous distillation through a column. The purified Si-H-containing iodosilane, which is a reaction product, can be used as a composition for forming a Si-containing film.

Si含有膜形成用組成物は、約97%モル/モル〜約100%モル/モル、好ましくは約99%モル/モル〜約100%モル/モル、より好ましくは約99.5%モル/モル〜約100%モル/モル、更に好ましくは約99.97%モル/モル〜約100%モル/モルの範囲の純度を有する。Si含有膜形成用組成物は、好ましくは検出限界と100ppbwとの間の、各存在し得る金属汚染物質(例えば、少なくともAg、Al、Ca、Cr、Cu、Fe、Mg、Mo、Ni、K、Na、Sb、Ti、Zn等)を含む。Si含有膜形成用組成物中のX(式中、X=Cl、Br、又はIである)の濃度は、約0ppmw〜約100ppmw、より好ましくは約0ppmw〜約10ppmwの範囲であってもよい。   The composition for forming a Si-containing film is about 97% mol / mol to about 100% mol / mol, preferably about 99% mol / mol to about 100% mol / mol, more preferably about 99.5% mol / mol. Having a purity in the range of about 100% mol / mol, more preferably about 99.97% mol / mol to about 100% mol / mol. The composition for forming a Si-containing film preferably has a metal contaminant (e.g., at least Ag, Al, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K) between the detection limit and 100 ppbw. , Na, Sb, Ti, Zn, etc.). The concentration of X (where X = Cl, Br, or I) in the Si-containing film forming composition may range from about 0 ppmw to about 100 ppmw, more preferably from about 0 ppmw to about 10 ppmw. .

下の実施例で示されているように、精製された生成物はガスクロマトグラフィー質量分析(GCMS)によって分析することができる。生成物の構造はH、13C、及び/又は29Si NMRによって確認することができる。 As shown in the examples below, the purified product can be analyzed by gas chromatography mass spectrometry (GCMS). The structure of the product can be confirmed by 1 H, 13 C, and / or 29 Si NMR.

上で詳述したように及び下の実施例で示されるように、Si含有膜形成用組成物は、その純度を保つために、これと反応しない清浄な乾燥貯蔵容器内に保管しなければならない。   As detailed above and in the examples below, the Si-containing film-forming composition must be stored in a clean dry storage container that does not react with it to maintain its purity. .

図1は、本開示の方法を行うのに適した例示的な系である。空気は、窒素やアルゴン等などの不活性ガス9により、系の様々な部分(例えば、反応器1、容器8、ボイラー6)から除去することができる。不活性ガス9は、反応器1への溶媒11の移送を可能にする溶媒11の加圧のためにも機能し得る。系の様々な部分(例えば、反応器1、蒸留塔27、コンデンサー57)を冷却するために、窒素、冷エタノール、アセトン/ドライアイス混合物、又は熱伝導剤(モノエチレングリコール(MEG)等)を使用してもよい。   FIG. 1 is an exemplary system suitable for performing the method of the present disclosure. Air can be removed from various parts of the system (eg, reactor 1, vessel 8, boiler 6) by an inert gas 9 such as nitrogen or argon. The inert gas 9 can also function for pressurization of the solvent 11 that allows the transfer of the solvent 11 to the reactor 1. Nitrogen, cold ethanol, acetone / dry ice mixture, or heat transfer agent (such as monoethylene glycol (MEG)) is used to cool various parts of the system (eg, reactor 1, distillation column 27, condenser 57). May be used.

反応器1は、ジャケット2によって望ましい温度に維持することができる。ジャケット2は、入口21及び出口22を有している。入口21及び出口22は、流体の冷却の再循環を得るために熱交換器/冷却装置23及び/又はポンプ(図示せず)と接続されていてもよい。或いは、バッチサイズが十分に小さく、混合時間が十分に短い場合、熱流体が反応の継続中にわたり十分に冷たい場合があることから、ジャケット2は入口21及び出口22を必要としない場合がある。もう1つの代替手段では、上で述べたように、ジャケット付きの温度制御が必要とされない場合があり、これらの4つの構成要素は系から取り除かれる(すなわち、2、21、22、及び23)。   Reactor 1 can be maintained at the desired temperature by jacket 2. The jacket 2 has an inlet 21 and an outlet 22. The inlet 21 and outlet 22 may be connected to a heat exchanger / cooling device 23 and / or a pump (not shown) to obtain recirculation of cooling of the fluid. Alternatively, if the batch size is small enough and the mixing time is short enough, the jacket 2 may not require the inlet 21 and outlet 22 since the hot fluid may be cold enough for the duration of the reaction. In another alternative, as mentioned above, jacketed temperature control may not be required and these four components are removed from the system (ie 2, 21, 22, and 23). .

反応物(容器11中に貯蔵されるペンタンなどの溶媒、及び容器24中に貯蔵されるエチルジクロロシランなどのハロシラン)は、それぞれライン14及び25を介して反応器1に添加される。溶媒及びハロシランは、ダイアフラムポンプ、ペリスタルティックポンプ、又はシリンジポンプなどの液体計量ポンプ(図示せず)によって反応器1に添加されてもよい。容器13中に貯蔵されるLiIなどのアルカリ金属ハライドは、自然流下によって反応器1に添加されてもよく、又は反応生成物であるSi−H含有ヨードシランと相性の良い溶媒中に懸濁させられてから溶媒及びハロシランと同様の方法で(すなわち、ライン16により)反応器に導入されてもよい。反応物は、モーター17bにより回転するインペラー17aによって反応器内で混合されることで混合物26を形成することができる。好ましくは、混合は、略大気圧の不活性雰囲気下で行われる。反応器1の中身の温度を監視するために温度センサー(図示せず)を使用してもよい。   Reactants (a solvent such as pentane stored in vessel 11 and a halosilane such as ethyldichlorosilane stored in vessel 24) are added to reactor 1 via lines 14 and 25, respectively. The solvent and halosilane may be added to the reactor 1 by a liquid metering pump (not shown) such as a diaphragm pump, peristaltic pump, or syringe pump. Alkali metal halides such as LiI stored in the container 13 may be added to the reactor 1 by natural flow or suspended in a solvent compatible with Si-H-containing iodosilane which is a reaction product. May then be introduced into the reactor in the same manner as the solvent and halosilane (ie, via line 16). The reactants can be mixed in the reactor by an impeller 17a rotated by a motor 17b to form a mixture 26. Preferably, the mixing is performed under an inert atmosphere at about atmospheric pressure. A temperature sensor (not shown) may be used to monitor the temperature of the reactor 1 contents.

添加が完了した後、反応の進行は例えばガスクロマトグラフィーを使用して追跡することができる。反応が完了した後、混合物26はドレーン19によって反応器1からフィルター3を通して容器4へと取り出すことができる。主な反応生成物は、標準温度及び標準圧力で液体であるエチルジヨードシラン(EtSiHI)及び標準温度及び標準圧力で固体であるLiClであり、微量の不純物であるLiI及びEtSiIClHを含む。この実施形態では、反応器1は、重力の恩恵を最大限に利用するためにフィルター3の上方に位置することが最も有力であろう。例えば、LiCl(図示せず)などのMX反応副生成物(X=Cl、Br)は、混合物26中に懸濁させられることから、反応器1の目詰まりは問題とならない。 After the addition is complete, the progress of the reaction can be followed using, for example, gas chromatography. After the reaction is complete, the mixture 26 can be removed from the reactor 1 through the filter 3 and into the vessel 4 by means of a drain 19. The main reaction products are ethyldiiodosilane (EtSiHI 2 ), which is liquid at standard temperature and pressure, and LiCl, which is solid at standard temperature and pressure, with trace amounts of impurities, LiI and EtSiICH. In this embodiment, the reactor 1 would most likely be located above the filter 3 in order to take full advantage of gravity. For example, since MX reaction by-products (X = Cl, Br) such as LiCl (not shown) are suspended in the mixture 26, clogging of the reactor 1 does not become a problem.

濾過された撹拌混合物(濾液)(図示せず)は、容器(図示せず)内に集められて次の処理工程が行われる前に新しい場所に運ばれてもよい。或いは、ヒーター28を使用して濾液から反応生成物を単離するために、濾液を直ちにスチルポット4に移動させてもよい。濾液はヒーター28によって温められる。加熱によって蒸留塔27及び排出口43から揮発性溶媒が押し出される。その後、単離された反応生成物は容器8内に集められる。   The filtered stirred mixture (filtrate) (not shown) may be collected in a container (not shown) and carried to a new location before the next processing step is performed. Alternatively, the filtrate may be immediately transferred to the still pot 4 in order to isolate the reaction product from the filtrate using the heater 28. The filtrate is warmed by the heater 28. The volatile solvent is pushed out from the distillation column 27 and the outlet 43 by heating. The isolated reaction product is then collected in the container 8.

ここでも同様に、容器8は次の処理工程が行われる前に新しい場所に運ばれてもよい。単離された反応生成物は、必要に応じて、更に精製するために容器8からボイラー6に運ばれてもよい。ボイラー6はヒーター29によって加熱される。単離された反応生成物は、蒸留塔53、コンデンサー57、及び還流分配器54を使用して分別蒸留によって精製される。精製された反応生成物は回収タンク7内に集められる。回収タンク7は排気口60を含む。   Again, the container 8 may be moved to a new location before the next processing step is performed. The isolated reaction product may be transferred from the vessel 8 to the boiler 6 for further purification, if necessary. The boiler 6 is heated by the heater 29. The isolated reaction product is purified by fractional distillation using distillation column 53, condenser 57, and reflux distributor 54. The purified reaction product is collected in the recovery tank 7. The collection tank 7 includes an exhaust port 60.

図2は、本開示の方法を行うのに適切な別の例示的な系である。この代替形態では、反応器1は図1のスチルポット4としても機能する。この実施形態は大きいバッチのSi−H含有ヨードシランの合成に有用な場合がある。十分な混合後、ジャケット2内の冷却媒体(図示せず)は加熱媒体(図示せず)によって置換される。当業者は、冷却媒体が加熱媒体と冷却媒体との両方としても機能できる(例えば、MEG)場合、冷却媒体の「置換」が不要であることを認識するであろう。その代わりに、媒体の温度は例えば熱交換器23によって変化させることができる。   FIG. 2 is another exemplary system suitable for performing the method of the present disclosure. In this alternative, the reactor 1 also functions as the still pot 4 of FIG. This embodiment may be useful for the synthesis of large batches of Si-H containing iodosilanes. After thorough mixing, the cooling medium (not shown) in the jacket 2 is replaced by a heating medium (not shown). One skilled in the art will recognize that “replacement” of the cooling medium is not necessary if the cooling medium can also function as both a heating medium and a cooling medium (eg, MEG). Instead, the temperature of the medium can be changed, for example, by the heat exchanger 23.

揮発性溶媒は、蒸留塔27及び排気口43を通して混合物26から分離することができる。その後、Si−H含有ヨードシランは容器8内に回収される。残りの溶媒/塩混合物は、フィルター3上に塩を回収しつつ、ドレーン19によって反応器1から取り出すことができる。ここでも同様に、容器8は次の処理工程が行われる前に新しい場所に運ばれてもよい。Si−H含有ヨードシランは、必要に応じて、更に精製するために容器8からボイラー6に運ばれてもよい。ボイラー6はヒーター29によって加熱される。Si−H含有ヨードシランは、蒸留塔53、コンデンサー57、及び還流分配器54を使用して分別蒸留によって精製される。精製されたSi−H含有ヨードシランは回収タンク7内に集められる。回収タンク7は排気口60を含む。   The volatile solvent can be separated from the mixture 26 through the distillation column 27 and the exhaust port 43. Thereafter, the Si—H-containing iodosilane is recovered in the container 8. The remaining solvent / salt mixture can be removed from the reactor 1 by drain 19 while recovering the salt on the filter 3. Again, the container 8 may be moved to a new location before the next processing step is performed. The Si—H containing iodosilane may be transferred from the vessel 8 to the boiler 6 for further purification as required. The boiler 6 is heated by the heater 29. The Si—H containing iodosilane is purified by fractional distillation using a distillation column 53, a condenser 57, and a reflux distributor 54. The purified Si—H containing iodosilane is collected in the recovery tank 7. The collection tank 7 includes an exhaust port 60.

反応は、溶媒で希釈されていてもよいハロシランを、溶媒中に懸濁されていてもよい金属ヨウ化物と共に供給し、反応器を通る流れ中に制御された滞留時間及び温度でこれらを通過させることにより、連続反応器内で行われてもよい。各試薬の流れは、ペリスタルティックポンプなどの計量ポンプによって制御されてもよい。反応混合物は、その後、受入容器内に集められ、上のバッチ式合成例と同様に分離することができる。或いは、固体のフラクションは、例えば遠心ポンプ(市販)を使用してライン中で取り除かれてもよい。生成物は、濾過されたフラクションを連続蒸留ユニットに連続的に供給することによって溶媒(類)から分離することもできる。   The reaction supplies halosilanes, optionally diluted with a solvent, with metal iodides, optionally suspended in the solvent, and passes them through the reactor through a controlled residence time and temperature. In some cases, it may be carried out in a continuous reactor. The flow of each reagent may be controlled by a metering pump such as a peristaltic pump. The reaction mixture can then be collected in a receiving vessel and separated as in the batch synthesis example above. Alternatively, the solid fraction may be removed in the line using, for example, a centrifugal pump (commercially available). The product can also be separated from the solvent (s) by continuously feeding the filtered fraction to a continuous distillation unit.

本開示の合成方法の利点は次のとおりである:
・触媒フリーの方法であり、コスト、汚染、及び生成物単離の問題を低減するのに役立つ;
・不純物としてより低次及び高次のヨードシランを生成する反応物質であるヨウ素を使用する先行技術の反応に関連する大部分の副反応が本質的に除去される;
・副反応及び増加した不純物プロファイルの一因となり得るHX中間反応生成物を生成せず、結果として得られる生成物は先行技術のAg、Cu、又はSb安定化剤を必要としない;
・多くの出発物質が高価ではなく、容易に入手可能である;
・一段階ワンポット反応である;
・方法が無溶媒であってもよい;
・単純な精製である;
・小さい反応発熱量である;
・周囲温度(すなわち、約20℃〜約26℃)で行われ得る;並びに
・廃棄物の生成が最小限であり、環境的に優しい。
The advantages of the synthetic method of the present disclosure are as follows:
A catalyst-free process that helps reduce cost, contamination, and product isolation problems;
Most of the side reactions associated with prior art reactions using iodine, a reactant that produces lower and higher order iodosilanes as impurities, are essentially eliminated;
Does not produce HX intermediate reaction products that can contribute to side reactions and increased impurity profiles, and the resulting products do not require prior art Ag, Cu, or Sb stabilizers;
Many starting materials are not expensive and are readily available;
A one-step one-pot reaction;
The method may be solvent-free;
Simple purification;
A small reaction exotherm;
Can be performed at ambient temperature (ie, about 20 ° C. to about 26 ° C.); and • Waste generation is minimal and environmentally friendly.

上の全ての事項は拡張性のある工業的プロセスを開発する観点から有利である。更に、得られる生成物はX又はHX系反応物を使用して製造される生成物よりも安定である。結果として、堆積した膜の電気的な特性に悪影響を与え得るCuなどの安定化剤を使用することなく、反応生成物の半導体産業に適した純度水準が維持される。 All of the above are advantageous from the perspective of developing scalable industrial processes. Furthermore, the resulting product is more stable than products produced using the X 2 or HX-based reactants. As a result, a purity level suitable for the semiconductor industry of the reaction product is maintained without using stabilizers such as Cu that can adversely affect the electrical properties of the deposited film.

本開示のSi含有膜形成用組成物の蒸着法のための使用方法も開示される。本開示の方法は、ケイ素含有膜の堆積のためのSi含有膜形成用組成物の使用を提供する。本開示の方法は、半導体、太陽電池、LCD−TFT、又はフラットパネル型デバイスの製造において有用な場合がある。方法は、基板がその中に配置されている反応器内に本開示のSi含有膜形成用組成物の蒸気を導入することと、堆積法によって本開示のSi−H含有ヨードシランの少なくとも一部を基板上に堆積させてSi含有層を形成することとを含む。   A method of using the composition for forming a Si-containing film of the present disclosure for the vapor deposition method is also disclosed. The disclosed method provides for the use of a Si-containing film forming composition for the deposition of silicon-containing films. The disclosed method may be useful in the manufacture of semiconductors, solar cells, LCD-TFT, or flat panel type devices. The method includes introducing a vapor of the Si-containing film-forming composition of the present disclosure into a reactor in which a substrate is disposed, and depositing at least a portion of the Si—H-containing iodosilane of the present disclosure by a deposition method. Depositing on the substrate to form a Si-containing layer.

本開示の方法は、蒸着法を使用する基板上へのバイメタル含有層の形成、より詳しくは、SiMO又はSiMN膜(式中、xは0〜4であってもよく、MはTa、Nb、V、Hf、Zr、Ti、Al、B、C、P、As、Ge、ランタノイド(Erなど)、又はこれらの組み合わせである)の堆積のための形成も提供する。 The method of the present disclosure includes the formation of a bimetal-containing layer on a substrate using vapor deposition, more specifically, a SiMO x or SiMN x film (wherein x may be 0-4, M is Ta, Also provided is the formation for deposition of Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, lanthanoids (such as Er), or combinations thereof.

本開示の基板上へのケイ素含有層の形成方法は、半導体、太陽電池、LCD−TFT、又はフラットパネル型デバイスの製造において有用な場合がある。本開示のSi−H含有ヨードシランは、当該技術分野で公知の任意の蒸着法を使用してSi含有膜を堆積させることができる。適切な蒸着法の例としては、化学蒸着(CVD)又は原子層堆積(ALD)が挙げられる。典型的なCVD法としては、熱CVD、プラズマ強化CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、減圧CVD(SACVD)、又は大気圧CVD(APCVD)、流動CVD(f−CVD)、有機金属化学気相成長(MOCVD)、ホットワイヤーCVD(HWCVD、cat−CVDとしても知られており、ホットワイヤーが堆積工程のためのエネルギー源として機能する)、ラジカル組み込みCVD、及びこれらの組み合わせが挙げられる。典型的なALD法としては、熱ALD、プラズマ強化ALD(PEALD)、空間的ALD、ホットワイヤーALD(HWALD)、ラジカル組み込みALD、及びこれらの組み合わせが挙げられる。超臨界流体堆積も使用することができる。堆積方法は、適切なステップカバレッジ及び膜厚制御を得るために、好ましくはALD、空間的ALD、又はPE−ALDである。   The method of forming a silicon-containing layer on a substrate of the present disclosure may be useful in the manufacture of semiconductors, solar cells, LCD-TFT, or flat panel type devices. The Si—H containing iodosilane of the present disclosure can deposit a Si containing film using any vapor deposition method known in the art. Examples of suitable vapor deposition methods include chemical vapor deposition (CVD) or atomic layer deposition (ALD). Typical CVD methods include thermal CVD, plasma enhanced CVD (PECVD), pulsed CVD (PCVD), low pressure CVD (LPCVD), low pressure CVD (SACVD), or atmospheric pressure CVD (APCVD), flow CVD (f-CVD). ), Metal organic chemical vapor deposition (MOCVD), hot wire CVD (also known as HWCVD, cat-CVD, where the hot wire serves as an energy source for the deposition process), radical embedded CVD, and these Combinations are listed. Typical ALD methods include thermal ALD, plasma enhanced ALD (PEALD), spatial ALD, hot wire ALD (HWARD), radical incorporation ALD, and combinations thereof. Supercritical fluid deposition can also be used. The deposition method is preferably ALD, spatial ALD, or PE-ALD to obtain appropriate step coverage and film thickness control.

Si含有膜形成用組成物の蒸気は、基板が入っている反応チャンバー内に導入される。反応チャンバー内部の温度及び圧力並びに基板の温度は、少なくとも一部のSi−H含有ヨードシランを基板上に蒸着するのに適切な条件に保持される。すなわち、気化した組成物をチャンバーに導入した後、チャンバー内の条件は、気化した前駆体の少なくとも一部が基板上に堆積してケイ素含有膜を形成するようにされる。Si含有層の生成を補助するために共反応物も使用することができる。   The vapor of the Si-containing film forming composition is introduced into a reaction chamber containing a substrate. The temperature and pressure inside the reaction chamber and the temperature of the substrate are maintained at conditions suitable for depositing at least a portion of the Si—H containing iodosilane onto the substrate. That is, after introducing the vaporized composition into the chamber, the conditions in the chamber are such that at least a portion of the vaporized precursor is deposited on the substrate to form a silicon-containing film. Co-reactants can also be used to assist in the formation of the Si-containing layer.

反応チャンバーは、限定するものではないが、平行板型反応器、コールドウォール型反応器、ホットウォール型反応器、シングルウエハー反応器、マルチウエハー反応器、又は他のこのようなタイプの堆積システムなど、堆積法がその中で行われる装置の任意の筐体又はチャンバーであってもよい。これらの例示的な反応チャンバーの全てがALD反応チャンバーとして機能することができる。反応チャンバーは、約0.5mTorr〜約760Torrの範囲の圧力で維持されてもよい。更に、反応チャンバー中の温度は約20℃〜約700℃の範囲であってもよい。当業者は、望ましい結果を得るために簡単な実験によって温度を最適化できることを認識するであろう。   The reaction chamber may include, but is not limited to, a parallel plate reactor, a cold wall reactor, a hot wall reactor, a single wafer reactor, a multi-wafer reactor, or other such type of deposition system, etc. It can be any housing or chamber of the apparatus in which the deposition process is performed. All of these exemplary reaction chambers can function as ALD reaction chambers. The reaction chamber may be maintained at a pressure in the range of about 0.5 mTorr to about 760 Torr. Further, the temperature in the reaction chamber may range from about 20 ° C to about 700 ° C. One skilled in the art will recognize that the temperature can be optimized by simple experimentation to achieve the desired result.

反応器の温度は、基板ホルダーの温度を制御するか反応器壁の温度を制御することによって制御することができる。基板を加熱するために使用される装置は当該技術分野で公知である。反応器壁は、十分な成長速度で、望ましい物理的状態及び組成の望ましい膜を得るために十分な温度まで加熱される。反応器壁が加熱され得る非限定的な典型的な温度範囲には、約20℃〜約700℃が含まれる。プラズマ堆積法が利用される場合、堆積温度は約20℃〜約550℃の範囲であってもよい。或いは、熱的方法が行われる場合、堆積温度は約300℃〜約700℃の範囲であってもよい。   The temperature of the reactor can be controlled by controlling the temperature of the substrate holder or by controlling the temperature of the reactor wall. Apparatus used to heat the substrate is known in the art. The reactor wall is heated to a sufficient temperature to obtain a desired film of the desired physical state and composition at a sufficient growth rate. A non-limiting exemplary temperature range in which the reactor wall can be heated includes from about 20 ° C to about 700 ° C. If plasma deposition is utilized, the deposition temperature may range from about 20 ° C to about 550 ° C. Alternatively, if a thermal process is performed, the deposition temperature may range from about 300 ° C to about 700 ° C.

或いは、十分な成長速度で、望ましい物理的状態及び組成の望ましいケイ素含有膜を得るために十分な温度まで基板が加熱されてもよい。基板が加熱され得る非限定的な典型的な温度範囲には、150℃〜700℃が含まれる。好ましくは、基板の温度は500℃以下に保たれる。   Alternatively, the substrate may be heated to a sufficient temperature to obtain a desired silicon-containing film of the desired physical state and composition at a sufficient growth rate. A non-limiting typical temperature range in which the substrate can be heated includes 150 ° C. to 700 ° C. Preferably, the temperature of the substrate is maintained at 500 ° C. or lower.

ケイ素含有膜がその上に堆積される基板の種類は、意図される最終用途に応じて変わるであろう。基板は、通常、その上で処理が行われる材料として定義される。基板は、半導体、太陽電池、フラットパネル、又はLCD−TFT型デバイスの製造において使用される任意の適切な基板であってもよい。適切な基板の例としては、シリコン、シリカ、ガラス、Ge、又はGaAsウエハーなどのウエハーが挙げられる。ウエハーは、前の製造工程と異なる材料がその上に堆積された1層以上の層を有していてもよい。例えば、ウエハーは、シリコン層(結晶、アモルファス、多孔質等)、シリコン酸化物層、シリコン窒化物層、シリコン酸窒化物層、炭素でドープされたケイ素酸化物(SiCOH)層、又はこれらの組み合わせを含んでいてもよい。更に、ウエハーは、銅層、タングステン層、又は金属層(例えば、白金、パラジウム、ニッケル、ロジウム、又は金)を含んでいてもよい。ウエハーは、マンガン、酸化マンガン、タンタル、窒化タンタル等のバリア層を含んでいてもよい。層は平面状であってもよく、又はパターン化されていてもよい。いくつかの実施形態では、基板はパターン化されたフォトレジスト膜で被覆されていてもよい。いくつかの実施形態では、基板はMIM、DRAM、又はFeRam技術中の誘電体として使用される酸化物の層(例えば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、三元酸化物系材料等)、又はエレクトロマイグレーションバリアとして使用される窒化物系膜(例えば、TaN)由来の層、並びに銅とlow−k層との間の接着層を含んでいてもよい。本開示の方法は、ウエハー上に直接、又はウエハー上面の1層以上の層(パターン化された層が基板を形成する場合)の上に直接ケイ素含有層を堆積させることができる。更に、当業者は、本明細書で使用される用語である「膜」又は「層」が、表面の上に重ねられるか、又は表面全体に広げられる何らかの材料の厚さを意味し、表面はトレンチ又は線であってもよいことを認識するであろう。本明細書及び請求項全体を通じて、ウエハー及びその上の任意の関連する層は基板と呼ばれる。利用される実際の基板は、利用される特定の前駆体の実施形態にも依存し得る。しかし、多くの場合、利用される好ましい基板は、水素化炭素、TiN、SRO、Ru、及びポリシリコン又は結晶シリコン基板などのSi型の基板から選択されるであろう。 The type of substrate on which the silicon-containing film is deposited will vary depending on the intended end use. A substrate is usually defined as the material on which processing is performed. The substrate may be any suitable substrate used in the manufacture of semiconductors, solar cells, flat panels, or LCD-TFT type devices. Examples of suitable substrates include wafers such as silicon, silica, glass, Ge, or GaAs wafers. The wafer may have one or more layers on which a material different from the previous manufacturing process is deposited. For example, the wafer may be a silicon layer (crystalline, amorphous, porous, etc.), a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a carbon-doped silicon oxide (SiCOH) layer, or a combination thereof May be included. In addition, the wafer may include a copper layer, a tungsten layer, or a metal layer (eg, platinum, palladium, nickel, rhodium, or gold). The wafer may include a barrier layer of manganese, manganese oxide, tantalum, tantalum nitride, or the like. The layer may be planar or patterned. In some embodiments, the substrate may be coated with a patterned photoresist film. In some embodiments, the substrate is a layer of oxide used as a dielectric in MIM, DRAM, or FeRam technology (eg, ZrO 2 based material, HfO 2 based material, TiO 2 based material, rare earth oxide based). Materials, ternary oxide-based materials, etc.), or layers derived from nitride-based films (eg, TaN) used as electromigration barriers, as well as adhesive layers between copper and low-k layers Good. The disclosed method can deposit a silicon-containing layer directly on the wafer or directly on one or more layers on the top surface of the wafer (if the patterned layer forms a substrate). Further, those skilled in the art mean that the term “film” or “layer” as used herein refers to any material thickness that is superimposed on or spread over the surface, where the surface It will be appreciated that it may be a trench or a line. Throughout this specification and claims, a wafer and any associated layers thereon are referred to as a substrate. The actual substrate utilized may also depend on the particular precursor embodiment utilized. However, in many cases, the preferred substrate utilized will be selected from hydrogenated carbon, TiN, SRO, Ru, and Si-type substrates such as polysilicon or crystalline silicon substrates.

基板は、高いアスペクト比を有するビア又はトレンチを含むようにパターン化されていてもよい。例えば、SiOなどのコンフォーマルなSi含有膜は、約20:1〜約100:1の範囲のアスペクト比を有するシリコン貫通電極(TSV)の上に任意のALD技術を使用して堆積されてもよい。 The substrate may be patterned to include vias or trenches having a high aspect ratio. For example, a conformal Si-containing film such as SiO 2 is deposited using any ALD technique on a through silicon via (TSV) having an aspect ratio in the range of about 20: 1 to about 100: 1. Also good.

Si含有膜形成用組成物は、無希釈で供給されてもよい。或いは、Si含有膜形成用組成物は、蒸着における使用に適切な溶媒を更に含んでいてもよい。溶媒は、特にC〜C16の飽和又は不飽和の炭化水素から選択することができる。 The Si-containing film forming composition may be supplied undiluted. Alternatively, the Si-containing film forming composition may further contain a solvent suitable for use in vapor deposition. The solvent can be selected in particular from C 1 to C 16 saturated or unsaturated hydrocarbons.

蒸着のために、Si含有膜形成用組成物は、配管及び/又は流量計などの従来の手段により、蒸気の形態で反応器内に導入される。蒸気の形態は、直接的な液体注入やキャリアガス不存在下での直接的な蒸気の取り出しなどの従来の気化工程でSi含有膜形成用組成物を気化させることにより、液体にキャリアガスを吹き込むことにより、液体への吹き込みなしにキャリアガス中で蒸気を蒸発させることにより、又は前駆体が室温で固体の場合にはXuらの国際公開第2009/087609号パンフレットに開示されているものなどの昇華装置を使用することにより生成させることができる。Si含有膜形成用組成物は、気化器(直接液体導入)へ液体状態で供給されてもよく、組成物はここで気化されてキャリアガスと混合された後、反応器内に導入される。或いは、Si含有膜形成用組成物は、組成物が入った容器内にキャリアガスを通すことにより、又は組成物中にキャリアガスを吹き込むことにより気化されてもよい。キャリアガスとしては、Ar、He、又はN、及びこれらの混合物が挙げられるが、これらに限定されない。キャリアガス及び組成物は、その後、蒸気として反応器内に導入される。 For vapor deposition, the Si-containing film-forming composition is introduced into the reactor in the form of vapor by conventional means such as piping and / or flow meters. The vapor form is such that the carrier gas is blown into the liquid by vaporizing the composition for forming a Si-containing film in a conventional vaporization process such as direct liquid injection or direct vapor extraction in the absence of a carrier gas. By evaporating the vapor in the carrier gas without blowing into the liquid, or when the precursor is a solid at room temperature, such as that disclosed in Xu et al. WO 2009/087609 It can be generated by using a sublimation device. The composition for forming a Si-containing film may be supplied in a liquid state to a vaporizer (direct liquid introduction), and the composition is vaporized and mixed with a carrier gas, and then introduced into the reactor. Alternatively, the Si-containing film forming composition may be vaporized by passing a carrier gas through a container containing the composition or by blowing a carrier gas into the composition. The carrier gas, Ar, the He, or N 2, and mixtures thereof, without limitation. The carrier gas and composition are then introduced into the reactor as vapor.

Si含有膜形成用組成物は、Si含有膜形成用組成物移送装置の3つの例示的な実施形態を示す図3〜5のSi含有膜形成用組成物移送装置によって反応器又は蒸着チャンバー内に運ばれてもよい。上で詳述したように、及び下の実施例で示されるように、移送装置は清浄であり乾燥していなければならず、またSi−H含有膜形成用組成物が反応しない材料でできていなければならない。   The Si-containing film-forming composition is placed in the reactor or deposition chamber by the Si-containing film-forming composition transfer device of FIGS. 3-5 showing three exemplary embodiments of the Si-containing film-forming composition transfer device. May be carried. As detailed above and as shown in the examples below, the transfer device must be clean and dry, and made of a material that the Si-H containing film forming composition does not react with. There must be.

図3は、Si含有膜形成用組成物反応物移送装置101のある実施形態の側面図である。図3において、本開示のSi含有膜形成用組成物110は、2つの導管、入口管300及び出口管400を有する容器200内に入っている。反応物の分野の当業者は、容器200、入口管300、及び出口管400が、高温高圧であっても気体形態のSi含有膜形成用組成物110の漏れを防ぐように製造されることを認識するであろう。   FIG. 3 is a side view of an embodiment of the Si-containing film forming composition reactant transfer apparatus 101. In FIG. 3, the Si-containing film forming composition 110 of the present disclosure is contained in a container 200 having two conduits, an inlet pipe 300 and an outlet pipe 400. Those skilled in the reactant art will recognize that the vessel 200, the inlet tube 300, and the outlet tube 400 are manufactured to prevent leakage of the Si-containing film-forming composition 110 in gaseous form even at high temperature and pressure. You will recognize.

移送装置101の出口管400は、反応器(図示せず)、又はガスキャビネットなどの移送装置と反応器との間の他の構成要素と、流体が流通できるようにバルブ700を介して接続される。好ましくは、容器200、入口管300、バルブ600、出口管400、及びバルブ700は、不動態化された316L EP、又は304の不動態化されたステンレス鋼製である。しかし、当業者は本明細書中の教示において他の非反応性材料も使用され得ることを認識するであろう。   The outlet pipe 400 of the transfer device 101 is connected to a reactor (not shown) or other components between the transfer device and the reactor, such as a gas cabinet, through a valve 700 so that fluid can flow. The Preferably, vessel 200, inlet tube 300, valve 600, outlet tube 400, and valve 700 are made of passivated 316L EP, or 304 passivated stainless steel. However, those skilled in the art will recognize that other non-reactive materials may be used in the teachings herein.

図3において、入口管300の端部800はSi含有膜形成用組成物110の表面の上方に位置している一方、出口管400の端部900はSi含有膜形成用組成物110の表面の下側に位置している。この実施形態では、Si含有膜形成用組成物110は、好ましくは液体形態である。不活性ガス(窒素、アルゴン、ヘリウム、及びこれらの混合物が挙げられるが、これらに限定されない)が入口管300内に導入されてもよい。不活性ガスは移送装置200を加圧し、その結果液体のSi含有膜形成用組成物110が出口管400を通って反応器(図示せず)へと押し出される。その上に膜が形成される基板に蒸気を運ぶために、反応器は、ヘリウム、アルゴン、窒素、及びこれらの混合物などのキャリアガスの使用あり又はなしで液体のSi含有膜形成用組成物110を蒸気へと変換する気化器を含んでいてもよい。或いは、液体のSi含有膜形成用組成物110は、噴流又はエアロゾルとしてウエハー表面に直接運ばれてもよい。   In FIG. 3, the end portion 800 of the inlet tube 300 is located above the surface of the Si-containing film forming composition 110, while the end portion 900 of the outlet tube 400 is formed on the surface of the Si-containing film forming composition 110. Located on the lower side. In this embodiment, the Si-containing film forming composition 110 is preferably in liquid form. An inert gas (including but not limited to nitrogen, argon, helium, and mixtures thereof) may be introduced into the inlet tube 300. The inert gas pressurizes the transfer device 200, and as a result, the liquid Si-containing film forming composition 110 is pushed out through the outlet pipe 400 into the reactor (not shown). In order to carry the vapor to the substrate on which the film is formed, the reactor is a liquid Si-containing film-forming composition 110 with or without the use of a carrier gas such as helium, argon, nitrogen, and mixtures thereof. A vaporizer may be included to convert the water into steam. Alternatively, the liquid Si-containing film forming composition 110 may be directly delivered to the wafer surface as a jet or aerosol.

図4は、Si含有膜形成用組成物反応物移送装置101の第2の実施形態の側面図である。図4では、入口管300の端部800はSi含有膜形成用組成物110の表面の下側に位置している一方、出口管400の端部900はSi含有膜形成用組成物110の表面の上方に位置している。図2は任意選択的な加熱要素140も含んでおり、これはSi含有膜形成用組成物110の温度を上昇させることができる。この実施形態では、Si含有膜形成用組成物110は、固体形態であっても液体形態であってもよい。不活性ガス(窒素、アルゴン、ヘリウム、及びこれらの混合物が挙げられるが、これらに限定されない)が入口管300内に導入されてもよい。不活性ガスはSi含有膜形成用組成物110に吹き込まれ、不活性ガスと気化したSi含有膜形成用組成物110との混合物を出口管400及び反応器へと運ぶ。   FIG. 4 is a side view of the second embodiment of the Si-containing film forming composition reactant transfer apparatus 101. In FIG. 4, the end portion 800 of the inlet tube 300 is located below the surface of the Si-containing film forming composition 110, while the end portion 900 of the outlet tube 400 is the surface of the Si-containing film forming composition 110. Is located above. FIG. 2 also includes an optional heating element 140 that can increase the temperature of the Si-containing film-forming composition 110. In this embodiment, the Si-containing film forming composition 110 may be in a solid form or a liquid form. An inert gas (including but not limited to nitrogen, argon, helium, and mixtures thereof) may be introduced into the inlet tube 300. The inert gas is blown into the Si-containing film-forming composition 110 and carries the mixture of the inert gas and the vaporized Si-containing film-forming composition 110 to the outlet pipe 400 and the reactor.

図3及び4はバルブ600及び700を含む。当業者は、流れがそれぞれ導管300及び400を通ることができるように、バルブ600及び700が開放位置又は閉鎖位置に設定されてもよいことを認識するであろう。Si含有膜形成用組成物110が気体形態の場合、又は十分な蒸気圧が固体相/液体相の上方に存在する場合、図3及び4のいずれかの移送装置101、又は存在するあらゆる固体若しくは液体の表面の上方で終端している単一の導管を有するより単純な移送装置が使用されてもよい。この場合、Si含有膜形成用組成物110は、図3におけるバルブ600又は図4におけるバルブ7を単に開放することにより、導管300又は400を通って蒸気の形態で運ばれる。移送装置101は、蒸気の形態で運ぶべきSi含有膜形成用組成物110に十分な蒸気圧を与えるために、例えば任意選択的な加熱要素140を使用することによって適切な温度で維持されてもよい。   3 and 4 include valves 600 and 700. One skilled in the art will recognize that valves 600 and 700 may be set in an open or closed position so that flow can pass through conduits 300 and 400, respectively. If the Si-containing film-forming composition 110 is in gaseous form, or if sufficient vapor pressure exists above the solid / liquid phase, the transfer device 101 of either of FIGS. 3 and 4 or any solid present or Simpler transfer devices having a single conduit terminating above the surface of the liquid may be used. In this case, the Si-containing film-forming composition 110 is carried in the form of steam through the conduit 300 or 400 by simply opening the valve 600 in FIG. 3 or the valve 7 in FIG. The transfer device 101 may be maintained at an appropriate temperature, for example by using an optional heating element 140, to provide sufficient vapor pressure to the Si-containing film forming composition 110 to be carried in the form of vapor. Good.

図3及び4は、Si含有膜形成用組成物移送装置101の2つの実施形態を開示しているが、当業者は、本明細書の開示から逸脱することなく、入口管300及び出口管400がSi含有膜形成用組成物110の表面の上方又は下側の両方に位置していてもよいことを認識するであろう。更に、入口管300は充填口であってもよい。   3 and 4 disclose two embodiments of the Si-containing film-forming composition transfer apparatus 101, those skilled in the art will recognize that the inlet tube 300 and the outlet tube 400 will not depart from the disclosure herein. Will be recognized both above or below the surface of the Si-containing film-forming composition 110. Further, the inlet pipe 300 may be a filling port.

Si含有膜形成用組成物の固体形態の蒸気は、昇華装置を使用して反応器に運ばれてもよい。図5は、典型的な昇華装置100のある実施形態を示す。昇華装置100は容器33を含む。容器33は、限定するものではないが、円筒容器であってもよく、又は任意の形状であってもよい。容器33は、限定するものではないが、不動態化されたステンレス鋼、酸化アルミニウム、ガラス、及び他の化学的に適合性のある材料などの材料で構成される。ある事例では、限定するものではないが、容器33は別の金属又は金属合金で構成される。ある事例では、容器33は、約8センチメートル〜約55センチメートルの内径、又は約8センチメートル〜約30センチメートルの内径を有する。当業者に理解されるとおり、別の構造が様々な寸法を有していてもよい。   The vapor in solid form of the Si-containing film forming composition may be conveyed to the reactor using a sublimation apparatus. FIG. 5 shows an embodiment of a typical sublimation apparatus 100. The sublimation apparatus 100 includes a container 33. The container 33 is not limited, but may be a cylindrical container or an arbitrary shape. Container 33 is comprised of materials such as, but not limited to, passivated stainless steel, aluminum oxide, glass, and other chemically compatible materials. In some cases, but not limited to, the container 33 is composed of another metal or metal alloy. In some cases, the container 33 has an inner diameter of about 8 centimeters to about 55 centimeters, or an inner diameter of about 8 centimeters to about 30 centimeters. As will be appreciated by those skilled in the art, other structures may have various dimensions.

容器33は、密閉可能な上部15、シール部材18、及びガスケット20を含む。密閉可能な上部15は外部環境から容器33を密閉するように構成される。密閉可能な上部15は容器33にアクセス可能なように構成される。更に、密閉可能な上部15は容器33内を導管が貫通するように構成される。更に、密閉可能な上部15は容器33内に流体が流れることができるように構成される。密閉可能な上部15は、容器33と流体が接触したままにするために、浸漬管92を含む導管を受け入れて貫通させるように構成される。制御バルブ90及び接続部品95を有する浸漬管92は、キャリアガスを容器33内に流すように構成される。ある事例では、浸漬管92は容器33の中心軸の下方まで延びる。更に、密閉可能な上部15は、出口管12を含む導管を受け入れて貫通させるように構成される。キャリアガス及びSi含有膜形成用組成物の蒸気は出口管12を通って容器33から取り出される。出口管12は、制御バルブ10及び接続部品5を含む。ある事例では、出口管12は、キャリアガスを昇華装置100から反応器へ導くための気体供給連結管と、流体が流れるように連結される。   The container 33 includes a sealable upper portion 15, a sealing member 18, and a gasket 20. The sealable top 15 is configured to seal the container 33 from the external environment. The sealable top 15 is configured to allow access to the container 33. Furthermore, the sealable top 15 is configured such that a conduit passes through the container 33. Furthermore, the sealable top 15 is configured to allow fluid to flow into the container 33. The sealable top 15 is configured to receive and penetrate a conduit including a dip tube 92 to keep the container 33 and fluid in contact. A dip tube 92 having a control valve 90 and a connection piece 95 is configured to flow carrier gas into the container 33. In some cases, the dip tube 92 extends below the central axis of the container 33. Further, the sealable top 15 is configured to receive and penetrate a conduit including the outlet tube 12. The carrier gas and the vapor of the Si-containing film forming composition are taken out from the container 33 through the outlet pipe 12. The outlet pipe 12 includes a control valve 10 and a connection part 5. In some cases, the outlet tube 12 is connected to a gas supply connection for guiding the carrier gas from the sublimation device 100 to the reactor so that the fluid flows.

容器33及び密閉可能な上部15は、少なくとも2個のシール部材18により、又は少なくとも約4個のシール部材により密閉される。ある事例では、密閉可能な上部15は少なくとも約8個のシール部材18によって容器33に密閉される。当業者に理解されるように、シール部材18は容器33に、密閉可能な上部15に開放可能に連結され、ガスケット20と共に耐ガス性シールを形成する。シール部材18は、当業者に公知である、容器33の密閉のための任意の適切な手段を含んでいてもよい。ある事例では、シール部材18は蝶ねじを含む。   Container 33 and sealable top 15 are sealed by at least two sealing members 18 or by at least about four sealing members. In some cases, the sealable top 15 is sealed to the container 33 by at least about 8 seal members 18. As will be appreciated by those skilled in the art, the seal member 18 is releasably connected to the container 33 to the sealable upper portion 15 to form a gas resistant seal with the gasket 20. Seal member 18 may include any suitable means for sealing container 33 known to those skilled in the art. In some instances, the seal member 18 includes a thumbscrew.

図5に示されているように、容器33はその中に配置されている少なくとも1つのディスクを更に含む。ディスクは、固体材料のための棚又は水平な支持体を含む。ある実施形態では、内側ディスク30は、容器33内で環状に配置されており、その結果、ディスク30は容器33の内径又は円周よりも小さい外径又は円周を含み、隙間31を形成する。外側ディスク86は、容器33内で環状に配置されており、その結果、ディスク86は容器33の内径と同じか、略同じか、又は略一致する外径又は円周を含む。外側ディスク86は、ディスクの中心に配置される隙間87を形成する。複数のディスクが容器33内に配置される。ディスクは互い違いの形状で積み重ねられ、内側ディスク30、34、36、44は交互の外側ディスク62、78、82、86と容器内で垂直に積み重ねられる。複数の実施形態では、内側ディスク30、34、36、44は環状に外側に向かって延びており、外側ディスク62、78、82、86は環状に容器33の中心に向けて延びている。図5の実施形態に示されているように、内側ディスク30、34、36、44は、外側ディスク62、78、82、86と物理的に接触していない。   As shown in FIG. 5, the container 33 further includes at least one disk disposed therein. The disc includes a shelf or horizontal support for solid material. In certain embodiments, the inner disk 30 is annularly disposed within the container 33 such that the disk 30 includes an outer diameter or circumference that is smaller than the inner diameter or circumference of the container 33 to form the gap 31. . The outer disk 86 is annularly disposed within the container 33 so that the disk 86 includes an outer diameter or circumference that is the same, substantially the same as or substantially coincident with the inner diameter of the container 33. The outer disk 86 forms a gap 87 disposed at the center of the disk. A plurality of disks are disposed in the container 33. The disks are stacked in an alternating configuration, and the inner disks 30, 34, 36, 44 are stacked vertically with alternating outer disks 62, 78, 82, 86 in the container. In some embodiments, the inner disks 30, 34, 36, 44 extend annularly outward and the outer disks 62, 78, 82, 86 extend annularly toward the center of the container 33. As shown in the embodiment of FIG. 5, the inner disks 30, 34, 36, 44 are not in physical contact with the outer disks 62, 78, 82, 86.

組み立てられた昇華装置100は、配置され連結されている支持脚50と、内側通路51と、同心壁40、41、42と、同心スロット47、48、49とを有する内側ディスク30、34、36、44を含む。内側ディスク30、34、36、44は垂直に積み重ねられており、浸漬管92の周りに環状に配向している。更に、昇華装置は外側ディスク62、78、82、86を含む。図3に示されているように、外側ディスク62、78、82、86は、ディスク62、78、82、86に容器33から熱を伝えるための良好な接触のために容器33に密着する必要がある。好ましくは、外側ディスク62、78、82、86は、容器33の内壁と連結されるか、物理的に接触する。   The assembled sublimation device 100 has inner legs 30, 34, 36 having support legs 50 arranged and connected, inner passages 51, concentric walls 40, 41, 42 and concentric slots 47, 48, 49. , 44. The inner disks 30, 34, 36, 44 are stacked vertically and are annularly oriented around the dip tube 92. In addition, the sublimation device includes outer disks 62, 78, 82, 86. As shown in FIG. 3, the outer disks 62, 78, 82, 86 need to be in close contact with the container 33 for good contact to transfer heat from the container 33 to the disks 62, 78, 82, 86. There is. Preferably, the outer disks 62, 78, 82, 86 are connected to or in physical contact with the inner wall of the container 33.

図示のように、外側ディスク62、78、82、86及び内側ディスク30、34、36、44は、容器33内に積み重ねられる。容器33内に組み立てられて昇華装置100が形成されると、内側ディスク30、34、36、44は、組み立てられた外側ディスク62、78、82、86間の外側気体通路31、35、37、45を形成する。また、外側ディスク62、78、82、86は、内側ディスク30、34、36、44の支持脚と共に内側気体通路56、79、83、87を形成する。内側ディスク30、34、36、44の壁40、41、42は、固体前駆体を保持するための溝付きのスロットを形成する。外側ディスク62、78、82、86は、固体前駆体を保持するための壁68、69、70を含む。組み立て時、固体前駆体は、内側ディスク30、34、36、44の環状スロット47、48、49、及び外側ディスク62、78、82、86の環状スロット64、65、66内に入れられる。   As shown, the outer disks 62, 78, 82, 86 and the inner disks 30, 34, 36, 44 are stacked in the container 33. When assembled in the container 33 to form the sublimation device 100, the inner disks 30, 34, 36, 44 are connected to the outer gas passages 31, 35, 37, between the assembled outer disks 62, 78, 82, 86. 45 is formed. Further, the outer disks 62, 78, 82, 86 form inner gas passages 56, 79, 83, 87 together with the support legs of the inner disks 30, 34, 36, 44. The walls 40, 41, 42 of the inner disks 30, 34, 36, 44 form slotted slots for holding the solid precursor. The outer disks 62, 78, 82, 86 include walls 68, 69, 70 for holding solid precursors. During assembly, the solid precursor is placed in the annular slots 47, 48, 49 of the inner disks 30, 34, 36, 44 and the annular slots 64, 65, 66 of the outer disks 62, 78, 82, 86.

約1センチメートル未満、又は約0.5センチメートル未満、又は約0.1センチメートル未満の大きさの固体粉末及び/又は顆粒状粒子が内側ディスク30、34、36、44の環状スロット47、48、49、及び外側ディスク62、78、82、86の環状スロット64、65、66内に装填される。固体前駆体は、環状スロット内での固体の均一な分布に適切な任意の方法によって各ディスクの環状スロット内に装填される。適切な方法としては、限定するものではないが、直接の流し込み、柄杓の使用、漏斗の使用、自動測定吐出、及び加圧吐出が挙げられる。固体前駆体材料の化学特性によっては、装填は密閉環境で行われてもよい。更に、これらの毒性のある、揮発性のある、酸化されやすい、及び/又は空気の影響を受けやすい固体のために、密閉された箱内での不活性ガス雰囲気及び/又は加圧が行われてもよい。各ディスクは、容器33内のディスクの設定後に装填され得るであろう。より好ましい手順は、容器33内へのディスクの設定前に固体物を装填することである。昇華装置内に装填される固体前駆体の総重量は、装填工程の前後に昇華装置を秤量することで記録することができる。更に、消費された固体前駆体は、気化及び堆積工程後に昇華装置を秤量することによって計算することができる。   An annular slot 47 in the inner disk 30, 34, 36, 44 with solid powder and / or granular particles of a size less than about 1 centimeter, or less than about 0.5 centimeter, or less than about 0.1 centimeter, 48, 49 and outer disks 62, 78, 82, 86 are loaded into annular slots 64, 65, 66. The solid precursor is loaded into the annular slot of each disk by any method appropriate to the uniform distribution of solids within the annular slot. Suitable methods include, but are not limited to, direct pouring, use of handle, use of funnel, automatic measurement dispensing, and pressurized dispensing. Depending on the chemical properties of the solid precursor material, the loading may be performed in an enclosed environment. Furthermore, for these toxic, volatile, oxidizable and / or air sensitive solids, an inert gas atmosphere and / or pressurization in a sealed box is performed. May be. Each disc could be loaded after setting the disc in the container 33. A more preferred procedure is to load the solid before setting the disc in the container 33. The total weight of the solid precursor loaded into the sublimation device can be recorded by weighing the sublimation device before and after the loading process. Furthermore, the consumed solid precursor can be calculated by weighing the sublimation device after the vaporization and deposition process.

制御バルブ90及び接続部品95を有する浸漬管92は、内側ディスク30、34、36、44の、配置され連結されている支持脚の中央通路51内に位置している。そのため、浸漬管92は、容器33の底部58の方へ垂直に内側通路51を通り抜ける。浸漬管の端部55は、気体窓52/又はその上方で容器の底部58の近位に配置される。気体窓52は、底部の内側ディスク44に配置される。気体窓52は、浸漬管92からキャリアガスが流出できるように構成される。組み立てられた昇華装置100では、気体通路59は容器33の底面58及び底部内側ディスク44によって形成される。ある事例では、気体通路59はキャリアガスを加熱するように構成される。   A dip tube 92 having a control valve 90 and a connecting piece 95 is located in the central passage 51 of the support leg to which the inner disks 30, 34, 36, 44 are arranged and connected. Therefore, the dip tube 92 passes through the inner passage 51 perpendicularly toward the bottom 58 of the container 33. The end of the dip tube 55 is located proximal to the gas window 52 / or above the container bottom 58. The gas window 52 is disposed on the bottom inner disk 44. The gas window 52 is configured so that the carrier gas can flow out of the dip tube 92. In the assembled sublimation device 100, the gas passageway 59 is formed by the bottom surface 58 of the container 33 and the bottom inner disk 44. In some cases, the gas passageway 59 is configured to heat the carrier gas.

運転時、浸漬管92を介して容器33内に導入される前にキャリアガスが予熱される。或いは、キャリアガスは底面58による気体通路59を通って流れると同時に加熱されてもよい。底面58は、本明細書の教示と矛盾しない外部ヒーターによって熱的に一体化及び/又は加熱される。その後、キャリアガスは、内側ディスク44の外壁42と、外側ディスク62の外壁61とによって形成される気体通路45を通過する。気体通路45は内側ディスク44の最上部までつながっている。キャリアガスは、環状スロット47、48、及び49内に入れられている固体前駆体の上方を連続的に流れる。環状スロット47、48、49からの昇華した固体蒸気はキャリアガスと混合され、容器33を通って上方へ垂直に流れる。   During operation, the carrier gas is preheated before being introduced into the container 33 via the dip tube 92. Alternatively, the carrier gas may be heated as it flows through the gas passageway 59 by the bottom surface 58. The bottom surface 58 is thermally integrated and / or heated by an external heater consistent with the teachings herein. Thereafter, the carrier gas passes through a gas passage 45 formed by the outer wall 42 of the inner disk 44 and the outer wall 61 of the outer disk 62. The gas passage 45 is connected to the top of the inner disk 44. The carrier gas flows continuously over the solid precursor contained in the annular slots 47, 48 and 49. The sublimated solid vapor from the annular slots 47, 48, 49 is mixed with the carrier gas and flows vertically upward through the container 33.

図5は任意の固体Si含有膜形成用組成物の蒸気を反応器に運ぶことができる昇華装置のある実施形態を開示しているが、当業者は、本明細書の教示から逸脱することなく他の昇華装置の設計も適切な場合があることを認識するであろう。最後に、当業者は、本明細書の教示から逸脱することなく、Jurcikらの国際公開第2006/059187号パンフレットに開示されているアンプルなど、他の移送装置を使用する半導体加工用具に本開示のSi含有膜形成用組成物が運ばれ得ることを認識するであろう。   Although FIG. 5 discloses an embodiment of a sublimation apparatus that can carry vapors of any solid Si-containing film-forming composition to the reactor, those skilled in the art will not depart from the teachings herein. It will be appreciated that other sublimation device designs may be appropriate. Finally, those skilled in the art will disclose the present disclosure to semiconductor processing tools that use other transfer devices, such as the ampules disclosed in Jurcik et al., WO 2006/059187, without departing from the teachings herein. It will be appreciated that a Si-containing film-forming composition can be carried.

必要に応じて、図3〜5のSi含有膜形成用組成物の装置は、Si含有膜形成用組成物が液相になることを可能し、且つ十分な蒸気圧を有することを可能にする温度まで加熱されてもよい。移送装置は、例えば0〜150℃の範囲の温度で維持されてもよい。当業者は、気化されるSi含有膜形成用組成物の量を制御するために、公知の方法で移送装置の温度を調節できることを認識している。   If necessary, the Si-containing film forming composition apparatus of FIGS. 3-5 allows the Si-containing film forming composition to be in a liquid phase and have sufficient vapor pressure. It may be heated to temperature. The transfer device may be maintained at a temperature in the range of, for example, 0 to 150 ° C. One skilled in the art recognizes that the temperature of the transfer device can be adjusted in a known manner to control the amount of the Si-containing film-forming composition that is vaporized.

本開示の組成物に加えて、反応ガスも反応器内に導入することができる。反応ガスは、O;O;HO;Hなどの酸化剤;O・又はOH・;NO;NOなどの酸素含有ラジカル;ギ酸、酢酸、プロピオン酸などのカルボン酸;NO、NO、又はカルボン酸のラジカル種;パラホルムアルデヒド;及びこれらの混合物であってもよい。好ましくは、酸化剤は、O、O、HO、H、O・又はOH・などのその酸素含有ラジカル、及びこれらの混合物からなる群から選択される。好ましくは、ALD法が行われる場合、共反応物はプラズマ処理された酸素、オゾン、又はこれらの組み合わせである。酸化性ガスが使用される場合、得られるケイ素含有膜も酸素を含むであろう。 In addition to the composition of the present disclosure, a reactive gas can also be introduced into the reactor. O 2 ; O 3 ; H 2 O; H 2 O 2 ; oxidizing agent such as H 2 O 2 ; O · or OH ·; NO; oxygen-containing radicals such as NO 2 ; carboxylic acids such as formic acid, acetic acid, propionic acid; It may be a radical species of NO, NO 2 or carboxylic acid; paraformaldehyde; and mixtures thereof. Preferably, the oxidant is selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , its oxygen-containing radicals such as O. or OH., And mixtures thereof. Preferably, when the ALD method is performed, the co-reactant is plasma treated oxygen, ozone, or a combination thereof. If an oxidizing gas is used, the resulting silicon-containing film will also contain oxygen.

或いは、反応ガスは、H、NH、(SiHN、ヒドロシラン類(SiH、Si、Si、Si10、Si10、Si12等)、クロロシラン及びクロロポリシラン類(SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiCl等)、アルキルシラン類(MeSiH、EtSiH、MeSiH、EtSiH等)、ヒドラジン類(N、MeHNNH、MeHNNHMe等)、有機アミン類(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNH等)、エチレンジアミン、ジメチルエチレンジアミン、テトラメチルエチレンジアミンなどのジアミン類、ピラゾリン、ピリジン、B含有分子類(B、トリメチルホウ素、トリエチルホウ素、ボラジン、置換ボラジン、ジアルキルアミノボラン等)、アルキル金属類(トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛等)、これらのラジカル種、又はこれらの混合物であってもよい。H又は無機Si含有ガスが使用される場合、得られるケイ素含有膜は純粋なSiであり得る。 Alternatively, the reaction gas may be H 2 , NH 3 , (SiH 3 ) 3 N, hydrosilanes (SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6 H 12, etc. ), Chlorosilanes and chloropolysilanes (SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8 etc.), alkyl silanes (Me 2 SiH 2 , Et 2 SiH 2) , MeSiH 3 , EtSiH 3, etc.), hydrazines (N 2 H 4 , MeHNNH 2 , MeHNNHMe etc.), organic amines (NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , (SiMe 3) 3) 2 NH, etc.), ethylenediamine, dimethylethylenediamine, diamine such as tetramethylethylenediamine S, pyrazoline, pyridine, B-containing molecules, (B 2 H 6, trimethylboron, triethyl boron, borazine, substituted borazine, dialkylamino borane), alkyl metals (trimethylaluminum, triethylaluminum, dimethyl zinc, diethyl zinc, etc.) , These radical species, or a mixture thereof. If H 2 or inorganic Si-containing gas is used, the resulting silicon-containing film can be pure Si.

或いは、反応ガスは、エチレン、アセチレン、プロピレン、イソプレン、シクロヘキサン、シクロヘキセン、シクロヘキサジエン、ペンテン、ペンチン、シクロペンタン、ブタジエン、シクロブタン、テルピネン、オクタン、オクタン、又はこれらの組み合わせなどの(これらに限定されない)、飽和又は不飽和の、直鎖、分岐、又は環状の炭化水素であってもよい。   Alternatively, the reaction gas can be, but is not limited to, ethylene, acetylene, propylene, isoprene, cyclohexane, cyclohexene, cyclohexadiene, pentene, pentyne, cyclopentane, butadiene, cyclobutane, terpinene, octane, octane, or combinations thereof. Saturated or unsaturated, straight chain, branched or cyclic hydrocarbons.

反応ガスは、反応ガスをそのラジカル形態に分解するためにプラズマによって処理されてもよい。プラズマで処理する際にNも還元剤として利用することができる。例えば、プラズマは約50W〜約500W、好ましくは約100W〜約200Wの範囲の出力で生じさせることができる。プラズマは、反応器自体中で生じさせてもよく、又は反応器自体中に存在していてもよい。或いは、プラズマは、通常、例えば遠く離れて位置するプラズマシステム内など、反応器から出た位置にあってもよい。当業者は、そのようなプラズマ処理に適した方法及び装置を認識するであろう。 The reactive gas may be treated with a plasma to decompose the reactive gas into its radical form. N 2 can also be used as a reducing agent when processing with plasma. For example, the plasma can be generated at a power in the range of about 50W to about 500W, preferably about 100W to about 200W. The plasma may be generated in the reactor itself or may be present in the reactor itself. Alternatively, the plasma may typically be at a location exiting the reactor, such as within a remotely located plasma system. Those skilled in the art will recognize methods and apparatus suitable for such plasma processing.

望ましいケイ素含有膜は、限定するものではないが、例えばB、P、As、Zr、Hf、Ti、Nb、V、Ta、Al、Si、又はGeなどの他の元素も含み得る。   Desirable silicon-containing films may also include other elements such as, but not limited to, B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si, or Ge.

Si含有膜形成用組成物及び1種以上の共反応物は、反応チャンバー内に同時に(化学蒸着)、逐次的に(原子層堆積)、又は別の組み合わせで導入されてもよい。例えば、Si含有膜形成用組成物の蒸気は、1パルスで導入されてもよく、2種の追加的な金属源は別のパルス(改良型原子層堆積)で一緒に導入されてもよい。或いは、Si含有膜形成用組成物の導入前に反応チャンバーに既に共反応物が入っていてもよい。共反応物は、局在しているか反応チャンバーから離れているプラズマシステムを通過し、ラジカルに分解されてもよい。或いは、他の前駆体又は反応物がパルス(パルス化学蒸着)によって導入される一方、Si含有膜形成用組成物が反応チャンバーに連続的に導入されてもよい。もう1つの代替手段では、Si含有膜形成用組成物及び1種以上の共反応物は、複数のウエハーを保持しているサセプタがその下で回転しているシャワーヘッドから同時に噴霧されてもよい(空間的ALD)。   The Si-containing film forming composition and one or more co-reactants may be introduced into the reaction chamber simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or in another combination. For example, the vapor of the Si-containing film forming composition may be introduced in one pulse, and the two additional metal sources may be introduced together in another pulse (modified atomic layer deposition). Alternatively, a co-reactant may already be contained in the reaction chamber before the introduction of the Si-containing film forming composition. The co-reactant may pass through a local or remote plasma system and be decomposed into radicals. Alternatively, the Si-containing film forming composition may be continuously introduced into the reaction chamber while other precursors or reactants are introduced by pulse (pulse chemical vapor deposition). In another alternative, the Si-containing film forming composition and the one or more co-reactants may be sprayed simultaneously from a showerhead with a susceptor holding a plurality of wafers rotating underneath. (Spatial ALD).

ある非限定的な典型的な原子層堆積プロセスでは、Si含有膜形成用組成物の気相が反応チャンバー内に導入され、これはここで適切な基板と接触する。過剰の組成物は、その後、反応チャンバーをパージすること及び/又は排気することにより、反応チャンバーから除去することができる。酸素源は、吸収されたSi−H含有ヨードシランとそれが自己制御方式で反応する場所である反応チャンバー内に導入される。全ての過剰の酸素源は、反応チャンバーをパージすること及び/又は排気することにより、反応チャンバーから除去される。目的の膜が酸化ケイ素膜である場合、この2段階処理は望ましい膜厚を付与することができ、又はこれは必要な厚さの膜が得られるまで繰り返すことができる。   In one non-limiting typical atomic layer deposition process, a gas phase of a Si-containing film-forming composition is introduced into the reaction chamber, which now contacts a suitable substrate. Excess composition can then be removed from the reaction chamber by purging and / or evacuating the reaction chamber. An oxygen source is introduced into the reaction chamber where it is reacted with the absorbed Si-H containing iodosilane in a self-controlled manner. Any excess oxygen source is removed from the reaction chamber by purging and / or evacuating the reaction chamber. If the target film is a silicon oxide film, this two-step process can give the desired film thickness, or this can be repeated until a film of the required thickness is obtained.

或いは、目的の膜が金属ケイ素/半金属酸化物膜(すなわち、SiMOであり、xは0〜4であってもよく、MはB、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ga、Ge又はこれらの組み合わせである)である場合、上の2段階処理後、反応チャンバー内に金属含有前駆体又は半金属含有前駆体の蒸気が導入されてもよい。金属含有前駆体又は半金属含有前駆体は、堆積される金属ケイ素/半金属酸化物膜の性質に基づいて選択されるであろう。反応チャンバーへの導入後、金属含有前駆体又は半金属含有前駆体は基板と接触する。全ての過剰の金属含有前駆体又は半金属含有前駆体は、反応チャンバーをパージすること及び/又は排気することにより、反応チャンバーから除去される。ここでも同様に、金属含有前駆体又は半金属含有前駆体と反応させるために反応チャンバー内に酸素源が導入されてもよい。過剰の酸素源は、反応チャンバーをパージすること及び/又は排気することにより、反応チャンバーから除去される。目的の膜厚が得られた場合、処理を終了することができる。しかし、より厚い膜が望まれる場合、全体で4段階の処理を繰り返すことができる。Si含有膜形成用組成物、金属含有前駆体又は半金属含有前駆体、及び酸素源を交互に供給することにより、望ましい組成及び厚さの膜を堆積させることができる。 Alternatively, the target film is a metal silicon / metalloid oxide film (ie, SiMO x , x may be 0 to 4, and M is B, Zr, Hf, Ti, Nb, V, Ta, Al , Si, Ga, Ge, or a combination thereof) after the above two-step process, a vapor of a metal-containing or semi-metal-containing precursor may be introduced into the reaction chamber. The metal-containing precursor or metalloid-containing precursor will be selected based on the nature of the deposited metal silicon / metalloid oxide film. After introduction into the reaction chamber, the metal-containing precursor or metalloid-containing precursor contacts the substrate. Any excess metal-containing or metalloid-containing precursor is removed from the reaction chamber by purging and / or evacuating the reaction chamber. Again, an oxygen source may be introduced into the reaction chamber to react with the metal-containing precursor or metalloid-containing precursor. Excess oxygen source is removed from the reaction chamber by purging and / or evacuating the reaction chamber. When the target film thickness is obtained, the process can be terminated. However, if a thicker film is desired, a total of four stages of processing can be repeated. By alternately supplying the Si-containing film forming composition, the metal-containing precursor or the semi-metal-containing precursor, and the oxygen source, a film having a desired composition and thickness can be deposited.

また、パルス数を変えることにより、望ましい化学量論上のM:Siの比率を有する膜を得ることができる。例えば、SiMO膜は、1パルスのSi含有膜形成用組成物と、1パルスの金属含有前駆体又は半金属含有前駆体と、各パルス後の酸素源のパルスを有することによって得ることができる。しかし、当業者は、望ましい膜を得るために必要とされるパルスの数は、得られる膜の化学量論比と同じではない場合があることを認識するであろう。 Further, by changing the number of pulses, a film having a desired stoichiometric M: Si ratio can be obtained. For example, a SiMO 2 film can be obtained by having one pulse of a composition for forming a Si-containing film, one pulse of a metal-containing precursor or metalloid-containing precursor, and a pulse of an oxygen source after each pulse. . However, those skilled in the art will recognize that the number of pulses required to obtain the desired film may not be the same as the stoichiometric ratio of the resulting film.

上述の方法により得られるケイ素含有膜は、SiO;SiC;SiN;SiON;SiOC;SiONC;SiBN;SiBCN;SiCN;SiMO、SiMN(式中、Mは、当然のことながら、Mの酸化状態に応じてZr、Hf、Ti、Nb、V、Ta、Al、Geから選択される)を含んでいてもよい。当業者は、適切なSi含有膜形成用組成物及び共反応物の慎重な選択をすることにより、望ましい膜組成が得られることを認識するであろう。 The silicon-containing film obtained by the above-described method is SiO 2 ; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMO, SiMN (wherein M is naturally in the oxidation state of M) (Which is selected from Zr, Hf, Ti, Nb, V, Ta, Al, Ge). One skilled in the art will recognize that the desired film composition can be obtained by careful selection of suitable Si-containing film forming compositions and co-reactants.

望ましい膜厚が得られた後、膜に対して、熱アニール、炉アニール、高速熱アニール、UV若しくは電子線硬化、及び/又はプラズマガス曝露などの追加的な処理が行われてもよい。当業者は、これらの追加的な処理工程を行うために利用されるシステム及び方法を認識している。例えば、ケイ素含有膜は、不活性雰囲気、H含有雰囲気、N含有雰囲気、又はこれらの組み合わせの下、約200℃〜約1000℃の範囲の温度に約0.1秒〜約7200秒の範囲の時間曝露されてもよい。最も好ましくは、温度は3600秒未満にわたり600℃である。更に好ましくは、温度は400℃未満である。アニール工程は、その中で堆積工程が行われるものと同じ反応チャンバー内で行われてもよい。或いは、基板が反応チャンバー内から取り出され、アニール/フラッシュアニール処理が別の装置内で行われてもよい。上の後処理方法のいずれも、特にUV硬化は、膜の結合性及び架橋の強化、並びに膜がSiN含有膜である場合の膜のH含有率の低減に有効であることが見出された。典型的には、<400℃(好ましくは、約100℃〜300℃)までの熱アニールとUV硬化との組み合わせが、最も高い密度の膜を得るために使用される。   After the desired film thickness is obtained, the film may be subjected to additional processing such as thermal annealing, furnace annealing, rapid thermal annealing, UV or electron beam curing, and / or plasma gas exposure. Those skilled in the art are aware of the systems and methods utilized to perform these additional processing steps. For example, the silicon-containing film may have a temperature in the range of about 200 ° C. to about 1000 ° C. in the range of about 0.1 seconds to about 7200 seconds under an inert atmosphere, H-containing atmosphere, N-containing atmosphere, or combinations thereof. It may be exposed for hours. Most preferably, the temperature is 600 ° C. for less than 3600 seconds. More preferably, the temperature is less than 400 ° C. The annealing process may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber and the annealing / flash annealing process may be performed in a separate apparatus. In any of the above post-treatment methods, UV curing, in particular, has been found to be effective in enhancing film integrity and cross-linking and reducing the H content of the film when the film is a SiN-containing film. . Typically, a combination of thermal annealing and UV curing up to <400 ° C. (preferably about 100 ° C. to 300 ° C.) is used to obtain the highest density film.

以降の非限定的な実施例は、本発明の実施形態を更に詳しく説明するために与えられる。しかし、実施例は全てを網羅することを意図しておらず、また本明細書に記載の本発明の範囲を限定することを意図していない。   The following non-limiting examples are given to further illustrate embodiments of the present invention. However, the examples are not intended to be exhaustive and are not intended to limit the scope of the invention described herein.

実施例1:PTFEで被覆されている磁気撹拌子が入った250mLの3口(24/40)丸底(European style)フラスコに9.56g(33.7mmol)の無水ヨウ化リチウム粉末(Sigma Aldrich、99+%)と、80mLの無水クロロホルムとを入れた。混合物を撹拌しながら、ヘッドスペースを通してジクロロシラン(8.4g;83.2mmol、過剰)(「DCS」)を反応フラスコに添加した。直ちに色の変化が観察された(薄紫色)。DCS曝露中に温度を〜22から29℃に上げた。混合物を周囲温度で更に18時間撹拌した。固体は、ベージュ色の粗大な形態から白色の微細な粉末へと外観が変化した。このとき、固体の質量が減少した。固体を濾過し、真空化で乾燥した(2.75g回収;計算値3.0g)。液体窒素中で冷却されているトラップ内に凝縮させることによって静的真空下で溶媒を除去した。残りの紫色の液体を秤量し(4.54g;計算値10.0g;45%)、GCMSで分析した:80.5%がSiH(「DIS」)であり、残部がこれより高い沸点の化合物であった)。計算された生成物の収率は、試料のサイズ及びクロロホルムを除去した手段のため信頼性が低いものの、この実施例は、生成物DISを生成するためのハライド交換が成功することを示す。 Example 1 9.56 g (33.7 mmol) of anhydrous lithium iodide powder (Sigma Aldrich) in a 250 mL 3-neck (24/40) European style flask containing a magnetic stirring bar coated with PTFE 99 +%) and 80 mL of anhydrous chloroform. While stirring the mixture, dichlorosilane (8.4 g; 83.2 mmol, excess) (“DCS”) was added to the reaction flask through the headspace. A color change was observed immediately (light purple). The temperature was increased from ˜22 to 29 ° C. during DCS exposure. The mixture was stirred at ambient temperature for a further 18 hours. The appearance of the solid changed from a coarse beige form to a fine white powder. At this time, the mass of the solid decreased. The solid was filtered and dried under vacuum (2.75 g recovered; calculated 3.0 g). The solvent was removed under static vacuum by condensing into a trap cooled in liquid nitrogen. The remaining purple liquid was weighed (4.54 g; calculated 10.0 g; 45%) and analyzed by GCMS: 80.5% was SiH 2 I 2 (“DIS”) with the balance higher The boiling point compound). Although the calculated product yield is unreliable due to the sample size and the means by which the chloroform was removed, this example shows that the halide exchange to produce the product DIS is successful.

実施例2:クロロホルムの代わりにトルエンを使用した以外、実施例1で説明したのと同じ手順及び試薬添加量を使用して同様の反応を行った。液体(追加的な後処理なし)のGC試料分析から、DISが主生成物(sans溶媒)であり、少量のDCS及びClSiHIを含むことが明らかになった。 Example 2: A similar reaction was performed using the same procedure and reagent addition amount as described in Example 1, except that toluene was used instead of chloroform. GC sample analysis of the liquid (no additional workup) revealed that DIS was the main product (sans solvent) and contained small amounts of DCS and ClSiH 2 I.

実施例3:窒素でパージされたグローブボックス中において、ダイアフラムバルブと圧力ゲージとを有する60ccのステンレス鋼製アンプルに4.25g(31.7mmol)の無水ヨウ化リチウムを入れた。真空下で窒素ガスを除去し、凝縮(−196℃)によってDCS(1.60g、15.9mmol)を添加した。その後、容器を閉じて周囲温度まで解凍し、30分放置した。揮発性物質を無水トルエンで抽出し、GCMSで分析したところ、DCS、中間体であるClSiHI、及びDIS(主生成物)が明らかになった。この実施例は、溶媒の使用なしで工程が完結し得ることを示す。 Example 3 In a glove box purged with nitrogen, 4.25 g (31.7 mmol) of anhydrous lithium iodide was placed in a 60 cc stainless steel ampoule with a diaphragm valve and a pressure gauge. Nitrogen gas was removed under vacuum and DCS (1.60 g, 15.9 mmol) was added by condensation (−196 ° C.). The container was then closed and thawed to ambient temperature and left for 30 minutes. Volatiles were extracted with anhydrous toluene and analyzed by GCMS, revealing DCS, the intermediates ClSiH 2 I, and DIS (main product). This example shows that the process can be completed without the use of a solvent.

実施例4:静的真空下において50ccのシュレンク管内でヨウ化ナトリウム粉末(10.61g;顆粒、無色、結晶性固体)を過剰のDCSガスに曝露したところ、目に見える反応の兆候はなかった。圧力の変化は観察されなかった。その後、DCSをシュレンク管内に凝縮し、数回にわたり周囲温度まで解凍したが、試薬の体積の減少、着色、又は圧力の変化の兆候はなかった(反応せず)。ヨウ化ナトリウムを用いた反応は、これがいくらかの溶解性を示す溶媒(すなわち、塩化メチレン、クロロホルム、アセトニトリル等)を必要とするようであった。ヨウ化リチウムの方が明らかに反応性が高く、好ましい。この実施例は、NaIであるアルカリ金属ハライド反応物はLiIほど反応性が高くないことを示す。   Example 4: Exposure of sodium iodide powder (10.61 g; granules, colorless, crystalline solid) to excess DCS gas in a 50 cc Schlenk tube under static vacuum with no visible signs of reaction . No change in pressure was observed. The DCS was then condensed into a Schlenk tube and thawed several times to ambient temperature, but there was no sign of reagent volume reduction, coloration, or pressure change (no reaction). The reaction with sodium iodide appeared to require a solvent (ie, methylene chloride, chloroform, acetonitrile, etc.) that showed some solubility. Lithium iodide is clearly preferred because of its higher reactivity. This example shows that the alkali metal halide reactant that is NaI is not as reactive as LiI.

実施例5:ペンタン溶媒中での530gの生成物スケール:PTFEで被覆されている撹拌子が入った2Lの3口丸底(RB)フラスコに500gの無水LiI(3.74mol;Acros Organics、99%)を入れ、1Lの目盛りまで無水n−ペンタンで満たした。ヘッドスペースの窒素の大部分を真空で除去し(約600torrの圧力まで)、ヘッドスペースを通して過剰のDCS(492g;4.87mol;2.8倍のモル過剰)をフラスコに添加した。フラスコを周期的に5〜8℃まで冷却して完全に移した。固体が重過ぎたため、スターラープレート/撹拌子を使用することによっては撹拌できなかった。ポットを手作業でたびたび振とう/回転させることで混合物を混ぜた。磁気撹拌子をそのままにして、フラスコを室温で終夜放置した。撹拌はできなかった。固体を濾過し、真空下で乾燥した(169g回収;計算値158g)。淡いピンクの濾液を蒸留してペンタンを除去した(b.p.=36℃)。ドライアイスペレットで冷却した受器を用いて残った無色の液体を減圧下で蒸留した(約0〜5torr/21〜31℃)。これにより、収集器内に無色の凍った固体が得られ、蒸留ポット内には残留液体は略何も残らなかった。固体生成物を解凍し、秤量した(350g;計算値530g;65%)。ガスクロマトグラフィー/質量分析から、91%(面積パーセント)の純粋なDISと、少量のDCS(0.964%)、ペンタン(0.326%)、ClSiHI(4.953%)、及びペルクロロ/ペルヨードジシロキサン化合物として暫定的に帰属される不純物とが明らかになった(図6参照)。 Example 5: 530 g of product scale in pentane solvent: 500 g of anhydrous LiI (3.74 mol; Acros Organics, 99) in a 2 L 3-neck round bottom (RB) flask with a stir bar coated with PTFE %) And filled with anhydrous n-pentane to a 1 L scale. Most of the headspace nitrogen was removed in vacuo (to a pressure of about 600 torr) and excess DCS (492 g; 4.87 mol; 2.8-fold molar excess) was added to the flask through the headspace. The flask was periodically cooled to 5-8 ° C and completely transferred. The solids were too heavy to be stirred by using a stirrer plate / stir bar. The mixture was mixed by frequently shaking / rotating the pot manually. The flask was left at room temperature overnight with the magnetic stir bar left intact. Stirring was not possible. The solid was filtered and dried under vacuum (169 g recovered; calculated 158 g). The pale pink filtrate was distilled to remove pentane (bp = 36 ° C.). The remaining colorless liquid was distilled under reduced pressure using a receiver cooled with dry ice pellets (about 0-5 torr / 21-31 ° C.). This resulted in a colorless frozen solid in the collector, and almost no residual liquid remained in the distillation pot. The solid product was thawed and weighed (350 g; calculated 530 g; 65%). From gas chromatography / mass spectrometry, 91% (area percent) pure DIS and a small amount of DCS (0.964%), pentane (0.326%), ClSiH 2 I (4.9533%), and perchloro / The impurities temporarily assigned as the periodododisiloxane compound were revealed (see FIG. 6).

実施例5中で見られた存在し得るシロキサン型不純物は、これらの化合物が次のうちの1つ以上に由来する水分によって形成されることを示唆している:
・ガラス製の反応器/蒸留系由来の表面の水分(可能性は低い)。
・系への空気の漏出由来。
・出発物質であるヨウ化リチウム中の水分(合理的な可能性がある)。これはいくらかのレベルの水酸化リチウムも含み得る。
・GC分析の最適化されていない試料の調製及び操作由来の水分(十分に可能性がある)。
The possible siloxane-type impurities found in Example 5 suggest that these compounds are formed by moisture from one or more of the following:
• Surface moisture from glass reactor / distillation system (less likely).
• Origin of air leakage into the system.
• Moisture in the starting lithium iodide (possibly reasonable). This may also include some level of lithium hydroxide.
• Moisture from sample preparation and manipulation (not fully optimized) for GC analysis.

これは、工程全体を通して全ての考えられ得る水分源を除去するために細心の注意を払った測定の重要性を浮き彫りにする。しかし、GCの溶離時間に基づくと、これらのシロキサン不純物は主生成物から容易に分離できるようである。   This highlights the importance of meticulous measurements to remove all possible moisture sources throughout the process. However, based on the elution time of GC, these siloxane impurities appear to be easily separated from the main product.

実施例6:ペンタン溶媒中での530gの生成物スケール:機械式攪拌機と、クーリングカップコンデンサーと、1/4”PTFE製のスパージャー管とを有する2Lの3口RBフラスコに500gの無水LiI(3.74mol;Acros Organics、99%)を入れ、1Lの目盛りまで無水n−ペンタンで満たした。22分かけて表面の下にジクロロシラン(183g;1.81mol)を添加したところ、温度は18.1(冷ペンタン)から31.0℃まで上昇した。反応混合物を激しく撹拌した。DCSの添加中に多少の還流が観察された。反応混合物を周囲温度で3時間撹拌し、液体をGCMSで分析した。クロマトグラフィーから、微量のDCS、ペンタン、部分的に置換された中間体であるClSiHI、及びDISが明らかになった。ClSiHI及びDISの面積パーセントはそれぞれ6及び13%であった。反応混合物を更に18時間撹拌した。その後、固体を濾過し、真空下で乾燥した(226g回収;計算値158g)。溶媒及び低沸点不純物を蒸留によって除去した。未精製のDIS(320g、GCで89%)が得られた(〜62%)。実施例5及び6の比較から、反応物の化学量論比を変化させても同様の収率が得られることが示される。 Example 6: 530 g of product scale in pentane solvent: 500 g of anhydrous LiI in a 2 L 3-neck RB flask with mechanical stirrer, cooling cup condenser and 1/4 "PTFE sparger tube 3.74 mol; Acros Organics, 99%) was charged and filled with anhydrous n-pentane to 1 L. Dichlorosilane (183 g; 1.81 mol) was added under the surface over 22 minutes, the temperature was 18 From 1 (cold pentane) to 31.0 ° C. The reaction mixture was stirred vigorously, some reflux was observed during the addition of DCS, the reaction mixture was stirred at ambient temperature for 3 hours and the liquid was GCMS analyzed. from the chromatographic traces of DCS, pentane, partially substituted intermediates ClSiH 2 I, and D .. S was stirred area percent .ClSiH 2 I and DIS revealed were respectively 6 and 13% the reaction mixture for a further 18 hours then the solid was filtered and dried under vacuum (226 g recovered; Calculated 158 g) Solvent and low boiling impurities were removed by distillation, yielding crude DIS (320 g, 89% by GC) (˜62%). It is shown that similar yields can be obtained by changing the stoichiometric ratio.

実施例7:機械式攪拌機を有する2Lの3口RBフラスコに500gのLiI(3.74mol;99.9% City Chemical、無色粉末)を入れた。反応装置にクーリングカップコンデンサー及び内部熱電対を取り付けた。約800mLの無水クロロホルムをLiI粉末に添加した。コンデンサーを−78℃に冷却し、減圧下、撹拌しながら15分かけて196gのジクロロシラン(1.94mol、3.5モル%過剰)をコンデンサー(−78℃ドライアイス、イソプロピルアルコールスラッシュバス)に通した。圧力は23℃で680torrであった。追加的な窒素ガスを780torrの圧力まで反応器に添加した。混合物を22時間撹拌すると、ピンク−紫色を呈した。固体を濾過し、真空下で乾燥した。濾液を1Lのフラスコに回収した。クロロホルムを61℃で蒸留し、残った紫色の液体を集めて秤量した(148g、28%、溶媒除去後の未精製DIS生成物)。この低収率は、純粋なLiIがクロロホルム中への低い溶解性に制限されたことを示唆している。反応物であるLiIをある程度水素化することで塩の反応性が高められ、より多いシロキサン系の不純物と共に生成物の形成が促進され得る。   Example 7: 500 g of LiI (3.74 mol; 99.9% City Chemical, colorless powder) was placed in a 2 L 3-neck RB flask equipped with a mechanical stirrer. A cooling cup condenser and an internal thermocouple were attached to the reactor. About 800 mL of anhydrous chloroform was added to the LiI powder. The condenser was cooled to −78 ° C., and 196 g of dichlorosilane (1.94 mol, 3.5 mol% excess) was added to the condenser (−78 ° C. dry ice, isopropyl alcohol slush bath) over 15 minutes with stirring under reduced pressure. I passed. The pressure was 680 torr at 23 ° C. Additional nitrogen gas was added to the reactor to a pressure of 780 torr. The mixture was stirred for 22 hours and exhibited a pink-purple color. The solid was filtered and dried under vacuum. The filtrate was collected in a 1 L flask. Chloroform was distilled at 61 ° C. and the remaining purple liquid was collected and weighed (148 g, 28%, unpurified DIS product after removal of solvent). This low yield suggests that pure LiI was limited to low solubility in chloroform. Hydrogenation of the reactant LiI to some extent can increase the reactivity of the salt and promote product formation with more siloxane-based impurities.

実施例8:機械式攪拌機と、熱電対と、ドライアイスIPAコンデンサーとを備えた4口丸底フラスコに窒素を流しながらLiI(24.8g、0.19mol)を入れた。カニューレによってペンタン(80mL)を移した。得られた混合物に室温で15分かけてTSA−Cl((SiHN(SiHCl)25g、0.18mol)を滴下した。発熱は認められなかった。周囲温度で約90分撹拌した後、反応混合物をGC−MSで分析したところ、57%の未反応TSA−Clと、39%のTSA−I((SiHN(SiHI))とが示された(図4)。この時点で反応混合物を室温で終夜撹拌した。終夜撹拌後のGC分析ではSiH−Iに対応する主ピークが得られ、TSA−Cl及びTSA−Iに対応するピークは消失した。反応時間の最適化は現在継続中である。 Example 8: LiI (24.8 g, 0.19 mol) was added to a 4-neck round bottom flask equipped with a mechanical stirrer, a thermocouple, and a dry ice IPA condenser while flowing nitrogen. Pentane (80 mL) was transferred by cannula. TSA-Cl ((SiH 3 ) 2 N (SiH 2 Cl) 25 g, 0.18 mol) was added dropwise to the resulting mixture at room temperature over 15 minutes. There was no fever. After stirring at ambient temperature for about 90 minutes, the reaction mixture was analyzed by GC-MS and found to be 57% unreacted TSA-Cl and 39% TSA-I ((SiH 3 ) 2 N (SiH 2 I)). Was shown (FIG. 4). At this point, the reaction mixture was stirred at room temperature overnight. In the GC analysis after stirring overnight, a main peak corresponding to SiH 3 -I was obtained, and peaks corresponding to TSA-Cl and TSA-I disappeared. Optimization of reaction time is ongoing.

実施例9:LI粒径の比較
0.5〜1mmのLI
機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、液体ペンタン添加のための入口とを備えた20Lのジャケット付きのフィルター反応器に15Lのペンタンを入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を〜200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(12.25kg、91.52mol)を反応器に入れた。引き続いて行われるジクロロシラン(4.52kg、44.75mol)の重量測定添加(gravimetric addition)は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。16時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄することで7.19kgの固体残留物が得られた。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで未精製のジヨードシラン(9.04kg、純度83%)を得た。材料の残部は、GC分析から示唆されるように、1.3%のDCS;0.6%のペンタン;14.1%のSiHClI;及び0.1%のSiHIを含んでいた。この未精製材料を3.2kPaで更に蒸留することで、GC分析から示唆されるように、99.6%のDIS;0.1%のSiHI;0.1%のSiHClI;0.15%のSiHI;その他0.12%を含むジヨードシラン(7.39kg、収率58%)を得た。
Example 9: Comparison of LI particle size LI of 0.5-1 mm
20 L jacket with mechanical stirrer, condenser (adjusted to -70 ° C), fixed addition port, inlet tube for addition of dichlorosilane below the surface, and inlet for liquid pentane addition 15 L of pentane was placed in the filter reactor. The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at ~ 200 RPM and then lithium iodide (12.25 kg, 91.52 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (4.52 kg, 44.75 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L) to give 7.19 kg of solid residue. The filtrate and washings were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (9.04 kg, purity 83%). The balance of the material contained 1.3% DCS; 0.6% pentane; 14.1% SiH 2 ClI; and 0.1% SiHI 3 as suggested by GC analysis. Further distillation of this crude material at 3.2 kPa yielded 99.6% DIS; 0.1% SiH 3 I; 0.1% SiH 2 ClI; 0, as suggested by GC analysis. Diiodosilane (7.39 kg, yield 58%) containing 0.15% SiHI 3 and 0.12% other was obtained.

1〜1.25mmのLI
機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、液体ペンタン添加のための入口とを備えた20Lのジャケット付きのフィルター反応器に15Lの未使用のペンタン(Sigma Aldrich、純度>99%)を入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を〜200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(9.99kg、74.64mol)を反応器に入れた。引き続いて行われるジクロロシラン(3.88kg、38.42mol)の重量測定添加は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。16時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄することで4.96kgの固体残留物が得られた。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで未精製のジヨードシラン(8.01kg、純度86%)を得た。材料の残部は、GC分析から示唆されるように、0.1%のDCS;1.2%のペンタン;0.1%のSiHI;4.5%のSiHClI;及び0.1%のSiHIを含んでいた。この未精製材料を3.2kPaで更に蒸留することで、99.7%のDIS;0.01%のSiHI;0.03%のSiHClI;及び0.1%のSiHI)を含むジヨードシラン(8.16kg、収率77%)を得た。
1-1.25mm LI
20 L jacket with mechanical stirrer, condenser (adjusted to -70 ° C), fixed addition port, inlet tube for addition of dichlorosilane below the surface, and inlet for liquid pentane addition Into the filter reactor, 15 L of unused pentane (Sigma Aldrich, purity> 99%) was added. The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at ˜200 RPM and then lithium iodide (9.99 kg, 74.64 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (3.88 kg, 38.42 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L) to give 4.96 kg of solid residue. The filtrate and the washing solution were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (8.01 kg, purity 86%). The remainder of the material was 0.1% DCS; 1.2% pentane; 0.1% SiH 3 I; 4.5% SiH 2 ClI; and 0.1 as suggested by GC analysis % SiHI 3 of it contained. The crude material was further distilled at 3.2 kPa to obtain 99.7% DIS; 0.01% SiH 3 I; 0.03% SiH 2 ClI; and 0.1% SiHI 3 ). Diiodosilane containing (8.16 kg, yield 77%) was obtained.

以上のように、ヨウ化リチウムの粒径は単離収率に影響を与える。驚くべきことに、小さい粒径と比べてより大きい粒径のヨウ化リチウムを使用した場合に向上した収率が観察される。   As described above, the particle size of lithium iodide affects the isolation yield. Surprisingly, improved yields are observed when using a larger particle size lithium iodide compared to a smaller particle size.

実施例10:溶媒のリサイクルの影響
溶媒のリサイクル
機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、液体ペンタン添加のための入口とを備えた20Lのジャケット付きのフィルター反応器に15Lのペンタンを入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を〜200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(12.34kg、92.19mol)を反応器に入れた。引き続いて行われるジクロロシラン(4.25kg、42.08mol)の重量測定添加は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。16時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄した。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで蒸留ポット内に未精製のジヨードシラン(9.26kg、純度82%)を得た。蒸留物(11L、82%の大部分のペンタン;12%のDCS;4%のSiH2ClI;及び1%のDISを含む)は、連続的な合成のために反応器に戻してリサイクルした。
Example 10: Effect of solvent recycling Solvent recycling Mechanical stirrer, condenser (adjusted to -70 ° C), fixed addition port, inlet tube for addition of dichlorosilane below the surface, and liquid pentane addition 15 L pentane was placed in a 20 L jacketed filter reactor equipped with an inlet for. The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at ~ 200 RPM and then lithium iodide (12.34 kg, 92.19 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (4.25 kg, 42.08 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L). The filtrate and the washing solution were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (9.26 kg, purity 82%) in the distillation pot. Distillate (11 L, 82% most pentane; 12% DCS; 4% SiH 2 ClI; and 1% DIS) was recycled back to the reactor for continuous synthesis.

それに伴って、機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、蒸留物/ペンタン添加のための入口とを備えた前述の20Lのジャケット付きのフィルター反応器に、未使用のペンタン(4L)と共に事前の製造工程からリサイクルした蒸留物(11L、82%の大部分のペンタン;12%のDCS;4%のSiH2ClI;及び1%のDISを含む)を入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を〜200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(12.38kg、92.49mol)を反応器に入れた。引き続いて行われるジクロロシラン(4.17kg、41.28mol)の重量測定添加は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。17時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄した。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで蒸留ポット内に蒸留残渣として未精製のジヨードシラン(8.77kg、純度84%)を得た。この未精製材料を3.2kPaで更に蒸留することで、99.5%のDIS;0.14%のSiHI;0.24%のSiHI、その他0.12%を含む)ジヨードシラン(7.29kg、収率62%)を得た。 Accordingly, a mechanical stirrer, a condenser (adjusted to -70 ° C), a fixed addition port, an inlet tube for the addition of dichlorosilane below the surface, and an inlet for the addition of distillate / pentane. The aforementioned 20 L jacketed filter reactor equipped with distillate (11 L, 82% most pentane; 12% DCS; 4% SiH2ClI; and 1% DIS). The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at ~ 200 RPM and then lithium iodide (12.38 kg, 92.49 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (4.17 kg, 41.28 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 17 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L). The filtrate and the washing solution were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (8.77 kg, purity 84%) as a distillation residue in the distillation pot. This crude material was further distilled at 3.2 kPa to give 99.5% DIS; 0.14% SiH 3 I; 0.24% SiHI 3 and others 0.12%) diiodosilane (7 .29 kg, 62% yield).

未使用の溶媒
機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、液体ペンタン添加のための入口とを備えた20Lのジャケット付きのフィルター反応器に15Lの未使用のペンタン(Sigma Aldrich、純度>99%)を入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を〜200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(12.47kg、93.16mol)を反応器に入れた。引き続いて行われるジクロロシラン(4.85kg、48.02mol)の重量測定添加は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。16時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄した。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで未精製のジヨードシラン(8.01kg、純度86%)を得た。この未精製材料を3.2kPaで更に蒸留することで、99.9%のDIS;0.01%のSiHI;0.02%のSiHIを含む)ジヨードシラン(6.68kg、収率51%)を得た。
Unused solvent equipped with mechanical stirrer, condenser (adjusted to -70 ° C), fixed addition port, inlet tube for addition of dichlorosilane below the surface, and inlet for liquid pentane addition A 20 L jacketed filter reactor was charged with 15 L of unused pentane (Sigma Aldrich, purity> 99%). The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at ~ 200 RPM and then lithium iodide (12.47 kg, 93.16 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (4.85 kg, 48.02 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L). The filtrate and the washing solution were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (8.01 kg, purity 86%). This crude material was further distilled at 3.2 kPa to obtain 99.9% DIS; 0.01% SiH 3 I; containing 0.02% SiHI 3 ) diiodosilane (6.68 kg, yield 51 %).

以上のように、リサイクルは、多くの場合、規制対応を簡素化すると共に経済性及び環境の利点を有するが、不純物は蓄積し得る。リサイクル工程をなくして、各合成の実施のために未使用の溶媒を使用すると、溶媒のリサイクル後には得られない超高純度の生成物純度レベルになる。   As described above, recycling often simplifies regulatory compliance and has economic and environmental benefits, but impurities can accumulate. Eliminating the recycling step and using virgin solvent for each synthesis run results in an ultra-high purity product purity level that cannot be obtained after solvent recycling.

実施例11:材料の適合性
材料の小片をSiH中に浸漬し(米国特許出願公開第2016/0264426号明細書に開示の方法によって合成)、ガラス圧力管内に密封し、規定の温度で規定の時間にわたり遮光下で保持した。最初の対照の分析値は、GCMSピーク積分に基づくとSiHが96.9%であり、1.3%のSiH(Me)I及び1.6%のSiHIを有していた。結果は下に示されており、SiHの安定性を維持することが困難であることを示す。本出願人は、この合成方法で使用される反応物であるHX又はXが下の対照の結果で示されている反応生成物SiHの不安定性の原因であると考えている。これから分かるように、いくつかの標準的な包装材料は生成物であるSiHの分解を一層加速させる。
Example 11: Material compatibility A piece of material is dipped in SiH 2 I 2 (synthesized by the method disclosed in US 2016/0264426) and sealed in a glass pressure tube at a specified temperature. And kept in the dark for the specified time. The analysis value of the first control was 96.9% SiH 2 I 2 based on GCMS peak integration, with 1.3% SiH (Me) I 2 and 1.6% SiHI 3 . The results are shown below and indicate that it is difficult to maintain the stability of SiH 2 I 2 . The applicant believes that HX or X 2 is a reactant used in this synthetic process is the cause of instability of the reaction product SiH 2 I 2, which is shown by the results of the lower control. As can be seen, some standard packaging materials further accelerate the decomposition of the product SiH 2 I 2 .

Figure 2018519233
Figure 2018519233

Figure 2018519233
Figure 2018519233

実施例11:安定性
本開示の方法によって合成したSiHを、不動態化されたステンレス鋼製シリンダー内において室温で保管した。シリンダー内での保管前後のGCMSピーク積分を利用して分析を行った。下の表は、この生成物が安定剤を全く必要することなくその純度を維持することを示す。
Example 11: Stability SiH 2 I 2 synthesized by the disclosed method was stored at room temperature in a passivated stainless steel cylinder. Analysis was performed using GCMS peak integration before and after storage in a cylinder. The table below shows that this product maintains its purity without the need for any stabilizers.

Figure 2018519233
Figure 2018519233

仮想実施例:ISi−CH−SiIの合成
ClSi−CH−SiCl+6Li−I→ISi−CH−SiI+6Li−Cl
不活性及び無水の条件下でフラスコにヨウ化リチウムとペンタン又は他の適切な溶媒とを添加し、その後、溶液又は溶媒を含まない液体のビス(トリクロロシリル)メタンをゆっくり添加する。反応混合物の一部のGCMS分析において、ビス(トリクロロシリル)メタンが消失することによって反応の完了が観察されるまで、懸濁液を激しく撹拌する。得られた懸濁液を、珪藻土を詰めた中質ガラスフリット上でろ過することで、目的生成物のペンタン溶液を得る。生成物であるビス(トリヨードシリル)メタンは、減圧蒸留及び/又は昇華によって純粋な形態で単離される。
Virtual Example: I 3 Si-CH 2 Synthesis of -SiI 3 Cl 3 Si-CH 2 -SiCl 3 + 6Li-I → I 3 Si-CH 2 -SiI 3 + 6Li-Cl
Lithium iodide and pentane or other suitable solvent are added to the flask under inert and anhydrous conditions, followed by slow addition of solution or solvent free liquid bis (trichlorosilyl) methane. Stir the suspension vigorously until complete reaction is observed by disappearance of bis (trichlorosilyl) methane in a GCMS analysis of a portion of the reaction mixture. The obtained suspension is filtered on a medium glass frit packed with diatomaceous earth to obtain a pentane solution of the target product. The product bis (triiodosilyl) methane is isolated in pure form by vacuum distillation and / or sublimation.

反応物は市販されており、又はJ.Organomet.Chem.92,1975 163−168に従って合成することができる。   The reactants are commercially available, or Organomet. Chem. 92, 1975 163-168.

本発明の実施形態を示して説明してきたが、本発明の趣旨及び教示から逸脱することなく、当業者によってこれらの修正形態がなされ得る。本明細書に記載の実施形態は例示的なものに過ぎず、限定するものではない。組成物及び方法の多くの変形形態及び修正形態が可能であり、それらは本発明の範囲内である。したがって、保護範囲は本明細書に記載の実施形態に限定されず、その範囲が請求項の主題の全ての均等物を含む以降の請求項によってのみ限定される。   While embodiments of the invention have been shown and described, modifications thereof can be made by those skilled in the art without departing from the spirit and teachings of the invention. The embodiments described herein are illustrative only and not limiting. Many variations and modifications of the compositions and methods are possible and are within the scope of the invention. Accordingly, the scope of protection is not limited to the embodiments described herein, but the scope is limited only by the following claims, including all equivalents of the claimed subject matter.

Si含有膜形成用組成物移送装置であって、入口管と出口管とを有し、且つ上に開示したSi含有膜形成用組成物のいずれかが入っているキャニスターを含むSi含有膜形成用組成物移送装置も開示される。本開示の装置は、次の態様のうちの1つ以上を含み得る:
・入口管の端部はSi含有膜形成用組成物の表面の上方に位置し、及び出口管の端部はSi含有膜形成用組成物の表面の下側に位置する;
・入口管の端部はSi含有膜形成用組成物の表面の下側に位置し、及び出口管の端部はSi含有膜形成用組成物の表面の上方に位置する;
・入口及び出口にダイアフラムバルブを更に含む;
・キャニスターの内表面はガラスである;
・キャニスターの内表面は不動態化されたステンレス鋼である;
・キャニスターは、キャニスターの外表面に耐光性コーティングを有する耐光性のガラスである;
・キャニスターの内表面は酸化アルミニウムである;
・キャニスターの内表面に1層以上のバリア層を更に含む;
・キャニスターの内表面に1〜4層のバリア層を更に含む;
・キャニスターの内表面に1層又は2層のバリア層を更に含む;
・各バリア層は、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭窒化ケイ素層、酸炭窒化ケイ素層、又はこれらの組み合わせを含む;
・各バリア層は1〜100nmの厚さである;又は
・各バリア層は2〜10nmの厚さである。
A Si-containing film forming composition transfer apparatus, comprising an inlet pipe and an outlet pipe, and comprising a canister containing any of the Si-containing film forming compositions disclosed above A composition transfer device is also disclosed. The apparatus of the present disclosure may include one or more of the following aspects:
The end of the inlet tube is located above the surface of the Si-containing film-forming composition and the end of the outlet tube is located below the surface of the Si-containing film-forming composition;
The end of the inlet tube is located below the surface of the Si-containing film-forming composition and the end of the outlet tube is located above the surface of the Si-containing film-forming composition;
-Further comprising diaphragm valves at the inlet and outlet;
The inner surface of the canister is glass;
The inner surface of the canister is passivated stainless steel;
The canister is a light-resistant glass with a light-resistant coating on the outer surface of the canister;
The inner surface of the canister is aluminum oxide;
-Further comprising one or more barrier layers on the inner surface of the canister;
-Further comprising 1-4 barrier layers on the inner surface of the canister;
-Further comprising one or two barrier layers on the inner surface of the canister;
Each barrier layer comprises a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a silicon carbonitride layer, a silicon oxycarbonitride layer, or a combination thereof;
• Each barrier layer is 1-100 nm thick; or • Each barrier layer is 2-10 nm thick.

Si含有膜形成用組成物は、無希釈で供給されてもよい。或いは、Si含有膜形成用組成物は、蒸着における使用に適切な溶媒を更に含んでいてもよい。溶媒は、特にC〜C16の飽和又は不飽和の炭化水素から選択することができる。 The Si-containing film forming composition may be supplied undiluted. Alternatively, the Si-containing film forming composition may further contain a solvent suitable for use in vapor deposition. The solvent can be selected in particular from C 1 to C 16 saturated or unsaturated hydrocarbons.

蒸着のために、Si含有膜形成用組成物は、配管及び/又は流量計などの従来の手段により、蒸気の形態で反応器内に導入される。蒸気の形態は、直接的な液体注入やキャリアガス不存在下での直接的な蒸気の取り出しなどの従来の気化工程でSi含有膜形成用組成物を気化させることにより、液体にキャリアガスを吹き込むことにより、液体への吹き込みなしにキャリアガス中で蒸気を蒸発させることにより、又は前駆体が室温で固体の場合にはXuらの国際公開第2009/087609号パンフレットに開示されているものなどの昇華装置を使用することにより生成させることができる。Si含有膜形成用組成物は、気化器(直接液体導入)へ液体状態で供給されてもよく、組成物はここで気化されてキャリアガスと混合された後、反応器内に導入される。或いは、Si含有膜形成用組成物は、組成物が入った容器内にキャリアガスを通すことにより、又は組成物中にキャリアガスを吹き込むことにより気化されてもよい。キャリアガスとしては、Ar、He、又はN、及びこれらの混合物が挙げられるが、これらに限定されない。キャリアガス及び組成物は、その後、蒸気として反応器内に導入される。 For vapor deposition, the Si-containing film-forming composition is introduced into the reactor in the form of vapor by conventional means such as piping and / or flow meters. The vapor form is such that the carrier gas is blown into the liquid by vaporizing the composition for forming a Si-containing film in a conventional vaporization process such as direct liquid injection or direct vapor extraction in the absence of a carrier gas. By evaporating the vapor in the carrier gas without blowing into the liquid, or when the precursor is a solid at room temperature, such as that disclosed in Xu et al. WO 2009/087609 It can be generated by using a sublimation device. The composition for forming a Si-containing film may be supplied in a liquid state to a vaporizer (direct liquid introduction), and the composition is vaporized and mixed with a carrier gas, and then introduced into the reactor. Alternatively, the Si-containing film forming composition may be vaporized by passing a carrier gas through a container containing the composition or by blowing a carrier gas into the composition. The carrier gas, Ar, the He, or N 2, and mixtures thereof, without limitation. The carrier gas and composition are then introduced into the reactor as vapor.

Si含有膜形成用組成物は、Si含有膜形成用組成物移送装置の3つの例示的な実施形態を示す図3〜5のSi含有膜形成用組成物移送装置によって反応器又は蒸着チャンバー内に運ばれてもよい。上で詳述したように、及び下の実施例で示されるように、移送装置は清浄であり乾燥していなければならず、またSi含有膜形成用組成物が反応しない材料でできていなければならない。   The Si-containing film-forming composition is placed in the reactor or deposition chamber by the Si-containing film-forming composition transfer device of FIGS. 3-5 showing three exemplary embodiments of the Si-containing film-forming composition transfer device. May be carried. As detailed above and as shown in the examples below, the transfer device must be clean and dry, and the Si-containing film-forming composition must be made of non-reactive materials. Don't be.

図3は、Si含有膜形成用組成物反応物移送装置101のある実施形態の側面図である。図3において、本開示のSi含有膜形成用組成物110は、2つの導管、入口管300及び出口管400を有する容器200内に入っている。反応物の分野の当業者は、容器200、入口管300、及び出口管400が、高温高圧であっても気体形態のSi含有膜形成用組成物110の漏れを防ぐように製造されることを認識するであろう。   FIG. 3 is a side view of an embodiment of the Si-containing film forming composition reactant transfer apparatus 101. In FIG. 3, the Si-containing film forming composition 110 of the present disclosure is contained in a container 200 having two conduits, an inlet pipe 300 and an outlet pipe 400. Those skilled in the reactant art will recognize that the vessel 200, the inlet tube 300, and the outlet tube 400 are manufactured to prevent leakage of the Si-containing film-forming composition 110 in gaseous form even at high temperature and pressure. You will recognize.

移送装置101の出口管400は、反応器(図示せず)、又はガスキャビネットなどの移送装置と反応器との間の他の構成要素と、流体が流通できるようにバルブ700を介して接続される。好ましくは、容器200、入口管300、バルブ600、出口管400、及びバルブ700は、不動態化された316L EP、又は304の不動態化されたステンレス鋼製である。しかし、当業者は本明細書中の教示において他の非反応性材料も使用され得ることを認識するであろう。   The outlet pipe 400 of the transfer device 101 is connected to a reactor (not shown) or other components between the transfer device and the reactor, such as a gas cabinet, through a valve 700 so that fluid can flow. The Preferably, vessel 200, inlet tube 300, valve 600, outlet tube 400, and valve 700 are made of passivated 316L EP, or 304 passivated stainless steel. However, those skilled in the art will recognize that other non-reactive materials may be used in the teachings herein.

図3において、入口管300の端部800はSi含有膜形成用組成物110の表面の上方に位置している一方、出口管400の端部900はSi含有膜形成用組成物110の表面の下側に位置している。この実施形態では、Si含有膜形成用組成物110は、好ましくは液体形態である。不活性ガス(窒素、アルゴン、ヘリウム、及びこれらの混合物が挙げられるが、これらに限定されない)が入口管300内に導入されてもよい。不活性ガスは移送装置200を加圧し、その結果液体のSi含有膜形成用組成物110が出口管400を通って反応器(図示せず)へと押し出される。その上に膜が形成される基板に蒸気を運ぶために、反応器は、ヘリウム、アルゴン、窒素、及びこれらの混合物などのキャリアガスの使用あり又はなしで液体のSi含有膜形成用組成物110を蒸気へと変換する気化器を含んでいてもよい。或いは、液体のSi含有膜形成用組成物110は、噴流又はエアロゾルとしてウエハー表面に直接運ばれてもよい。   In FIG. 3, the end portion 800 of the inlet tube 300 is located above the surface of the Si-containing film forming composition 110, while the end portion 900 of the outlet tube 400 is formed on the surface of the Si-containing film forming composition 110. Located on the lower side. In this embodiment, the Si-containing film forming composition 110 is preferably in liquid form. An inert gas (including but not limited to nitrogen, argon, helium, and mixtures thereof) may be introduced into the inlet tube 300. The inert gas pressurizes the transfer device 200, and as a result, the liquid Si-containing film forming composition 110 is pushed out through the outlet pipe 400 into the reactor (not shown). In order to carry the vapor to the substrate on which the film is formed, the reactor is a liquid Si-containing film-forming composition 110 with or without the use of a carrier gas such as helium, argon, nitrogen, and mixtures thereof. A vaporizer may be included to convert the water into steam. Alternatively, the liquid Si-containing film forming composition 110 may be directly delivered to the wafer surface as a jet or aerosol.

図4は、Si含有膜形成用組成物反応物移送装置101の第2の実施形態の側面図である。図4では、入口管300の端部800はSi含有膜形成用組成物110の表面の下側に位置している一方、出口管400の端部900はSi含有膜形成用組成物110の表面の上方に位置している。図4は任意選択的な加熱要素140も含んでおり、これはSi含有膜形成用組成物110の温度を上昇させることができる。この実施形態では、Si含有膜形成用組成物110は、固体形態であっても液体形態であってもよい。不活性ガス(窒素、アルゴン、ヘリウム、及びこれらの混合物が挙げられるが、これらに限定されない)が入口管300内に導入されてもよい。不活性ガスはSi含有膜形成用組成物110に吹き込まれ、不活性ガスと気化したSi含有膜形成用組成物110との混合物を出口管400及び反応器へと運ぶ。   FIG. 4 is a side view of the second embodiment of the Si-containing film forming composition reactant transfer apparatus 101. In FIG. 4, the end portion 800 of the inlet tube 300 is located below the surface of the Si-containing film forming composition 110, while the end portion 900 of the outlet tube 400 is the surface of the Si-containing film forming composition 110. Is located above. FIG. 4 also includes an optional heating element 140, which can increase the temperature of the Si-containing film-forming composition 110. FIG. In this embodiment, the Si-containing film forming composition 110 may be in a solid form or a liquid form. An inert gas (including but not limited to nitrogen, argon, helium, and mixtures thereof) may be introduced into the inlet tube 300. The inert gas is blown into the Si-containing film-forming composition 110 and carries the mixture of the inert gas and the vaporized Si-containing film-forming composition 110 to the outlet pipe 400 and the reactor.

図3及び4はバルブ600及び700を含む。当業者は、流れがそれぞれ導管300及び400を通ることができるように、バルブ600及び700が開放位置又は閉鎖位置に設定されてもよいことを認識するであろう。Si含有膜形成用組成物110が気体形態の場合、又は十分な蒸気圧が固体相/液体相の上方に存在する場合、図3及び4のいずれかの移送装置101、又は存在するあらゆる固体若しくは液体の表面の上方で終端している単一の導管を有するより単純な移送装置が使用されてもよい。この場合、Si含有膜形成用組成物110は、図3におけるバルブ600又は図4におけるバルブ700を単に開放することにより、導管300又は400を通って蒸気の形態で運ばれる。移送装置101は、蒸気の形態で運ぶべきSi含有膜形成用組成物110に十分な蒸気圧を与えるために、例えば任意選択的な加熱要素140を使用することによって適切な温度で維持されてもよい。   3 and 4 include valves 600 and 700. One skilled in the art will recognize that valves 600 and 700 may be set in an open or closed position so that flow can pass through conduits 300 and 400, respectively. If the Si-containing film-forming composition 110 is in gaseous form, or if sufficient vapor pressure exists above the solid / liquid phase, the transfer device 101 of either of FIGS. 3 and 4 or any solid present or Simpler transfer devices having a single conduit terminating above the surface of the liquid may be used. In this case, the Si-containing film-forming composition 110 is carried in the form of vapor through the conduit 300 or 400 by simply opening the valve 600 in FIG. 3 or the valve 700 in FIG. The transfer device 101 may be maintained at an appropriate temperature, for example by using an optional heating element 140, to provide sufficient vapor pressure to the Si-containing film forming composition 110 to be carried in the form of vapor. Good.

図3及び4は、Si含有膜形成用組成物移送装置101の2つの実施形態を開示しているが、当業者は、本明細書の開示から逸脱することなく、入口管300及び出口管400がSi含有膜形成用組成物110の表面の上方又は下側の両方に位置していてもよいことを認識するであろう。更に、入口管300は充填口であってもよい。   3 and 4 disclose two embodiments of the Si-containing film-forming composition transfer apparatus 101, those skilled in the art will recognize that the inlet tube 300 and the outlet tube 400 will not depart from the disclosure herein. Will be recognized both above or below the surface of the Si-containing film-forming composition 110. Further, the inlet pipe 300 may be a filling port.

Si含有膜形成用組成物の固体形態の蒸気は、昇華装置を使用して反応器に運ばれてもよい。図5は、典型的な昇華装置100のある実施形態を示す。昇華装置100は容器33を含む。容器33は、限定するものではないが、円筒容器であってもよく、又は任意の形状であってもよい。容器33は、限定するものではないが、不動態化されたステンレス鋼、酸化アルミニウム、ガラス、及び他の化学的に適合性のある材料などの材料で構成される。ある事例では、限定するものではないが、容器33は別の金属又は金属合金で構成される。ある事例では、容器33は、約8センチメートル〜約55センチメートルの内径、又は約8センチメートル〜約30センチメートルの内径を有する。当業者に理解されるとおり、別の構造が様々な寸法を有していてもよい。   The vapor in solid form of the Si-containing film forming composition may be conveyed to the reactor using a sublimation apparatus. FIG. 5 shows an embodiment of a typical sublimation apparatus 100. The sublimation apparatus 100 includes a container 33. The container 33 is not limited, but may be a cylindrical container or an arbitrary shape. Container 33 is comprised of materials such as, but not limited to, passivated stainless steel, aluminum oxide, glass, and other chemically compatible materials. In some cases, but not limited to, the container 33 is composed of another metal or metal alloy. In some cases, the container 33 has an inner diameter of about 8 centimeters to about 55 centimeters, or an inner diameter of about 8 centimeters to about 30 centimeters. As will be appreciated by those skilled in the art, other structures may have various dimensions.

容器33は、密閉可能な上部15、シール部材18、及びガスケット20を含む。密閉可能な上部15は外部環境から容器33を密閉するように構成される。密閉可能な上部15は容器33にアクセス可能なように構成される。更に、密閉可能な上部15は容器33内を導管が貫通するように構成される。更に、密閉可能な上部15は容器33内に流体が流れることができるように構成される。密閉可能な上部15は、容器33と流体が接触したままにするために、浸漬管92を含む導管を受け入れて貫通させるように構成される。制御バルブ90及び接続部品95を有する浸漬管92は、キャリアガスを容器33内に流すように構成される。ある事例では、浸漬管92は容器33の中心軸の下方まで延びる。更に、密閉可能な上部15は、出口管12を含む導管を受け入れて貫通させるように構成される。キャリアガス及びSi含有膜形成用組成物の蒸気は出口管12を通って容器33から取り出される。出口管12は、制御バルブ10及び接続部品5を含む。ある事例では、出口管12は、キャリアガスを昇華装置100から反応器へ導くための気体供給連結管と、流体が流れるように連結される。   The container 33 includes a sealable upper portion 15, a sealing member 18, and a gasket 20. The sealable top 15 is configured to seal the container 33 from the external environment. The sealable top 15 is configured to allow access to the container 33. Furthermore, the sealable top 15 is configured such that a conduit passes through the container 33. Furthermore, the sealable top 15 is configured to allow fluid to flow into the container 33. The sealable top 15 is configured to receive and penetrate a conduit including a dip tube 92 to keep the container 33 and fluid in contact. A dip tube 92 having a control valve 90 and a connection piece 95 is configured to flow carrier gas into the container 33. In some cases, the dip tube 92 extends below the central axis of the container 33. Further, the sealable top 15 is configured to receive and penetrate a conduit including the outlet tube 12. The carrier gas and the vapor of the Si-containing film forming composition are taken out from the container 33 through the outlet pipe 12. The outlet pipe 12 includes a control valve 10 and a connection part 5. In some cases, the outlet tube 12 is connected to a gas supply connection for guiding the carrier gas from the sublimation device 100 to the reactor so that the fluid flows.

組み立てられた昇華装置100は、配置され連結されている支持脚50と、内側通路51と、同心壁40、41、42と、同心スロット47、48、49とを有する内側ディスク30、34、36、44を含む。内側ディスク30、34、36、44は垂直に積み重ねられており、浸漬管92の周りに環状に配向している。更に、昇華装置は外側ディスク62、78、82、86を含む。図5に示されているように、外側ディスク62、78、82、86は、ディスク62、78、82、86に容器33から熱を伝えるための良好な接触のために容器33に密着する必要がある。好ましくは、外側ディスク62、78、82、86は、容器33の内壁と連結されるか、物理的に接触する。   The assembled sublimation device 100 has inner legs 30, 34, 36 having support legs 50 arranged and connected, inner passages 51, concentric walls 40, 41, 42 and concentric slots 47, 48, 49. , 44. The inner disks 30, 34, 36, 44 are stacked vertically and are annularly oriented around the dip tube 92. In addition, the sublimation device includes outer disks 62, 78, 82, 86. As shown in FIG. 5, the outer disks 62, 78, 82, 86 need to adhere to the container 33 for good contact to transfer heat from the container 33 to the disks 62, 78, 82, 86. There is. Preferably, the outer disks 62, 78, 82, 86 are connected to or in physical contact with the inner wall of the container 33.

図示のように、外側ディスク62、78、82、86及び内側ディスク30、34、36、44は、容器33内に積み重ねられる。容器33内に組み立てられて昇華装置100が形成されると、内側ディスク30、34、36、44は、組み立てられた外側ディスク62、78、82、86間の外側気体通路31、35、37、45を形成する。また、外側ディスク62、78、82、86は、内側ディスク30、34、36、44の支持脚と共に内側気体通路56、79、83、87を形成する。内側ディスク30、34、36、44の壁40、41、42は、固体前駆体を保持するための溝付きのスロットを形成する。外側ディスク62、78、82、86は、固体前駆体を保持するための壁68、69、70を含む。組み立て時、固体前駆体は、内側ディスク30、34、36、44の環状スロット47、48、49、及び外側ディスク62、78、82、86の環状スロット64、65、66内に入れられる。   As shown, the outer disks 62, 78, 82, 86 and the inner disks 30, 34, 36, 44 are stacked in the container 33. When assembled in the container 33 to form the sublimation device 100, the inner disks 30, 34, 36, 44 are connected to the outer gas passages 31, 35, 37, between the assembled outer disks 62, 78, 82, 86. 45 is formed. Further, the outer disks 62, 78, 82, 86 form inner gas passages 56, 79, 83, 87 together with the support legs of the inner disks 30, 34, 36, 44. The walls 40, 41, 42 of the inner disks 30, 34, 36, 44 form slotted slots for holding the solid precursor. The outer disks 62, 78, 82, 86 include walls 68, 69, 70 for holding solid precursors. During assembly, the solid precursor is placed in the annular slots 47, 48, 49 of the inner disks 30, 34, 36, 44 and the annular slots 64, 65, 66 of the outer disks 62, 78, 82, 86.

約1センチメートル未満、又は約0.5センチメートル未満、又は約0.1センチメートル未満の大きさの固体粉末及び/又は顆粒状粒子が内側ディスク30、34、36、44の環状スロット47、48、49、及び外側ディスク62、78、82、86の環状スロット64、65、66内に装填される。固体前駆体は、環状スロット内での固体の均一な分布に適切な任意の方法によって各ディスクの環状スロット内に装填される。適切な方法としては、限定するものではないが、直接の流し込み、柄杓の使用、漏斗の使用、自動測定吐出、及び加圧吐出が挙げられる。固体前駆体材料の化学特性によっては、装填は密閉環境で行われてもよい。更に、これらの毒性のある、揮発性のある、酸化されやすい、及び/又は空気の影響を受けやすい固体のために、密閉された箱内での不活性ガス雰囲気及び/又は加圧が行われてもよい。各ディスクは、容器33内のディスクの設定後に装填され得るであろう。より好ましい手順は、容器33内へのディスクの設定前に固体物を装填することである。昇華装置内に装填される固体前駆体の総重量は、装填工程の前後に昇華装置を秤量することで記録することができる。更に、消費された固体前駆体は、気化及び堆積工程後に昇華装置を秤量することによって計算することができる。   An annular slot 47 in the inner disk 30, 34, 36, 44 with solid powder and / or granular particles of a size less than about 1 centimeter, or less than about 0.5 centimeter, or less than about 0.1 centimeter, 48, 49 and outer disks 62, 78, 82, 86 are loaded into annular slots 64, 65, 66. The solid precursor is loaded into the annular slot of each disk by any method appropriate to the uniform distribution of solids within the annular slot. Suitable methods include, but are not limited to, direct pouring, use of handle, use of funnel, automatic measurement dispensing, and pressurized dispensing. Depending on the chemical properties of the solid precursor material, the loading may be performed in an enclosed environment. Furthermore, for these toxic, volatile, oxidizable and / or air sensitive solids, an inert gas atmosphere and / or pressurization in a sealed box is performed. May be. Each disc could be loaded after setting the disc in the container 33. A more preferred procedure is to load the solid before setting the disc in the container 33. The total weight of the solid precursor loaded into the sublimation device can be recorded by weighing the sublimation device before and after the loading process. Furthermore, the consumed solid precursor can be calculated by weighing the sublimation device after the vaporization and deposition process.

制御バルブ90及び接続部品95を有する浸漬管92は、内側ディスク30、34、36、44の、配置され連結されている支持脚の中央通路51内に位置している。そのため、浸漬管92は、容器33の底部58の方へ垂直に内側通路51を通り抜ける。浸漬管の端部55は、気体窓52/又はその上方で容器の底部58の近位に配置される。気体窓52は、底部の内側ディスク44に配置される。気体窓52は、浸漬管92からキャリアガスが流出できるように構成される。組み立てられた昇華装置100では、気体通路59は容器33の底面58及び底部内側ディスク44によって形成される。ある事例では、気体通路59はキャリアガスを加熱するように構成される。   A dip tube 92 having a control valve 90 and a connecting piece 95 is located in the central passage 51 of the support leg to which the inner disks 30, 34, 36, 44 are arranged and connected. Therefore, the dip tube 92 passes through the inner passage 51 perpendicularly toward the bottom 58 of the container 33. The end of the dip tube 55 is located proximal to the gas window 52 / or above the container bottom 58. The gas window 52 is disposed on the bottom inner disk 44. The gas window 52 is configured so that the carrier gas can flow out of the dip tube 92. In the assembled sublimation device 100, the gas passageway 59 is formed by the bottom surface 58 of the container 33 and the bottom inner disk 44. In some cases, the gas passageway 59 is configured to heat the carrier gas.

運転時、浸漬管92を介して容器33内に導入される前にキャリアガスが予熱される。或いは、キャリアガスは底面58による気体通路59を通って流れると同時に加熱されてもよい。底面58は、本明細書の教示と矛盾しない外部ヒーターによって熱的に一体化及び/又は加熱される。その後、キャリアガスは、内側ディスク44の外壁42と、外側ディスク62の外壁61とによって形成される気体通路45を通過する。気体通路45は内側ディスク44の最上部までつながっている。キャリアガスは、環状スロット47、48、及び49内に入れられている固体前駆体の上方を連続的に流れる。環状スロット47、48、49からの昇華した固体蒸気はキャリアガスと混合され、容器33を通って上方へ垂直に流れる。   During operation, the carrier gas is preheated before being introduced into the container 33 via the dip tube 92. Alternatively, the carrier gas may be heated as it flows through the gas passageway 59 by the bottom surface 58. The bottom surface 58 is thermally integrated and / or heated by an external heater consistent with the teachings herein. Thereafter, the carrier gas passes through a gas passage 45 formed by the outer wall 42 of the inner disk 44 and the outer wall 61 of the outer disk 62. The gas passage 45 is connected to the top of the inner disk 44. The carrier gas flows continuously over the solid precursor contained in the annular slots 47, 48 and 49. The sublimated solid vapor from the annular slots 47, 48, 49 is mixed with the carrier gas and flows vertically upward through the container 33.

図5は任意の固体Si含有膜形成用組成物の蒸気を反応器に運ぶことができる昇華装置のある実施形態を開示しているが、当業者は、本明細書の教示から逸脱することなく他の昇華装置の設計も適切な場合があることを認識するであろう。最後に、当業者は、本明細書の教示から逸脱することなく、Jurcikらの国際公開第2006/059187号パンフレットに開示されているアンプルなど、他の移送装置を使用する半導体加工用具に本開示のSi含有膜形成用組成物が運ばれ得ることを認識するであろう。   Although FIG. 5 discloses an embodiment of a sublimation apparatus that can carry vapors of any solid Si-containing film-forming composition to the reactor, those skilled in the art will not depart from the teachings herein. It will be appreciated that other sublimation device designs may be appropriate. Finally, those skilled in the art will disclose the present disclosure to semiconductor processing tools that use other transfer devices, such as the ampules disclosed in Jurcik et al., WO 2006/059187, without departing from the teachings herein. It will be appreciated that a Si-containing film-forming composition can be carried.

必要に応じて、図3〜5のSi含有膜形成用組成物送達装置は、Si含有膜形成用組成物が液相になることを可能し、且つ十分な蒸気圧を有することを可能にする温度まで加熱されてもよい。移送装置は、例えば0〜150℃の範囲の温度で維持されてもよい。当業者は、気化されるSi含有膜形成用組成物の量を制御するために、公知の方法で移送装置の温度を調節できることを認識している。   If desired, the Si-containing film-forming composition delivery device of FIGS. 3-5 allows the Si-containing film-forming composition to be in a liquid phase and have sufficient vapor pressure. It may be heated to temperature. The transfer device may be maintained at a temperature in the range of, for example, 0 to 150 ° C. One skilled in the art recognizes that the temperature of the transfer device can be adjusted in a known manner to control the amount of the Si-containing film-forming composition that is vaporized.

本開示の組成物に加えて、反応ガスも反応器内に導入することができる。反応ガスは、O;O;HO;Hなどの酸化剤;O・又はOH・;NO;NOなどの酸素含有ラジカル;ギ酸、酢酸、プロピオン酸などのカルボン酸;NO、NO、又はカルボン酸のラジカル種;パラホルムアルデヒド;及びこれらの混合物であってもよい。好ましくは、酸化剤は、O、O、HO、H、O・又はOH・などのその酸素含有ラジカル、及びこれらの混合物からなる群から選択される。好ましくは、ALD法が行われる場合、共反応物はプラズマ処理された酸素、オゾン、又はこれらの組み合わせである。酸化性ガスが使用される場合、得られるケイ素含有膜も酸素を含むであろう。 In addition to the composition of the present disclosure, a reactive gas can also be introduced into the reactor. O 2 ; O 3 ; H 2 O; H 2 O 2 ; oxidizing agent such as H 2 O 2 ; O · or OH ·; NO; oxygen-containing radicals such as NO 2 ; carboxylic acids such as formic acid, acetic acid, propionic acid; It may be a radical species of NO, NO 2 or carboxylic acid; paraformaldehyde; and mixtures thereof. Preferably, the oxidant is selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , its oxygen-containing radicals such as O. or OH., And mixtures thereof. Preferably, when the ALD method is performed, the co-reactant is plasma treated oxygen, ozone, or a combination thereof. If an oxidizing gas is used, the resulting silicon-containing film will also contain oxygen.

或いは、反応ガスは、H、NH、(SiHN、ヒドロシラン類(SiH、Si、Si、Si10、Si10、Si12等)、クロロシラン及びクロロポリシラン類(SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiCl等)、アルキルシラン類(MeSiH、EtSiH、MeSiH、EtSiH等)、ヒドラジン類(N、MeHNNH、MeHNNHMe等)、有機アミン類(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNH等)、エチレンジアミン、ジメチルエチレンジアミン、テトラメチルエチレンジアミンなどのジアミン類、ピラゾリン、ピリジン、B含有分子類(B、トリメチルホウ素、トリエチルホウ素、ボラジン、置換ボラジン、ジアルキルアミノボラン等)、アルキル金属類(トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛等)、これらのラジカル種、又はこれらの混合物であってもよい。H又は無機Si含有ガスが使用される場合、得られるケイ素含有膜は純粋なSiであり得る。 Alternatively, the reaction gas may be H 2 , NH 3 , (SiH 3 ) 3 N, hydrosilanes (SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6 H 12, etc. ), Chlorosilanes and chloropolysilanes (SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8 etc.), alkyl silanes (Me 2 SiH 2 , Et 2 SiH 2) , MeSiH 3 , EtSiH 3, etc.), hydrazines (N 2 H 4 , MeHNNH 2 , MeHNNHMe etc.), organic amines (NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , (SiMe 3) 3) 2 NH, etc.), ethylenediamine, dimethylethylenediamine, diamine such as tetramethylethylenediamine S, pyrazoline, pyridine, B-containing molecules, (B 2 H 6, trimethylboron, triethyl boron, borazine, substituted borazine, dialkylamino borane), alkyl metals (trimethylaluminum, triethylaluminum, dimethyl zinc, diethyl zinc, etc.) , These radical species, or a mixture thereof. If H 2 or inorganic Si-containing gas is used, the resulting silicon-containing film can be pure Si.

或いは、反応ガスは、エチレン、アセチレン、プロピレン、イソプレン、シクロヘキサン、シクロヘキセン、シクロヘキサジエン、ペンテン、ペンチン、シクロペンタン、ブタジエン、シクロブタン、テルピネン、オクタン、又はこれらの組み合わせなどの(これらに限定されない)、飽和又は不飽和の、直鎖、分岐、又は環状の炭化水素であってもよい。   Alternatively, the reaction gas is saturated, such as, but not limited to, ethylene, acetylene, propylene, isoprene, cyclohexane, cyclohexene, cyclohexadiene, pentene, pentyne, cyclopentane, butadiene, cyclobutane, terpinene, octane, or combinations thereof. Alternatively, it may be an unsaturated, linear, branched, or cyclic hydrocarbon.

反応ガスは、反応ガスをそのラジカル形態に分解するためにプラズマによって処理されてもよい。プラズマで処理する際にNも還元剤として利用することができる。例えば、プラズマは約50W〜約500W、好ましくは約100W〜約200Wの範囲の出力で生じさせることができる。プラズマは、反応器自体中で生じさせてもよく、又は反応器自体中に存在していてもよい。或いは、プラズマは、通常、例えば遠く離れて位置するプラズマシステム内など、反応器から出た位置にあってもよい。当業者は、そのようなプラズマ処理に適した方法及び装置を認識するであろう。
The reactive gas may be treated with a plasma to decompose the reactive gas into its radical form. N 2 can also be used as a reducing agent when processing with plasma. For example, the plasma can be generated at a power in the range of about 50W to about 500W, preferably about 100W to about 200W. The plasma may be generated in the reactor itself or may be present in the reactor itself. Alternatively, the plasma may typically be at a location exiting the reactor, such as within a remotely located plasma system. Those skilled in the art will recognize methods and apparatus suitable for such plasma processing.

望ましい膜厚が得られた後、膜に対して、熱アニール、炉アニール、高速熱アニール、UV若しくは電子線硬化、及び/又はプラズマガス曝露などの追加的な処理が行われてもよい。当業者は、これらの追加的な処理工程を行うために利用されるシステム及び方法を認識している。例えば、ケイ素含有膜は、不活性雰囲気、H含有雰囲気、N含有雰囲気、又はこれらの組み合わせの下、約200℃〜約1000℃の範囲の温度に約0.1秒〜約7200秒の範囲の時間曝露されてもよい。最も好ましくは、温度は3600秒未満にわたり600℃である。更に好ましくは、温度は400℃未満である。アニール工程は、その中で堆積工程が行われるものと同じ反応チャンバー内で行われてもよい。或いは、基板が反応チャンバー内から取り出され、アニール/フラッシュアニール処理が別の装置内で行われてもよい。上の後処理方法のいずれも、特にUV硬化は、膜の結合性及び架橋の強化、並びに膜がSiN含有膜である場合の膜のH含有率の低減に有効であることが見出された。典型的には、<400℃(好ましくは、約100℃〜300℃)までの熱アニールとUV硬化との組み合わせが、最も高い密度の膜を得るために使用される。   After the desired film thickness is obtained, the film may be subjected to additional processing such as thermal annealing, furnace annealing, rapid thermal annealing, UV or electron beam curing, and / or plasma gas exposure. Those skilled in the art are aware of the systems and methods utilized to perform these additional processing steps. For example, the silicon-containing film may have a temperature in the range of about 200 ° C. to about 1000 ° C. in the range of about 0.1 seconds to about 7200 seconds under an inert atmosphere, H-containing atmosphere, N-containing atmosphere, or combinations thereof. It may be exposed for hours. Most preferably, the temperature is 600 ° C. for less than 3600 seconds. More preferably, the temperature is less than 400 ° C. The annealing process may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber and the annealing / flash annealing process may be performed in a separate apparatus. In any of the above post-treatment methods, UV curing, in particular, has been found to be effective in enhancing film integrity and cross-linking and reducing the H content of the film when the film is a SiN-containing film. . Typically, a combination of thermal annealing and UV curing up to <400 ° C. (preferably about 100 ° C. to 300 ° C.) is used to obtain the highest density film.

以降の非限定的な実施例は、本発明の実施形態を更に詳しく説明するために与えられる。しかし、実施例は全てを網羅することを意図しておらず、また本明細書に記載の本発明の範囲を限定することを意図していない。   The following non-limiting examples are given to further illustrate embodiments of the present invention. However, the examples are not intended to be exhaustive and are not intended to limit the scope of the invention described herein.

実施例1:PTFEで被覆されている磁気撹拌子が入った250mLの3口(24/40)丸底(European style)フラスコに9.56g(33.7mmol)の無水ヨウ化リチウム粉末(Sigma Aldrich、99+%)と、80mLの無水クロロホルムとを入れた。混合物を撹拌しながら、ヘッドスペースを通してジクロロシラン(8.4g;83.2mmol、過剰)(「DCS」)を反応フラスコに添加した。直ちに色の変化が観察された(薄紫色)。DCS曝露中に温度を約22〜29℃に上げた。混合物を周囲温度で更に18時間撹拌した。固体は、ベージュ色の粗大な形態から白色の微細な粉末へと外観が変化した。このとき、固体の質量が減少した。固体を濾過し、真空化で乾燥した(2.75g回収;計算値3.0g)。液体窒素中で冷却されているトラップ内に凝縮させることによって静的真空下で溶媒を除去した。残りの紫色の液体を秤量し(4.54g;計算値10.0g;45%)、GCMSで分析した(80.5%がSiH(「DIS」)であり、残部がこれより高い沸点の化合物であった)。計算された生成物の収率は、試料のサイズ及びクロロホルムを除去した手段のため信頼性が低いものの、この実施例は、生成物DISを生成するためのハライド交換が成功することを示す。 Example 1 9.56 g (33.7 mmol) of anhydrous lithium iodide powder (Sigma Aldrich) in a 250 mL 3-neck (24/40) European style flask containing a magnetic stirring bar coated with PTFE 99 +%) and 80 mL of anhydrous chloroform. While stirring the mixture, dichlorosilane (8.4 g; 83.2 mmol, excess) (“DCS”) was added to the reaction flask through the headspace. A color change was observed immediately (light purple). The temperature was raised to about 22-29 ° C. during DCS exposure. The mixture was stirred at ambient temperature for a further 18 hours. The appearance of the solid changed from a coarse beige form to a fine white powder. At this time, the mass of the solid decreased. The solid was filtered and dried under vacuum (2.75 g recovered; calculated 3.0 g). The solvent was removed under static vacuum by condensing into a trap cooled in liquid nitrogen. The remaining purple liquid was weighed (4.54 g; calculated 10.0 g; 45%) and analyzed by GCMS (80.5% was SiH 2 I 2 (“DIS”) with the balance higher) The boiling point compound). Although the calculated product yield is unreliable due to the sample size and the means by which the chloroform was removed, this example shows that the halide exchange to produce the product DIS is successful.

1〜1.25mmのLI
機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、液体ペンタン添加のための入口とを備えた20Lのジャケット付きのフィルター反応器に15Lの未使用のペンタン(Sigma Aldrich、純度>99%)を入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を約200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(9.99kg、74.64mol)を反応器に入れた。引き続いて行われるジクロロシラン(3.88kg、38.42mol)の重量測定添加は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。16時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄することで4.96kgの固体残留物が得られた。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで未精製のジヨードシラン(8.01kg、純度86%)を得た。材料の残部は、GC分析から示唆されるように、0.1%のDCS;1.2%のペンタン;0.1%のSiHI;4.5%のSiHClI;及び0.1%のSiHIを含んでいた。この未精製材料を3.2kPaで更に蒸留することで、99.7%のDIS;0.01%のSiHI;0.03%のSiHClI;及び0.1%のSiHIを含むジヨードシラン(8.16kg、収率77%)を得た。
1-1.25mm LI
20 L jacket with mechanical stirrer, condenser (adjusted to -70 ° C), fixed addition port, inlet tube for addition of dichlorosilane below the surface, and inlet for liquid pentane addition Into the filter reactor, 15 L of unused pentane (Sigma Aldrich, purity> 99%) was added. The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at approximately 200 RPM, and then lithium iodide (9.99 kg, 74.64 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (3.88 kg, 38.42 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L) to give 4.96 kg of solid residue. The filtrate and the washing solution were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (8.01 kg, purity 86%). The remainder of the material was 0.1% DCS; 1.2% pentane; 0.1% SiH 3 I; 4.5% SiH 2 ClI; and 0.1 as suggested by GC analysis % SiHI 3 of it contained. This crude material is further distilled at 3.2 kPa to contain 99.7% DIS; 0.01% SiH 3 I; 0.03% SiH 2 ClI; and 0.1% SiHI 3 Diiodosilane (8.16 kg, 77% yield) was obtained.

以上のように、ヨウ化リチウムの粒径は単離収率に影響を与える。驚くべきことに、小さい粒径と比べてより大きい粒径のヨウ化リチウムを使用した場合に向上した収率が観察される。   As described above, the particle size of lithium iodide affects the isolation yield. Surprisingly, improved yields are observed when using a larger particle size lithium iodide compared to a smaller particle size.

実施例10:溶媒のリサイクルの影響
溶媒のリサイクル
機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、液体ペンタン添加のための入口とを備えた20Lのジャケット付きのフィルター反応器に15Lのペンタンを入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を約200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(12.34kg、92.19mol)を反応器に入れた。引き続いて行われるジクロロシラン(4.25kg、42.08mol)の重量測定添加は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。16時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄した。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで蒸留ポット内に未精製のジヨードシラン(9.26kg、純度82%)を得た。蒸留物(11L、82%の大部分のペンタン;12%のDCS;4%のSiH2ClI;及び1%のDISを含む)は、連続的な合成のために反応器に戻してリサイクルした。
Example 10: Effect of solvent recycling Solvent recycling Mechanical stirrer, condenser (adjusted to -70 ° C), fixed addition port, inlet tube for addition of dichlorosilane below the surface, and liquid pentane addition 15 L pentane was placed in a 20 L jacketed filter reactor equipped with an inlet for. The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at about 200 RPM and then lithium iodide (12.34 kg, 92.19 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (4.25 kg, 42.08 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L). The filtrate and the washing solution were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (9.26 kg, purity 82%) in the distillation pot. Distillate (11 L, 82% most pentane; 12% DCS; 4% SiH 2 ClI; and 1% DIS) was recycled back to the reactor for continuous synthesis.

それに伴って、機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、蒸留物/ペンタン添加のための入口とを備えた前述の20Lのジャケット付きのフィルター反応器に、未使用のペンタン(4L)と共に事前の製造工程からリサイクルした蒸留物(11L、82%の大部分のペンタン;12%のDCS;4%のSiH2ClI;及び1%のDISを含む)を入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を約200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(12.38kg、92.49mol)を反応器に入れた。引き続いて行われるジクロロシラン(4.17kg、41.28mol)の重量測定添加は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。17時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄した。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで蒸留ポット内に蒸留残渣として未精製のジヨードシラン(8.77kg、純度84%)を得た。この未精製材料を3.2kPaで更に蒸留することで、99.5%のDIS;0.14%のSiHI;0.24%のSiHI、その他0.12%を含むジヨードシラン(7.29kg、収率62%)を得た。 Accordingly, a mechanical stirrer, a condenser (adjusted to -70 ° C), a fixed addition port, an inlet tube for the addition of dichlorosilane below the surface, and an inlet for the addition of distillate / pentane. The aforementioned 20 L jacketed filter reactor equipped with distillate (11 L, 82% most pentane; 12% DCS; 4% SiH2ClI; and 1% DIS). The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at about 200 RPM and then lithium iodide (12.38 kg, 92.49 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (4.17 kg, 41.28 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 17 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L). The filtrate and the washing solution were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (8.77 kg, purity 84%) as a distillation residue in the distillation pot. The crude material was further distilled at 3.2 kPa to obtain diiodosilane (7. 5% DIS; 0.14% SiH 3 I; 0.24% SiHI 3 , and others 0.12%). 29 kg, 62% yield).

未使用の溶媒
機械式攪拌機と、コンデンサー(−70℃に調節)と、固定添加ポートと、表面下へのジクロロシランの添加のための入口管と、液体ペンタン添加のための入口とを備えた20Lのジャケット付きのフィルター反応器に15Lの未使用のペンタン(Sigma Aldrich、純度>99%)を入れた。反応器ジャケット内の温度を+35℃に調節し、反応器コンデンサーを−70℃に調節した。その後、反応器を約200RPMで撹拌し、次いで窒素雰囲気下でヨウ化リチウム(12.47kg、93.16mol)を反応器に入れた。引き続いて行われるジクロロシラン(4.85kg、48.02mol)の重量測定添加は、約1kg毎時間の速度に調節した。DCSの添加が完了した後、反応器ジャケットを+35℃に、コンデンサーを−70℃に調節したままにする。16時間撹拌した後、撹拌を停止し、反応器フィルターを通して反応器の中身を22Lの丸底フラスコ内へ排出した。その後、反応器フィルター上の塩をペンタン(3×1L)で洗浄した。濾液と洗浄液とを合わせて引き続き88kPaで蒸留することで未精製のジヨードシラン(8.01kg、純度86%)を得た。この未精製材料を3.2kPaで更に蒸留することで、99.9%のDIS;0.01%のSiHI;0.02%のSiHIを含むジヨードシラン(6.68kg、収率51%)を得た。
Unused solvent equipped with mechanical stirrer, condenser (adjusted to -70 ° C), fixed addition port, inlet tube for addition of dichlorosilane below the surface, and inlet for liquid pentane addition A 20 L jacketed filter reactor was charged with 15 L of unused pentane (Sigma Aldrich, purity> 99%). The temperature in the reactor jacket was adjusted to + 35 ° C and the reactor condenser was adjusted to -70 ° C. The reactor was then stirred at about 200 RPM and then lithium iodide (12.47 kg, 93.16 mol) was charged to the reactor under a nitrogen atmosphere. Subsequent gravimetric addition of dichlorosilane (4.85 kg, 48.02 mol) was adjusted to a rate of about 1 kg per hour. After the DCS addition is complete, leave the reactor jacket at + 35 ° C and the condenser at -70 ° C. After stirring for 16 hours, stirring was stopped and the reactor contents were discharged through a reactor filter into a 22 L round bottom flask. The salt on the reactor filter was then washed with pentane (3 × 1 L). The filtrate and the washing solution were combined and subsequently distilled at 88 kPa to obtain unpurified diiodosilane (8.01 kg, purity 86%). This crude material was further distilled at 3.2 kPa to obtain diiodosilane (6.68 kg, 51% yield) containing 99.9% DIS; 0.01% SiH 3 I; 0.02% SiHI 3. )

以上のように、リサイクルは、多くの場合、規制対応を簡素化すると共に経済性及び環境の利点を有するが、不純物は蓄積し得る。リサイクル工程をなくして、各合成の実施のために未使用の溶媒を使用すると、溶媒のリサイクル後には得られない超高純度の生成物純度レベルになる。   As described above, recycling often simplifies regulatory compliance and has economic and environmental benefits, but impurities can accumulate. Eliminating the recycling step and using virgin solvent for each synthesis run results in an ultra-high purity product purity level that cannot be obtained after solvent recycling.

Claims (16)

式:
Si (1)
N(SiH (2)、又は
(SiH−CH (3)
(式中、wは1〜3であり、x+y+z=2w+2であり、xは1〜2w+1であり、yは0〜2w+1であり、zは1〜2w+1であり、各aは独立に0〜3であり、各bは独立に0〜3であり、各cは独立に0〜3であり、少なくとも1つのa及び少なくとも1つのcが1であることを条件としてa+b+c=3であり、各mは独立に0〜3であり、各nは独立に0〜3であり、各oは独立に0〜3であり、少なくとも1つのm及び少なくとも1つのoが1であることを条件としてm+n+o=3であり、及び各Rは独立にC1〜C12のヒドロカルビル基、Cl、Br、又はER’基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12のヒドロカルビル基である)
を有するSi−H含有ヨードシランを合成する方法であって、
前記式Si、又はN(SiH、又は(SiH−CH(式中、XはCl又はBrであり、及びw、x、y、z、a、b、c、m、n、及びoは上で定義したとおりである)を有するハロシラン反応物と、式MI(式中、M=Li、Na、K、Rb、又はCsである)を有するアルカリ金属ハライド反応物とを反応させて、MXと(1)、(2)、又は(3)との混合物を生成する工程と、
前記式Si、N(SiH、又は(SiH−CHを有する前記Si−H含有ヨードシランを前記混合物から単離する工程と
を含む方法。
formula:
Si w H x R y I z (1)
N (SiH a R b I c ) 3 (2), or (SiH m R n I o ) 2 —CH 2 (3)
(Wherein w is 1 to 3, x + y + z = 2w + 2, x is 1 to 2w + 1, y is 0 to 2w + 1, z is 1 to 2w + 1, and each a is independently 0 to 3 Each b is independently 0-3, each c is independently 0-3, a + b + c = 3, provided that at least one a and at least one c are 1, and each m Is independently 0-3, each n is independently 0-3, each o is independently 0-3, and at least one m and at least one o is 1, m + n + o = 3 and each R is independently a C1-C12 hydrocarbyl group, Cl, Br, or ER ′ 3 group, where each E is independently Si or Ge, and each R ′ is independently H or a C1-C12 hydrocarbyl group)
A method of synthesizing a Si-H containing iodosilane having
Formula Si w H x R y X z , or N in (SiH a R b X c) 3, or (SiH m R n X o) 2 -CH 2 ( wherein, X is Cl or Br, and w , X, y, z, a, b, c, m, n, and o are as defined above, and a formula MI, where M = Li, Na, K, Rb Or an alkali metal halide reactant having Cs) to produce a mixture of MX and (1), (2), or (3);
Isolated from the formula Si w H x R y I z , N (SiH a R b I c) 3, or (SiH m R n I o) said mixture said SiH-containing Yodoshiran having 2 -CH 2 A method comprising:
M=Liである、請求項1に記載の方法。   The method of claim 1, wherein M = Li. 前記反応工程に非配位性溶媒を添加することを更に含む、請求項1に記載の方法。   The method of claim 1, further comprising adding a non-coordinating solvent to the reaction step. 前記非配位性溶媒は、プロパン、ブタン、ペンタン、ヘキサン、ヘプタン、クロロメタン、ジクロロメタン、クロロホルム、四塩化炭素、塩化メチレン、アセトニトリル、及びこれらの組み合わせである、請求項3に記載の方法。   4. The method of claim 3, wherein the non-coordinating solvent is propane, butane, pentane, hexane, heptane, chloromethane, dichloromethane, chloroform, carbon tetrachloride, methylene chloride, acetonitrile, and combinations thereof. 前記単離工程は、前記混合物を濾過して、前記式Si又はN(SiHを有する前記Si−H含有ヨードシランからMXを分離することを含む、請求項1〜4のいずれか一項に記載の方法。 The isolation step comprises filtering the said mixture, separating MX from the SiH-containing Yodoshiran having the formula Si w H x R y I z or N (SiH a R b I c ) 3 The method as described in any one of Claims 1-4. 前記アルカリ金属ハライド反応物はLiIである、請求項1〜5のいずれか一項に記載の方法。   The method according to claim 1, wherein the alkali metal halide reactant is LiI. 前記ハロシラン反応物はSiHClである、請求項1〜6のいずれか一項に記載の方法。 The method of claim 1, wherein the halosilane reactant is SiH 2 Cl 2 . 前記ハロシラン反応物はSiHClである、請求項1〜6のいずれか一項に記載の方法。 The method of claim 1, wherein the halosilane reactant is Si 2 HCl 5 . 前記ハロシラン反応物は(SiHN(SiHCl)である、請求項1〜6のいずれか一項に記載の方法。 The method according to claim 1, wherein the halosilane reactant is (SiH 3 ) 2 N (SiH 2 Cl). 式Si又はN(SiH(式中、w=1〜3であり、x+z=2w+2であり、x=1〜2w+1であり、z=1〜2w+1であり、各aは独立に0〜3であり、各cは独立に0〜3であり、少なくとも1つのa及び少なくとも1つのcが1であることを条件としてa+c=3である)を有するSi−H含有ヨードシランを合成する方法であって、
前記式Si又はN(SiH(式中、XはCl又はBrであり、及びw、x、z、a、及びcは上で定義したとおりである)を有するハロシラン反応物と、式MI(式中、MはLi、Na、K、Rb、又はCsである)を有するアルカリ金属ハライド反応物とを混合して、Si又はN(SiHとnMXとの混合物を生成する工程と、
前記混合物を濾過して、前記式Si又はN(SiHを有する前記Si−H含有ヨードシランを生成する工程と
を含む方法。
Formula Si w H x I z or N (SiH a I c ) 3 (where w = 1-3, x + z = 2w + 2, x = 1-2w + 1, z = 1-2w + 1, Each a is independently 0-3, each c is independently 0-3, with at least one a and at least one c being 1, a + c = 3. A method of synthesizing a containing iodosilane,
The formula Si w H x X z or N (SiH a X c ) 3 , wherein X is Cl or Br, and w, x, z, a, and c are as defined above. A halosilane reactant having and an alkali metal halide reactant having the formula MI (wherein M is Li, Na, K, Rb, or Cs) to produce Si w H x I z or N (SiH generating a mixture of a I c ) 3 and nMX;
Method comprising the step of generating the SiH-containing Yodoshiran that by filtering the mixture, having the formula Si w H x I z or N (SiH a I c) 3 .
前記ハロシラン反応物はSiHClである、請求項10に記載の方法。 The method of claim 10, wherein the halosilane reactant is SiH 2 Cl 2 . 前記ハロシラン反応物はSiHClである、請求項10に記載の方法。 The method of claim 10, wherein the halosilane reactant is Si 2 HCl 5 . 前記ハロシラン反応物は(SiHN(SiHCl)である、請求項10に記載の方法。 The method of claim 10, wherein the halosilane reactant is (SiH 3 ) 2 N (SiH 2 Cl). 前記アルカリ金属ハライド反応物はLiIである、請求項10〜13のいずれか一項に記載の方法。   14. The method according to any one of claims 10 to 13, wherein the alkali metal halide reactant is LiI. Si含有膜形成用組成物移送装置であって、入口管と出口管とを有し、且つSi含有膜形成用組成物が入っているキャニスターを含み、前記Si含有膜形成用組成物は、Si−H含有ヨードシランと、約0ppbw〜約100ppbwのAg、Au、又はSbとを含有し、前記Si−H含有ヨードシランは、式:
Si (1)
N(SiH (2)、又は
(SiH−CH (3)
(式中、wは1〜3であり、x+y+z=2w+2であり、xは1〜2w+1であり、yは0〜2w+1であり、zは1〜2w+1であり、各aは独立に0〜3であり、各bは独立に0〜3であり、各cは独立に0〜3であり、少なくとも1つのa及び少なくとも1つのcが1であることを条件としてa+b+c=3であり、各mは独立に0〜3であり、各nは独立に0〜3であり、各oは独立に0〜3であり、少なくとも1つのm及び少なくとも1つのoが1であることを条件としてm+n+o=3であり、及び各Rは独立にC1〜C12のヒドロカルビル基、Cl、Br、又はER’基であり、ここで、各Eは独立にSi又はGeであり、及び各R’は独立にH又はC1〜C12のヒドロカルビル基である)
を有する、Si含有膜形成用組成物移送装置。
A Si-containing film forming composition transfer device, comprising an inlet pipe and an outlet pipe, and including a canister containing the Si-containing film forming composition, wherein the Si-containing film forming composition comprises Si -H containing iodosilane and about 0 ppbw to about 100 ppbw of Ag, Au, or Sb, wherein the Si-H containing iodosilane has the formula:
Si w H x R y I z (1)
N (SiH a R b I c ) 3 (2), or (SiH m R n I o ) 2 —CH 2 (3)
(Wherein w is 1 to 3, x + y + z = 2w + 2, x is 1 to 2w + 1, y is 0 to 2w + 1, z is 1 to 2w + 1, and each a is independently 0 to 3 Each b is independently 0-3, each c is independently 0-3, a + b + c = 3, provided that at least one a and at least one c are 1, and each m Is independently 0-3, each n is independently 0-3, each o is independently 0-3, and at least one m and at least one o is 1, m + n + o = 3 and each R is independently a C1-C12 hydrocarbyl group, Cl, Br, or ER ′ 3 group, where each E is independently Si or Ge, and each R ′ is independently H or a C1-C12 hydrocarbyl group)
A composition transfer device for forming a Si-containing film.
前記Si−H含有ヨードシランはSiHである、請求項15に記載のSi含有膜形成用組成物移送装置。 The composition transfer apparatus for forming a Si-containing film according to claim 15, wherein the Si—H-containing iodosilane is SiH 2 I 2 .
JP2017563971A 2016-05-19 2017-05-19 Preparation of Si-H-containing iodosilane by halide exchange reaction Active JP6543354B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662338882P 2016-05-19 2016-05-19
US62/338,882 2016-05-19
PCT/US2017/033620 WO2017201456A1 (en) 2016-05-19 2017-05-19 Preparation of si-h containing iodosilanes via halide exchange reaction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019110969A Division JP7014753B2 (en) 2016-05-19 2019-06-14 Preparation of Si—H-containing iodosilane by halide exchange reaction

Publications (2)

Publication Number Publication Date
JP2018519233A true JP2018519233A (en) 2018-07-19
JP6543354B2 JP6543354B2 (en) 2019-07-10

Family

ID=60326369

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017563971A Active JP6543354B2 (en) 2016-05-19 2017-05-19 Preparation of Si-H-containing iodosilane by halide exchange reaction
JP2019110969A Active JP7014753B2 (en) 2016-05-19 2019-06-14 Preparation of Si—H-containing iodosilane by halide exchange reaction

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019110969A Active JP7014753B2 (en) 2016-05-19 2019-06-14 Preparation of Si—H-containing iodosilane by halide exchange reaction

Country Status (7)

Country Link
EP (1) EP3458415A4 (en)
JP (2) JP6543354B2 (en)
KR (1) KR102038215B1 (en)
CN (1) CN107864649B (en)
SG (1) SG11201709441TA (en)
TW (1) TWI805561B (en)
WO (1) WO2017201456A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020063176A (en) * 2018-10-18 2020-04-23 ヤマナカヒューテック株式会社 Producing method of diiodosilane
JP2022513733A (en) * 2018-12-10 2022-02-09 インテグリス・インコーポレーテッド Preparation of triiodosilane
WO2023200001A1 (en) * 2022-04-14 2023-10-19 ヤマナカヒューテック株式会社 Method for producing compound

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
KR102577557B1 (en) * 2018-05-01 2023-09-11 밀리켄 앤드 캄파니 Method for producing halosilane compounds
CN115605432A (en) * 2020-04-24 2023-01-13 恩特格里斯公司(Us) Process for preparing iodosilanes and compositions therefrom
CN116457310A (en) * 2020-10-23 2023-07-18 恩特格里斯公司 Method for preparing iodosilane
KR102331310B1 (en) * 2020-11-12 2021-12-01 (주)엘케이켐 Method for preparing diiodosilane and composition prepared thereby
CN113548669B (en) * 2021-09-01 2022-11-11 福建福豆新材料有限公司 Preparation device and preparation method of high-purity electronic-grade diiodosilane
CN116375038A (en) * 2023-02-23 2023-07-04 安徽博泰电子材料有限公司 Preparation method of diiodosilane
CN116081626B (en) * 2023-03-30 2023-06-27 研峰科技(北京)有限公司 Preparation method of diiodosilane

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008517479A (en) * 2004-10-20 2008-05-22 アプライド マテリアルズ インコーポレイテッド SiN low temperature deposition method
US20160115593A1 (en) * 2015-12-30 2016-04-28 American Air Liquide, Inc. Amino(iodo)silane precursors for ald/cvd silicon-containing film applications and methods of using the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3047995C2 (en) * 1980-12-19 1984-05-10 Degussa Ag, 6000 Frankfurt Process for the preparation of (iodoorganyl) alkoxysilanes
US5997637A (en) * 1993-06-18 1999-12-07 Nippon Oil Co., Ltd. Method of producing a semiconducting material
JPH07252271A (en) * 1994-03-14 1995-10-03 Shin Etsu Chem Co Ltd Production of iodosilane
JP3895053B2 (en) * 1998-07-30 2007-03-22 独立行政法人科学技術振興機構 Production method of dihalomethylsilanes
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20160046408A1 (en) 2015-10-27 2016-02-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Internally coated vessel for housing a metal halide
US10106425B2 (en) 2016-05-19 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Synthesis methods for halosilanes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008517479A (en) * 2004-10-20 2008-05-22 アプライド マテリアルズ インコーポレイテッド SiN low temperature deposition method
US20160115593A1 (en) * 2015-12-30 2016-04-28 American Air Liquide, Inc. Amino(iodo)silane precursors for ald/cvd silicon-containing film applications and methods of using the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020063176A (en) * 2018-10-18 2020-04-23 ヤマナカヒューテック株式会社 Producing method of diiodosilane
US11072534B2 (en) 2018-10-18 2021-07-27 Yamanaka Hutech Corporation Diiodosilane producing method
JP2022513733A (en) * 2018-12-10 2022-02-09 インテグリス・インコーポレーテッド Preparation of triiodosilane
JP7348285B2 (en) 2018-12-10 2023-09-20 インテグリス・インコーポレーテッド Preparation of triiodosilane
WO2023200001A1 (en) * 2022-04-14 2023-10-19 ヤマナカヒューテック株式会社 Method for producing compound

Also Published As

Publication number Publication date
JP6543354B2 (en) 2019-07-10
TW201900659A (en) 2019-01-01
EP3458415A1 (en) 2019-03-27
CN107864649B (en) 2021-07-20
JP7014753B2 (en) 2022-02-01
CN107864649A (en) 2018-03-30
SG11201709441TA (en) 2018-05-30
KR102038215B1 (en) 2019-10-29
JP2019189523A (en) 2019-10-31
WO2017201456A8 (en) 2017-12-28
EP3458415A4 (en) 2019-11-27
KR20170141261A (en) 2017-12-22
TWI805561B (en) 2023-06-21
WO2017201456A1 (en) 2017-11-23

Similar Documents

Publication Publication Date Title
US10800661B2 (en) Preparation of Si-H containing iodosilanes via halide exchange reaction
JP7014753B2 (en) Preparation of Si—H-containing iodosilane by halide exchange reaction
US10501484B2 (en) Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
KR101749705B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
JP6242026B2 (en) Organosilane precursor for ALD / CVD silicon-containing films
US10106425B2 (en) Synthesis methods for halosilanes
JP6993474B2 (en) Si-containing film-forming composition
US20180202042A1 (en) Alkylamino-substituted halocarbosilane precursors
TWI661082B (en) Carbon bridged aminosilane compounds for high growth rate silicon-containing films
JP7117461B2 (en) Method for producing isomer-enriched higher silane
US10011903B2 (en) Manganese-containing film forming compositions, their synthesis, and use in film deposition

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190614

R150 Certificate of patent or registration of utility model

Ref document number: 6543354

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250