EP3458415A1 - Preparation of si-h containing iodosilanes via halide exchange reaction - Google Patents

Preparation of si-h containing iodosilanes via halide exchange reaction

Info

Publication number
EP3458415A1
EP3458415A1 EP17800276.2A EP17800276A EP3458415A1 EP 3458415 A1 EP3458415 A1 EP 3458415A1 EP 17800276 A EP17800276 A EP 17800276A EP 3458415 A1 EP3458415 A1 EP 3458415A1
Authority
EP
European Patent Office
Prior art keywords
sih
independently
iodosilane
containing iodosilane
reaction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
EP17800276.2A
Other languages
German (de)
French (fr)
Other versions
EP3458415A4 (en
Inventor
Cole RITTER
Gennadiy Itov
Manish Khandelwal
Jean-Marc Girard
Glenn KUCHENBEISER
Sean KERRIGAN
Peng Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide SA, LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical Air Liquide SA
Publication of EP3458415A1 publication Critical patent/EP3458415A1/en
Publication of EP3458415A4 publication Critical patent/EP3458415A4/en
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/08Compounds containing halogen
    • C01B33/107Halogenated silanes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • C07F7/121Preparation or treatment not provided for in C07F7/14, C07F7/16 or C07F7/20
    • C07F7/123Preparation or treatment not provided for in C07F7/14, C07F7/16 or C07F7/20 by reactions involving the formation of Si-halogen linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Definitions

  • Halosilane chemicals find many uses in industry.
  • iodosilane precursors such as diiodosilane (S1H2I2)
  • S1H2I2 diiodosilane
  • Emeleus et al. disclose synthesis of diiodosilane (S1H2I2) by reaction of Silane (SiH 4 ), Hydrogen Iodide (HI), and aluminum iodide (All 3 ). Derivatives of monosilane. Part ⁇ .
  • the lodo compounds Emeleus, H. J.; Maddock, A. G.; Reid, C, J. Chem. Soc, 1941 , 353-358).
  • the reaction produces the desired S1H2I2 reaction product along with lodosilane (SiH 3 l), Triiodosilane (SiHI 3 ), and tetraiodosilane (Sil 4 ). Id. at p. 354.
  • Keinan et al. disclose the reaction of iodine and phenylsilane in a 1 : 1 molar ratio in the presence of traces of ethyl acetate at -20°C produces 1 mol of S1H2I2 and 1 mol of benzene. J. Org. Chem., Vol. 52, No. 22, 1987, pp.4846-4851 . Although selective for S1H2I2 over the other possible iodosilanes (i.e., SiH 3 l, SiHI 3 , and Sil 4 ), this method produces the known human carcinogen benzene, which makes commercial implementation difficult. Despite this drawback, it remains the preferred synthetic approach to producing Diiodosilane.
  • Impurities from these synthesis processes may decompose the resulting iodosilane product.
  • Current industrial practice is to stabilize these products using antimony, silver, or copper powder/pellet additives as taught in Eaborn, 'Organosilicon Compounds. Part II. ⁇ Conversion Series for Organosilicon Halides, Pseudohalides, and Sulphides', 1950, J. Chem. Soc, 3077-3089 and Beilstein 4, IV, 4009.
  • the addition of copper may stabilize the product, it also may introduce impurities (Cu) which may adversely affect the electrical properties of the deposited films.
  • Finkelstein reaction is an SN2 reaction (Substitution Nucleophilic Bimolecular reaction) that involves the exchange of one halogen atom for another.
  • Halide exchange is an equilibrium reaction, but the reaction can be driven to completion by exploiting the differential solubility of halide salts, or by using a large excess of the halide salt.
  • TMS-I trimethylsilyl iodide
  • Si-CI is reactive to iodine exchange by this route, R groups like alkyl or aryl groups are not.
  • Si-H bonds are found in general to be more reactive than the Si-CI bond. Chemistry and Technology of Silicones, Academic Press, 1968, p. 50. As a result, one of ordinary skill in the art would expect exchange of both the H and CI atoms of any Si-H containing halosilane in the Finkelstein reaction.
  • a halosilane reactant having the formula Si w H x R y X z ,
  • Si-H containing iodosilane having the formula Si w H x R y l z , N(SiH a Rbl c )3 or (SiH m R n l 0 )2-CH 2 is isolated from the mixture.
  • the halosilane reactant is mixed with the alkali metal halide reactant to produce the mixture of Si w H x l z , N(SiH a Rbl c )3 or (SiH m R n lo)2-CH 2 and MX.
  • the mixture is filtered to produce the Si-H containing iodosilane having the formula Si w H x l z , N(SiH a R b l c )3 or (SiH m R n l 0 )2-CH 2 .
  • Either of the disclosed methods may have one or more of the following aspects:
  • R is not CI or Br
  • R is a C1 to C12 hydrocarbyl group
  • R is a ER' 3 group
  • the solvent being propane, butane, pentane, hexane, heptanes
  • chloromethane dichloromethane, chloroform, carbon tetrachloride, methylene chloride, acetonitrile, and combinations thereof;
  • the isolating step comprising filtering the mixture to separate MX from the Si-H containing iodosilane having the formula Si w H x R y l z ;
  • the halosilane reactant being SiH 2 CI 2 ;
  • the halosilane reactant being Si 2 HCIs
  • the halosilane reactant being (SiH 3 ) 2 N(SiH 2 CI); • the alkali metal halide reactant being Lil;
  • Si-H containing iodosilanes have the formula Si w H x Ryl z (1 );
  • each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group;
  • the Si-H containing iodosilane being H 3 SiSiHI 2 ;
  • the Si-H containing iodosilane being H 3 SiSiH 2 l;
  • the Si-H containing iodosilane being H 3 GeSiHI 2 ;
  • each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group;
  • the Si-H containing iodosilane being H 3 SiSi 2 HI 4 ;
  • the Si-H containing iodosilane being H 3 SiSi 2 H 2 l 3 ;
  • the Si-H containing iodosilane being H 3 SiSi 2 H 3 l 2 ;
  • the Si-H containing iodosilane being (H 3 Si) 2 Si 2 H 2 l 2 ;
  • the Si-H containing iodosilane being H 3 GeSi 2 H3l 2 ;
  • the Si-H containing iodosilane being (H 3 Ge) 2 Si2HI 3 ;
  • the Si-H containing iodosilane being (H 3 Ge) 3 Si2HI 2 ;
  • Si-H containing iodosilane being (Me 2 HSi)2Si2H 2 l2;
  • Si-H containing iodosilane N(SiH 3 ) 2 (SiHI 2 );
  • each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group, provided that (a) at least one x, at least one y, and at least one z is 1 , and (b) that at least one Si is bonded to both H and I;
  • Si-H containing iodosilane N(SiMe 2 H) 2 (SiHI 2 );
  • Si-H containing iodosilanes have the formula (SiH m R n l 0 )2-CH 2 (3);
  • Si-H containing iodosilane having the formula (SiH x l y ) 2 CH 2 , wherein each x is independently 0 to 3, each y is independently 0 to 3, provided that at least one x and at least one y is 1 ;
  • Si-H containing iodosilane being (SiHI 2 )-CH 2 -(SiH 3 );
  • Si-containing film forming compositions comprising any of the Si-H containing iodosilanes listed above.
  • the disclosed Si-containing film forming compositions comprise one or more of the following aspects:
  • the Si-containing film forming composition comprising between approximately 99% v/v and approximately 100% v/v of one Si-H containing iodosilane;
  • the Si-containing film forming composition comprising between approximately 99.5% v/v and approximately 100% v/v of one Si-H containing iodosilane;
  • the Si-containing film forming composition comprising between approximately 99.97% v/v and approximately 100% v/v of one Si-H containing iodosilane; • the Si-containing film forming composition containing between approximately 0 ppbw and approximately 100 ppbw Cu;
  • the Si-containing film forming composition containing between approximately 0 ppbw and approximately 100 ppbw Ag;
  • the Si-containing film forming composition containing between approximately 0 ppbw and approximately 100 ppbw Sb;
  • the Si-containing film forming composition containing between approximately 0 ppbw and approximately 50 ppbw Ag;
  • the Si-containing film forming composition containing between approximately 0 ppbw and approximately 10 ppbw Sb.
  • a Si-containing film forming composition delivery device comprising a canister having an inlet conduit and an outlet conduit and containing any of the Si-containing film forming compositions disclosed above.
  • the disclosed device may include one or more of the following aspects:
  • the canister being light-resistant glass with a light resistant coating on an external surface of the canister; • an internal surface of the canister being aluminum oxide;
  • each barrier layer comprising a silicon oxide layer, silicon nitride layer, silicon oxynitride layer, silicon carbonitride, silicon oxycarbonitride layer, or combinations thereof;
  • each barrier layer is 1 to 100 nm in thickness
  • each barrier layer is 2 to 10 nm in thickness.
  • R groups independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group.
  • the two or three R 1 groups may, but need not be identical to each other or to R 2 or to R 3 .
  • values of R groups are independent of each other when used in different formulas.
  • hydrocarbyl group refers to a functional group containing carbon and hydrogen; the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen atoms.
  • the hydrocarbyl group may be saturated or unsaturated.
  • Either term refers to linear, branched, or cyclic groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, n-propyl groups, n-butyl groups, etc. Examples of branched alkyls groups include without limitation, iso-propyl, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
  • aryl refers to aromatic ring compounds where one hydrogen atom has been removed from the ring.
  • heterocycle refers to a cyclic compound that has atoms of at least two different elements as members of its ring.
  • Me refers to a methyl group
  • Et refers to an ethyl group
  • Pr refers to any propyl group (i.e. , n-propyl or isopropyl);
  • iPr refers to an isopropyl group
  • Bu refers to any butyl group (n-butyl, iso-butyl, t-butyl, sec-butyl);
  • tBu refers to a tert-butyl group;
  • sBu refers to a sec-butyl group;
  • iBu refers to an iso-butyl group;
  • Ph refers to a phenyl group;
  • Am refers to any amyl group (iso- amyl, sec-amyl, tert-amyl); and the abbreviation "Cy” refers
  • HCDS hexachlorodisilane
  • PCDS pentachlorodisilane
  • OCTS n- octyltrimethoxysilane
  • TSA trisilylamine or N(SiH 3 ) 3 .
  • silane means a molecule containing at least one Si-I bond, irrespective of other bonds on the Si or of the molecule backbone. More generally, “halosilane” means a molecule containing at least one Si-X containing bond, wherein X is a halogen atom, irrespective of other bonds on the Si or of the molecule backbone,
  • Si-H containing means a molecule containing at least one Si-H bond, irrespective of other bonds on the Si or of the molecule backbone.
  • coordinating solvent means any solvent that donates a pair of electrons, such as solvents containing OH or H 3 groups.
  • Exemplary coordinating solvents include amines, phosphines, ethers, and ketones.
  • LCD-TFT liquid-crystal display-thin- film transistor
  • MIM Metal-insulator-metal
  • DRAM dynamic random-access memory
  • FeRAM Ferroelectric random-access memory
  • seem stands for standard cubic centimeter per minute
  • GCMS Gas
  • FIG. 1 is a schematic diagram of an apparatus in which the disclosed synthesis methods may be performed
  • FIG. 2 is a schematic diagram of an alternative apparatus in which the disclosed synthesis methods may be performed
  • FIG. 3 is a side cross-section view of one embodiment of the Si-containing film forming composition delivery device 1 ;
  • FIG. 4 is a side cross-section view of a second embodiment of the Si- containing film forming composition delivery device 1 ;
  • FIG. 5 is a side cross-section view of an exemplary embodiment of a solid precursor sublimator 100 for subliming solid Si-containing film forming compositions
  • FIG. 6 is a Gas Chromatographic/Mass Spectrometric (GC/MS) graph of the SiH 2 l2 reaction product of Example 5.
  • FIG. 7 is a GC/MS graph of the reaction mixture of Example 7 after 90 minutes stirring.
  • these silicon hydrides are susceptible to nucleophilic attack of the silicon hydride from coordinating solvents. See, e.g., Keinan et al., J. Org. Chem. 1987, 52, 4846-4851 (demonstrating catalytic
  • TMS-I trimethylsilyl iodide
  • a suitable solvent such as chloroform or acetonitrile.
  • TMS-CI trimethylsilyl chloride
  • sodium iodide salts have some solubility in these solvents, whereas the byproduct sodium chloride does not.
  • the precipitation of the byproduct sodium chloride contributes to the driving force of the reaction.
  • N(SiH 3 ) 2 (SiH 2 l)), or (SiH m R n lo) 2 -CH 2 may be susceptible to halogen scrambling and side reactions due to the reactivity of the Si-H bond.
  • a coordinating solvent may exacerbate such halogen scrambling and side reactions.
  • the reaction between dichlorosilane (DCS) and lithium iodide produces diiodosilane in the absence of a solvent at ambient temperature (see infra Example 3).
  • Non- coordinating solvents ⁇ e.g., n-pentane and chloroform
  • Non-coordinating solvents may also promote the reaction by improved mixing ⁇ i.e. dilution of the reaction mass) and suppression of side reaction (heat exchange medium).
  • Suitable non-coordinating solvents include hydrocarbons, such as pentanes, hexanes, cyclohexane, heptanes, octanes, benzene, toluene, and chlorinated aliphatic hydrocarbons, such as chloromethane, dichloromethane, chloroform, carbon tetrachloride, acetonitrile, etc.
  • the solvent should be selected to have a sufficient difference in boiling point with the target product, such boiling point difference being typically > 20°C, and preferably > 40°C.
  • Exemplary Si-H containing iodosilane reaction products include, but are not limited to:
  • each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group, such as MeSiHI 2 , MeSiH 2 l, Me 2 SiHI, EtSiHI 2 , EtSiH 2 l, Et 2 SiHI, CISiHI 2 , CISiH 2 l, CI 2 SiHI, BrSiHI 2 , BrSiH 2 l, Brl 2 SiHI, H 3 SiSiHI 2 , H 3 SiSiH 2 l, (H 3 Si) 2 SiHI, H 3 GeSiHI 2 , H 3 GeSiH 2 l, (H 3 Ge) 2 SiHI, Me 3 SiSiHI 2 , Me 3 SiSiH
  • each x is independently 0 to 3 and each y is independently 0 to 3, provided that at least one x and at least one y is 1 , such as
  • each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group, provided that (a) at least one x, at least one y, and at least one z is 1 , and (b) that at least one Si is bonded to both H and I, such as N(SiH 3 ) 2 (SiMeHI), N(SiH 2 Me) 2 (SiMeHI), N(SiHMe 2 ) 2 (SiMeHI),
  • SiH x l y 2 CH 2 , wherein each x is independently 0 to 3, each y is independently 0 to 3, provided that at least one x and at least one y is 1 , such as (SiH 2 l) 2 - CH 2 , (SiHI 2 ) 2 -CH 2 , (SiH 2 l)-CH 2 -(SiH 3 ), (SiHI 2 )-CH 2 -(SiH 3 ), or (SiH 2 l)-CH 2 - (SiHI 2 ).
  • the Si-H containing iodosilanes are synthesized by reacting the corresponding halosilane with an alkali metal halide, as shown:
  • the alkali metal salts i.e., Ml
  • Ml may be used in excess or in deficient quantities depending on the degree of halogen exchange desired.
  • the salt driven reactions dictate what reagents to use.
  • lithium iodide and lithium chloride exhibit little to no solubility in hydrocarbons or fluorocarbons.
  • the reaction of SiCI 2 H 2 with two moles of lithium iodide in an aliphatic, aromatic, or chlorinated hydrocarbon will form Sil 2 H 2 and two moles of lithium chloride as the main product and salt byproduct, respectively.
  • Both Lil and LiCI remain as solids during this reaction. Li and CI form a hard acid/base pair, whereas Lil has a hard/soft acid/base mismatch.
  • formation of the insoluble LiCI may provide the driving force for the reaction.
  • the formation of SiH 2 l 2 itself may partially solubilize Lil and help drive the reaction.
  • alkali metal salts such as sodium iodide (Nal) are useful in some cases for the preparation of halogen exchange products.
  • Nal is less reactive than lithium iodide in a comparable solvent and would typically require a coordinating solvent for any reaction to proceed at industrially relevant reaction rates, provided that the coordinating solvent is selected to minimize adverse impact on product synthesis and/or yield.
  • One of ordinary skill in the art will recognize that competition between the Si-Si bond cleavage and halogen exchange may require the use of the less reactive Nal or an alternative alkali metal halide, and/or alternative solvents.
  • Product yield may be further maximized by optimizing reaction parameters, such as removing any salt byproducts as the reaction proceeds to further prevent halogen scrambling and side reactions.
  • the halosilane and alkali metal halide reactants may be commercially available.
  • the halosilane reactant may be synthesized by reducing the corresponding fully halogenated silane (i.e. , Si x R y X2x+2-y, N(SiR b X3-b)3, or (SiR n X3 -n )2- CH 2 ) with a standard reducing agent such as Lithium Aluminum Hydride (e.g. , LiAIH 4 ), NaBH 4 , etc.
  • the halosilane reactant may be synthesized by reducing the corresponding fully halogenated silane (i.e. , Si x R y X2x+2-y, N(SiR b X3-b)3, or (SiR n X3 -n )2- CH 2 ) with a standard reducing agent such as Lithium Aluminum Hydride (e.g. , LiAIH 4 ), NaBH 4 , etc
  • reactants having a larger surface area provide more reaction sites and therefore more efficient reactions.
  • a finer grain powder typically provides more reaction sites than solid beads or chunks.
  • the water content of the reactants and any solvents should be minimized to prevent formation of siloxane by-products (i.e., Si-O-Si).
  • the water content range from approximately 0% w/w to approximately 0.001 % w/w (10 ppmw). If necessary, the reactants may be dried prior to synthesis using standard
  • anhydrous Lil may be produced by baking at 325°C under vacuum for 8+ hours).
  • the reaction vessel is made of, lined with, or treated to be a material that is compatible with the reactants and products.
  • Exemplary materials include passivated stainless steel, glass, perfluoroalkoxy alkanes (PFA), and polytetrafluoroethylene (PTFE).
  • the vessel may be jacketed or placed in a heating or cooling bath.
  • the reaction vessel may include a stirring mechanism made of a compatible material, such as glass stirring shafts, PTFE paddle stirrers, and/or PTFE coated stainless steel impellers.
  • the reaction vessel may also be equipped with multiple "injection ports,” pressure gauges, diaphragm valves.
  • the reaction vessel is designed to perform the synthesis under an inert atmosphere, such as N 2 or a noble gas.
  • Precautions to minimize the exposure of the reactants and reaction mixture to light may also be taken, such as covering any clear glassware in tin foil.
  • amber colored glassware is not suitable because the iron oxide coating may contaminate the product.
  • the reaction vessel, stirring mechanism, and any other associated equipment, such as a Schlenk line or glovebox should be air- and moisture-free using standard drying techniques, such as vacuum, inert gas flow, oven drying, etc.
  • a high purity reaction vessel is typically a vessel that is compatible with the Si-H containing iodosilane, and that is free of impurities that may react with the Si-H containing iodosilane or contaminate it.
  • Typical examples of such high purity vessels are stainless steel canisters, having low surface roughness and mirror finish. The low surface roughness and mirror finish is typically obtained by mechanical polishing and optionally by additional electropolishing.
  • the high purity is typically obtained by treatments that include (a) cleaning steps using dilute acids (HF, HNO3), followed by (b) a rinsing with high purity de-ionized water to ensure the complete removal of traces of the acid, followed by (c) drying of the vessel.
  • the deionized water (DIW) rinsing is typically carried out until the resistivity of the rinsing water reaches 100 pS/cm, and preferably below 25 S/cm.
  • the drying step may comprise purge steps using an inert gas such as He, N 2 , Ar, (preferably N 2 or Ar), vacuum steps during which the pressure in the vessel is reduced to accelerate outgassing from the surface, heating of the vessel, or any combination thereof.
  • the gas used for the purging should be of semiconductor grade, i.e. free of contaminants such as trace moisture and oxygen ( ⁇ 1 ppm, preferably ⁇ 10 ppb), and particles ( ⁇ 5 particles per litre @ 0.5 pm).
  • the drying step may comprise alternate sequences of purges during which a certain flow of gas is flown through the vessel, and vacuuming steps. Alternatively, the drying step can be carried out by constantly flowing a purge gas while maintaining a low pressure in the vessel. The efficiency and end point of the canister drying can be assessed by measuring the trace H 2 0 level in the gas coming out from the vessel. With an inlet gas having less than 10 ppb H 2 0, the outlet gas should have a moisture content ranging from approximately 0 ppm to approximately 10 ppm, preferably ranging from
  • heating the vessel is known to accelerate the dry down and vessels are typically maintained at a temperature ranging from approximately 40°C to
  • the vessel may have an internal coating or platings to further reduce the risk of corrosion of or improve the stability of the product in the vessel.
  • exemplary coatings include those provided by Silcotek (https://www.silcotek.com) or disclosed in U.S. Pat. App. Pub. No. 2016/046408.
  • the vessel may also be passivated by exposure to a silylating agent such as silane, disilane,
  • the components are preferably made of corrosion resistant materials, such as glass, glass-lined steel, or steel with corrosion resistant liners, etc.
  • the air- and moisture-free high purity reactor is charged with the alkali metal halide.
  • An optional solvent that does not decompose or react with the final product may be added as a heat exchange medium and/or an aid in mixing and/or product extraction prior to or after addition of the alkali metal halide.
  • Exemplary solvents include C3-C20 alkanes, such as propane, butane, pentane, etc., or chlorinated hydrocarbons, such as chloromethane, dichloromethane, chloroform, carbon tetrachloride, etc., and mixtures thereof.
  • the desired Si-H containing iodosilane may also be used as a solvent.
  • the alkali metal halide salt may be soluble in the solvent.
  • salt solubility may not be a critical factor.
  • lithium iodide in pentane reacts with dichlorosilane in a solid-liquid reaction.
  • the reaction mixture may be stirred to promote contact between the reactants.
  • the reaction may proceed without the use of a solvent, as illustrated in Example 3 infra.
  • the halosilane may be added to the reactor through the headspace or via subsurface addition as a gas, liquid (condensed), or in a solution.
  • the halosilane may be in gas form and added to the headspace above the lithium iodide/solvent mixture.
  • the gas form of the halosilane may condensed using a condenser and added directly to the lithium iodide/solvent mixture.
  • the liquid form of the halosilane may be added from the top of the reactor using a conduit piped to the reactor.
  • the gaseous or liquid form may be added below the surface of the iodine/solvent mixture using a reactor equipped with a dip tube plunged inside the salt/solvent mixture. In the examples that follow, condensation of dichlorosilane was done to facilitate a more rapid reagent transfer.
  • the halosilane may be added in excess, stoichiometric, or sub-stoichiometric amounts, depending upon which product distribution is desired.
  • An excess amount of halosilane versus the metal iodide salt will lead to a partial substitution of the halides by iodine on the halosilane, and allow the formation of Si w H x R y l z compounds, wherein at least one R is CI or Br.
  • the halosilane may be added to the reactor prior to the addition of the alkali metal halide.
  • the addition mechanisms for the halosilane and alkali metal halide described above remain the same whether the reactant is added to the reactor first or second.
  • the halosilane/alkali metal halide mixture may be stirred to promote contact between the reactants.
  • the reaction may be exothermic.
  • the reaction mixture is stirred for a sufficient time to permit the reaction to move to completion at ambient temperature (i.e., approximately 20°C to
  • substitutions may require a higher reaction temperature than a halosilane having no hydrocarbyl substituents because of the steric hindrance produced by the
  • the progress of the reaction may be monitored using, for example, gas chromatography or in-situ probes such as FTIR or RAMAN probes, which are commercially available.
  • gas chromatography or in-situ probes such as FTIR or RAMAN probes, which are commercially available.
  • the SiH 2 l2 reaction mixture may include the SiH 2 l2 reaction product, the LiCI reaction byproduct, some residual S1CI2H2 and/or Lil reactants, solvent, and the CISiH 2 l intermediary reaction product.
  • the stirred mixture may be filtered to remove any solid impurities and the salt byproduct.
  • Typical filters include glass or polymer fritted filters.
  • the stirred mixture may be filtered to remove solid byproducts prior to further processing.
  • a filtration agent such as anhydrous diatomaceous earth may be employed to improve the process.
  • Typical filters include glass or polymer frit filters.
  • the filtrate may need further processing.
  • the filtrate may then be distilled over a short path column to yield the Si-H containing iodosilane through a flash distillation process that removes some or all of the non-desired reaction byproducts or impurities.
  • the Si-H containing iodosilane reaction product may be isolated from the filtrate through a distillation column or by heating the filtrate to approximately the boiling point of the non-organic silicon hydride reaction product.
  • both the flash process and the distillation column may be necessary.
  • the boiling point of the warmed stirred mixture will change as the Si-H containing iodosilane reaction product is isolated from the warmed stirred mixture and adjust the recovery temperature accordingly. Any unreacted halosilane may be vented through a distillation column as it tends to be more volatile than the product obtained, owing to the high mass of iodine vs Br or CI. One of ordinary skill in the art will recognize that the vented halosilane may be recovered for later use or disposal.
  • the disclosed methods may convert approximately 40% mol/mol to
  • the isolated Si-H containing iodosilane reaction product has a purity typically ranging from approximately 50% mol/mol to approximately 99% mol/mol.
  • the Si-H containing iodosilane reaction product may be further purified by distillation, sublimation, or re-crystallization. Suitable distillation methods include atmospheric fractional distillation or batch fractional distillation or vacuum fractional distillation. The batch fractional distillation may be performed at low temperature and pressure. Alternatively, the Si-H containing iodosilane reaction product may be purified by continuous distillation over two distillation columns to separate the Si-H containing iodosilane reaction product from both low and high boiling impurities in sequential steps. The purified Si-H containing iodosilane reaction products may be used as Si-containing film forming compositions.
  • the Si-containing film forming composition has a purity ranging from
  • the Si-containing film forming compositions preferably comprise between the detection limit and 100 ppbw of each potential metal contaminant (e.g., at least Ag, Al, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K, Na, Sb, Ti, Zn, etc.).
  • each potential metal contaminant e.g., at least Ag, Al, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K, Na, Sb, Ti, Zn, etc.
  • the purified product may be analyzed by gas chromatography mass spectrometry (GCMS).
  • GCMS gas chromatography mass spectrometry
  • the structure of the product may be confirmed by 1 H, 13 C and/or 29 Si NMR.
  • the Si-containing film forming composition must be stored in a clean dry storage vessel with which it does not react in order to maintain its purity.
  • FIG 1 is an exemplary system suitable to perform the disclosed methods.
  • Air may be removed from various parts of the system (e.g., reactor 1 , vessel 8, boiler 6) by an inert gas 9, such as nitrogen, argon, etc.
  • the inert gas 9 may also serve to pressurize a solvent 11 to permit its delivery to reactor 1.
  • Nitrogen, refrigerated ethanol, an acetone/dry ice mixture, or heat transfer agents such as monoethylene glycol (MEG) may be used to cool various parts of the system (e.g., reactor 1 , distillation column 27, condenser 57).
  • MEG monoethylene glycol
  • the reactor 1 may be maintained at the desired temperature by jacket 2.
  • the jacket 2 has an inlet 21 and an outlet 22.
  • Inlet 21 and outlet 22 may be connected to a heat exchanger/chiller 23 and/or pump (not shown) to provide recirculation of the cooling fluid.
  • jacket 2 may not require inlet 21 and outlet 22 because the thermal fluid may be sufficiently cold for the duration of the reaction.
  • jacketed temperature control may not be necessary and these four components removed from the system (i.e., 2, 21 , 22 and 23).
  • the reactants (solvent, such as pentane, stored in vessel 11 and halosilane, such as ethyldichlorosilane, stored in vessel 24) are added to reactor 1 via lines 14 and 25, respectively.
  • the solvent and halosilane may be added to the reactor 1 via a liquid metering pump (not shown), such as a diaphragm pump, peristaltic pump, or syringe pump.
  • the alkali metal halide, such as Lil, stored in vessel 13, may be added to the reactor 1 via gravity flow, or suspended in a solvent compatible with the Si-H containing iodosilane reaction product and introduced into the reactor in a manner analogous to that of the solvent and halosilane (i.e., via line 16).
  • the reactants may be mixed in the reactor by an impeller 17a turned by motor 17b to form mixture 26.
  • the mixing is performed under an inert atmosphere at approximately atmospheric pressure.
  • a temperature sensor (not shown) may be used to monitor the temperature of the contents of the reactor 1.
  • the progress of the reaction may be monitored using, for example, gas chromatography.
  • the mixture 26 may be removed from reactor 1 via drain 19 through filter 3 to container 4.
  • the predominant reaction products are ethyldiiodosilane (EtSiHI 2 ), a liquid at standard temperature and pressure, and LiCI, a solid at standard
  • reactor 1 will most likely be located above filter 3 to best use the benefits of gravity.
  • the filtered stirred mixture (filtrate)(not shown) may be collected in containers (not shown) and transported to a new location prior to performance of the next process steps.
  • the filtrate may immediately be directed to a still pot 4 to isolate the reaction product from the filtrate using heater 28.
  • the filtrate is warmed by heater 28. The heat forces the volatile solvent through distillation column 27 and vent 43. Subsequently, the isolated reaction product is collected in vessel 8.
  • vessel 8 may be transported to a new location prior to
  • the isolated reaction product may be transferred from vessel 8 to boiler 6 for further purification, if necessary. Boiler 6 is heated by heater 29. The isolated reaction product is purified by fractional distillation using distillation tower 53, condenser 57, and reflux divider 54. The purified reaction product is collected in collection tank 7. Collection tank 7 includes vent 60.
  • FIG 2 is an alternate exemplary system suitable to perform the disclosed methods.
  • reactor 1 also serves as the still pot 4 of FIG 1.
  • This embodiment may be useful for synthesis of large batches of Si-H containing iodisilanes.
  • the cooling medium (not shown) in jacket 2 is replaced by a heating medium (not shown).
  • a heating medium not shown.
  • replacement of the cooling medium will not be necessary if the cooling medium is also capable of acting as both a heating and cooling medium (e.g., MEG). Instead, the temperature of the medium may be changed via, for example, heat exchanger 23.
  • the volatile solvent may be separated from the mixture 26 through distillation column 27 and vent 43. Subsequently, Si-H containing iodosilane is collected in vessel 8. The remaining solvent/salt mixture may be removed from reactor 1 via drain 19 with the salt collected on filter 3. Once again, vessel 8 may be transported to a new location prior to performance of the next process steps.
  • Si-H containing iodosilane
  • containing iodosilane may be transferred from vessel 8 to boiler 6 for further purification, if necessary.
  • Boiler 6 is heated by heater 29.
  • the Si-H containing iodosilane is purified by fractional distillation using distillation tower 53, condenser 57, and reflux divider 54.
  • the purified Si-H containing iodosilane is collected in collection tank 7.
  • Collection tank 7 includes vent 60.
  • the reaction may also be performed in a continuous reactor by feeding the halosilane, possibly diluted in a solvent, together with the metal iodide, possibly suspended in a solvent, and passing them at a controlled residence time and temperatures in a flow through reactor.
  • the flow of each reagent may be controlled by metering pumps such as peristaltic pumps.
  • the reaction mixture may then be collected in a receiving vessel, and separated as in the batch synthesis example above.
  • the solid fraction may be removed in line, using for instance a centrifuge pump (commercially available).
  • the product may also be separated from the solvent(s) by continuously feeding the filtered fraction to a continuous distillation unit.
  • the process may be solventless;
  • the disclosed methods provide for the use of the Si-containing film forming compositions for deposition of silicon-containing films.
  • the disclosed methods may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices.
  • the method includes: introducing the vapor of the disclosed Si-containing film forming compositions into a reactor having a substrate disposed therein and depositing at least part of the disclosed Si-H containing iodosilane onto the substrate via a deposition process to form a Si- containing layer.
  • the disclosed methods also provide for forming a bimetal-containing layer on a substrate using a vapor deposition process and, more particularly, for deposition of SiMOx or SiMNx films, wherein x may be 0-4 and M is Ta, Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, lanthanides (such as Er), or combinations thereof.
  • the disclosed methods of forming silicon-containing layers on substrates may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices.
  • the disclosed Si-H containing iodosilanes may deposit Si-containing films using any vapor deposition methods known in the art. Examples of suitable vapor deposition methods include chemical vapor deposition (CVD) or atomic layer deposition (ALD).
  • Exemplary CVD methods include thermal CVD, plasma enhanced CVD (PECVD), pulsed CVD (PCVD), low pressure CVD (LPCVD), sub-atmospheric CVD (SACVD) or atmospheric pressure CVD (APCVD), flowable CVD (f-CVD), metal organic chemical vapor deposition (MOCVD), hot-wire CVD (HWCVD, also known as cat-CVD, in which a hot wire serves as an energy source for the deposition process), radicals incorporated CVD, and combinations thereof.
  • Exemplary ALD methods include thermal ALD, plasma enhanced ALD (PEALD), spatial isolation ALD, hotwire ALD (HWALD), radicals incorporated ALD, and combinations thereof.
  • Super critical fluid deposition may also be used.
  • the deposition method is preferably ALD, spatial ALD, or PE-ALD in order to provide suitable step coverage and film thickness control.
  • the vapor of the Si-containing film forming composition is introduced into a reaction chamber containing a substrate.
  • the temperature and the pressure within the reaction chamber and the temperature of the substrate are held at conditions suitable for vapor deposition of at least part of the Si-H containing iodosilane onto the substrate.
  • conditions within the chamber are such that at least part of the vaporized precursor is deposited onto the substrate to form the silicon-containing film.
  • a co- reactant may also be used to help in formation of the Si-containing layer.
  • the reaction chamber may be any enclosure or chamber of a device in which deposition methods take place, such as, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other such types of deposition systems. All of these exemplary reaction chambers are capable of serving as an ALD reaction chamber.
  • the reaction chamber may be maintained at a pressure ranging from about 0.5 mTorr to about 760 Torr.
  • the temperature within the reaction chamber may range from about 20°C to about 700°C.
  • the temperature may be optimized through mere experimentation to achieve the desired result.
  • the temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art.
  • the reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with desired physical state and composition.
  • a non-limiting exemplary temperature range to which the reactor wall may be heated includes from approximately 20°C to approximately 700°C. When a plasma deposition process is utilized, the deposition temperature may range from approximately 20°C to approximately 550°C.
  • the deposition temperature may range from approximately 300°C to approximately 700°C.
  • the substrate may be heated to a sufficient temperature to obtain the desired silicon-containing film at a sufficient growth rate and with desired physical state and composition.
  • a non-limiting exemplary temperature range to which the substrate may be heated includes from 150°C to 700°C.
  • the temperature of the substrate remains less than or equal to 500°C.
  • a substrate is generally defined as the material on which a process is conducted.
  • the substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing.
  • suitable substrates include wafers, such as silicon, silica, glass, Ge, or GaAs wafers.
  • the wafer may have one or more layers of differing materials deposited on it from a previous manufacturing step.
  • the wafers may include silicon layers (crystalline, amorphous, porous, etc.), silicon oxide layers, silicon nitride layers, silicon oxy nitride layers, carbon doped silicon oxide (SiCOH) layers, or combinations thereof.
  • the wafers may include copper layers, tungsten layers or metal layers (e.g. platinum, palladium, nickel, rhodium, or gold).
  • the wafers may include barrier layers, such as manganese, manganese oxide, tantalum, tantalum nitride, etc.
  • the layers may be planar or patterned.
  • the substrate may be coated with a patterned photoresist film.
  • the substrate may include layers of oxides which are used as dielectric materials in MIM, DRAM, or FeRam technologies (for example, ZrO2 based materials, HfO2 based materials, T1O2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or from nitride- based films (for example, TaN) that are used as electromigration barrier and adhesion layer between copper and the low-k layer.
  • the disclosed processes may deposit the silicon-containing layer directly on the wafer or directly on one or more than one (when patterned layers form the substrate) of the layers on top of the wafer.
  • film or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line.
  • the wafer and any associated layers thereon are referred to as
  • substrates The actual substrate utilized may also depend upon the specific precursor embodiment utilized. In many instances though, the preferred substrate utilized will be selected from hydrogenated carbon, TiN, SRO, Ru, and Si type substrates, such as polysilicon or crystalline silicon substrates.
  • the substrate may be patterned to include vias or trenches having high aspect ratios.
  • a conformal Si-containing film such as S1O2
  • TSV through silicon via
  • the Si-containing film forming compositions may be supplied neat.
  • the Si-containing film forming compositions may further comprise a solvent suitable for use in vapor deposition.
  • the solvent may be selected from, among others, C1 -C16 saturated or unsaturated hydrocarbons.
  • the Si-containing film forming compositions are introduced into a reactor in vapor form by conventional means, such as tubing and/or flow meters.
  • the vapor form may be produced by vaporizing the Si-containing film forming compositions through a conventional vaporization step such as direct liquid injection, direct vapor draw in the absence of a carrier gas, by bubbling a carrier gas through the liquid, by evaporating vapors in a carrier gas without bubbling through the liquid, or when the precursor is solid at room temperature, by using a sublimator such as the one disclosed in PCT Publication WO2009/087609 to Xu et al.
  • the Si- containing film forming compositions may be fed in liquid state to a vaporizer (Direct Liquid Injection) where it is vaporized and mixed with a carrier gas before it is introduced into the reactor.
  • a vaporizer Direct Liquid Injection
  • the Si-containing film forming compositions may be vaporized by passing a carrier gas into a container containing the
  • the carrier gas may include, but is not limited to, Ar, He, or N 2 , and mixtures thereof.
  • the carrier gas and composition are then introduced into the reactor as a vapor.
  • the Si-containing film forming compositions may be delivered to the reactor or vapor deposition chamber by the Si-containing film forming composition delivery devices of FIGS 3-5, which show three exemplary embodiments of Si-containing film forming composition delivery devices. As discussed in detail above and illustrated in the examples that follow, the delivery devices must be clean and dry and made of a material with which the Si-H containing film forming composition does not react.
  • FIG 3 is a side view of one embodiment of the Si-containing film forming composition reactant delivery device 101.
  • the disclosed Si-containing film forming compositions 110 are contained within a container 200 having two conduits, an inlet conduit 300 and an outlet conduit 400.
  • the container 200, inlet conduit 300, and outlet conduit 400 are manufactured to prevent the escape of the gaseous form of the Si-containing film forming composition 110, even at elevated temperature and pressure.
  • the outlet conduit 400 of the delivery device 101 fluidly connects to the reactor (not shown) or other components between the delivery device and the reactor, such as a gas cabinet, via valve 700.
  • the container 200, inlet conduit 300, valve 600, outlet conduit 400, and valve 700 are made of passivated 316L EP or 304 passivated stainless steel.
  • passivated 316L EP or 304 passivated stainless steel may also be used in the teachings herein.
  • the end 800 of inlet conduit 300 is located above the surface of the Si-containing film forming composition 110, whereas the end 900 of the outlet conduit 400 is located below the surface of the Si-containing film forming
  • the Si-containing film forming composition 110 is preferably in liquid form.
  • An inert gas including but not limited to nitrogen, argon, helium, and mixtures thereof, may be introduced into the inlet conduit 300.
  • the inert gas pressurizes the delivery device 200 so that the liquid Si-containing film forming composition 110 is forced through the outlet conduit 400 and to the reactor (not shown).
  • the reactor may include a vaporizer which transforms the liquid Si- containing film forming composition 110 into a vapor, with or without the use of a carrier gas such as helium, argon, nitrogen or mixtures thereof, in order to deliver the vapor to the substrate on which the film will be formed.
  • the liquid Si- containing film forming composition 110 may be delivered directly to the wafer surface as a jet or aerosol.
  • FIG 4 is a side view of a second embodiment of the Si-containing film forming composition delivery device 101.
  • the end 800 of inlet conduit 300 is located below the surface of the Si-containing film forming composition 110, whereas the end 900 of the outlet conduit 400 is located above the surface of the Si- containing film forming composition 110.
  • FIG 2 also includes an optional heating element 140, which may increase the temperature of the Si-containing film forming composition 110.
  • the Si-containing film forming composition 110 may be in solid or liquid form.
  • An inert gas including but not limited to nitrogen, argon, helium, and mixtures thereof, is introduced into the inlet conduit 300.
  • FIGS 3 and 4 include valves 600 and 700.
  • valves 600 and 700 may be placed in an open or closed position to allow flow through conduits 300 and 400, respectively.
  • Either delivery device 101 in FIGS 3 and 4, or a simpler delivery device having a single conduit terminating above the surface of any solid or liquid present, may be used if the Si-containing film forming composition 110 is in vapor form or if sufficient vapor pressure is present above the solid/liquid phase.
  • the Si-containing film forming composition 110 is delivered in vapor form through the conduit 300 or 400 simply by opening the valve 600 in FIG 3 or 7 in FIG 4.
  • the delivery device 101 may be maintained at a suitable temperature to provide sufficient vapor pressure for the Si-containing film forming composition 110 to be delivered in vapor form, for example by the use of an optional heating element 140.
  • FIGS 3 and 4 disclose two embodiments of the Si-containing film forming composition delivery device 101
  • inlet conduit 300 and outlet conduit 400 may also both be located above or below the surface of the Si-containing film forming composition 110 without departing from the disclosure herein.
  • inlet conduit 300 may be a filling port.
  • FIG 5 shows one embodiment of an exemplary sublimator 100.
  • the sublimator 100 comprises a container 33.
  • Container 33 may be a cylindrical container, or alternatively, may be any shape, without limitation.
  • the container 33 is constructed of materials such as passivated stainless steel, aluminum oxide, glass, and other chemically compatible materials, without limitation.
  • the container 33 is constructed of another metal or metal alloy, without limitation.
  • the container 33 has an internal diameter from about 8 centimeters to about 55 centimeters and, alternatively, an internal diameter from about 8 centimeters to about 30 centimeters. As understood by one skilled in the art, alternate configurations may have different dimensions.
  • Container 33 comprises a sealable top 15, sealing member 18, and gasket 20.
  • Sealable top 15 is configured to seal container 33 from the outer environment. Sealable top 15 is configured to allow access to the container 33. Additionally, sealable top 15 is configured for passage of conduits into container 33. Alternatively, sealable top 15 is configured to permit fluid flow into container 33. Sealable top 15 is configured to receive and pass through a conduit comprising a dip tube 92 to remain in fluid contact with container 33. Dip tube 92 having a control valve 90 and a fitting 95 is configured for flowing carrier gas into container 33. In certain instances, dip tube 92 extends down the center axis of container 33. Further, sealable top 15 is configured to receive and pass through a conduit comprising outlet tube 12.
  • Outlet tube 12 comprises a control valve 10 and fitting 5.
  • outlet tube 12 is fluidly coupled to a gas delivery manifold, for conducting carrier gas from the sublimator 100 to the reactor.
  • Container 33 and sealable top 15 are sealed by at least two sealing members 18; alternatively, by at least about four sealing members.
  • sealable top 15 is sealed to container 33 by at least about eight sealing members 18.
  • sealing member 18 releasably couples sealable top 15 to container 33, and forms a gas resistant seal with gasket 20.
  • Sealing member 18 may comprise any suitable means known to one skilled in the art for sealing container 33. In certain instances, sealing member 18 comprises a thumbscrew.
  • container 33 further comprises at least one disk disposed therein.
  • the disk comprises a shelf, or horizontal support, for solid material.
  • an interior disk 30 is disposed annularly within the container 33, such that the disk 30 includes an outer diameter or circumference that is less than the inner diameter or circumference of the container 33, forming an opening 31.
  • An exterior disk 86 is disposed circumferentially within the container 33, such that the disk 86 comprises an outer diameter or circumference that is the same, about the same, or generally coincides with the inner diameter of the container 33. Exterior disk 86 forms an opening 87 disposed at the center of the disk.
  • a plurality of disks is disposed within container 33.
  • the disks are stacked in an alternating fashion, wherein interior disks 30, 34, 36, 44 are vertically stacked within the container with alternating exterior disks 62, 78, 82, 86.
  • interior disks 30, 34, 36, 44 extend annularly outward, and exterior disks 62, 78, 82, 86 extend annularly toward the center of container 33.
  • interior disks 30, 34, 36, 44 are not in physical contact with exterior disks 62, 78, 82, 86
  • the assembled sublimator 100 comprises interior disks 30, 34, 36, 44
  • the sublimator comprises exterior disks 62, 78, 82, 86. As illustrated in FIG 3, the exterior disks 62, 78, 82, 86 should be tightly fit into the container 33 for a good contact for conducting heat from the container 33 to the disks 62, 78, 82, 86.
  • the exterior disks 62, 78, 82, 86 are coupled to, or in physical contact with, the inner wall of the container 33.
  • exterior disks 62, 78, 82, 86 and interior disks 30, 34, 36, 44 are stacked inside the container 33.
  • the interior disks 30, 34, 36, 44 form outer gas passages 31 , 35, 37, 45 between the assembled exterior disks 62, 78, 82, 86.
  • exterior disks 62, 78, 82, 86 form inner gas passages 56, 79, 83, 87 with the support legs of the interior disks 30, 34, 36, 44.
  • the walls 40, 41 , 42 of interior disks 30, 34, 36, 44 form the grooved slots for holding solid precursors.
  • Exterior disks 62, 78, 82, 86 comprise walls 68, 69, 70 for holding solid precursors. During assembly, the solid precursors are loaded into the annular slots 47, 48, 49 of interior disks 30, 34, 36, 44 and annular slots 64, 65, 66 of exterior disks 62, 78, 82, 86.
  • Solid powders and/or granular particles of sizes less than about 1 centimeter, alternatively less than about 0.5 centimeter, and alternatively less than about 0.1 centimeter are loaded into the annular slots 47, 48, 49 of interior disks 30, 34, 36, 44 and annular slots 64, 65, 66 of exterior disks 62, 78, 82, 86.
  • the solid precursors are loaded into the annular slots of each disk by any method suitable for uniform distribution of solid in the annular slots. Suitable methods include direct pour, using a scoop, using a funnel, automated measured delivery, and pressurized delivery, without limitation. Depending on the chemical properties of the solid precursor materials, loading may be conducted in a sealed environment.
  • inert gas atmosphere and/or pressurization in a sealed box may be implemented for those toxic, volatile, oxidizable, and/or air sensitive solids.
  • Each disk could be loaded after setting the disk in the container 33.
  • a more preferred procedure is to load the solid prior to setting the disk into container 33.
  • the total weight of solid precursor loaded into the sublimator may be recorded by weighing the sublimator before and after loading process. Further, consumed solid precursor may be calculated by weighing the sublimator after the vaporization and deposition process.
  • Dip tube 92 having the control valve 90 and the fitting 95, is positioned in the center passage 51 of the aligned and coupled support legs of the interior disks 30, 34, 36, 44.
  • dip tube 92 passes through interior passage 51 vertically toward bottom 58 of container 33.
  • the dip tube end 55 is disposed proximal to the bottom 58 of container at/or above the gas windows 52.
  • Gas windows 52 are disposed in bottom interior disk 44.
  • the gas windows 52 are configured to allow carrier gas flow out of the dip tube 92.
  • a gas passageway 59 is formed by the bottom surface 58 of the container 33, and the bottom interior disk 44. In certain instances, gas passageway 59 is configured to heat carrier gas.
  • the carrier gas is preheated prior to introduction into the container 33 via dip tube 92.
  • the carrier gas can be heated while it flows through the gas passageway 59 by the bottom surface 58.
  • Bottom surface 58 is thermally coupled and/or heated by an external heater consistently with the teachings herein.
  • the carrier gas then passes through the gas passageway 45 that is formed by the outer wall 42 of the interior disk 44 and the outside wall 61 of the exterior disk 62.
  • the gas passageway 45 leads to the top of the interior disk 44.
  • the carrier gas continuously flows over the top of the solid precursors loaded into the annular slots 47, 48, and 49. Sublimed solid vapor from annular slots 47, 48, 49 is mixed with carrier gas and is flowed vertically upward through container 33.
  • FIG 5 discloses one embodiment of a sublimator capable of delivering the vapor of any solid Si-containing film forming composition to the reactor, one of ordinary skill in the art will recognize that other sublimator designs may also be suitable, without departing from the teachings herein. Finally, one of ordinary skill in the art will recognize that the disclosed Si-containing film forming composition may be delivered to semiconductor processing tools using other delivery devices, such as the ampoules disclosed in WO 2006/059187 to Jurcik et al., without departing from the teachings herein.
  • the Si-containing film forming composition devices of FIGS 3- 5 may be heated to a temperature that permits the Si-containing film forming composition to be in its liquid phase and to have a sufficient vapor pressure.
  • the delivery device may be maintained at temperatures in the range of, for example, 0- 150°C. Those skilled in the art recognize that the temperature of the delivery device may be adjusted in a known manner to control the amount of Si-containing film forming composition vaporized.
  • a reaction gas may also be introduced into the reactor.
  • the reaction gas may be an oxidizing agent such as O2; O3; H 2 0; H2O2; oxygen containing radicals such as O- or OH-; NO; NO2; carboxylic acids such as formic acid, acetic acid, propionic acid; radical species of NO, NO2, or the carboxylic acids; para-formaldehyde; and mixtures thereof.
  • the oxidizing agent is selected from the group consisting of O2, O3, H 2 O, H2O2, oxygen containing radicals thereof such as O- or OH -, and mixtures thereof.
  • the co-reactant is plasma treated oxygen, ozone, or combinations thereof.
  • the resulting silicon containing film will also contain oxygen.
  • the reaction gas may H 2 , NH 3 , (SiH 3 ) 3 N, hydridosilanes (such as SiH 4 , S 12H6, Si 3 H 8 , S 14H 10, S 15H10, S 16H12), chlorosilanes and chloropolysilanes (such as S1HCI3, SiH 2 CI 2 , S 1H3CI, Si 2 CI 6 , Si 2 HCI 5 , Si 3 CI 8 ), alkysilanes (such as Me 2 SiH 2 , Et 2 SiH 2 , MeSiH 3 , EtSiH 3 ), hydrazines (such as N 2 H 4 , MeHNNH 2 ,
  • MeHNNHMe organic amines
  • organic amines such as NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , (SiMe 3 ) 2 NH
  • diamines such as ethylene diamine, dimethylethylene diamine, tetramethylethylene diamine, pyrazoline, pyridine
  • B-containing molecules such as B 2 H 6 , trimethylboron, triethylboron, borazine, substituted borazine,
  • dialkylaminoboranes such as trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc), radical species thereof, or mixtures thereof.
  • alkyl metals such as trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc
  • radical species thereof or mixtures thereof.
  • H 2 or an inorganic Si containing gas the resulting silicon containing film may be pure Si.
  • the reaction gas may be a hydrocarbon, saturated or unsaturated, linear, branched or cyclic, such as but not limited to ethylene, acetylene, propylene, isoprene, cyclohexane, cyclohexene, cyclohexadiene, pentene, pentyne, cyclopentane, butadiene, cyclobutane, terpinene, octane, octane, or combinations thereof.
  • ethylene acetylene, propylene, isoprene, cyclohexane, cyclohexene, cyclohexadiene, pentene, pentyne, cyclopentane, butadiene, cyclobutane, terpinene, octane, octane, or combinations thereof.
  • the reaction gas may be treated by a plasma, in order to decompose the reaction gas into its radical form.
  • N 2 may also be utilized as a reducing agent when treated with plasma.
  • the plasma may be generated with a power ranging from about 50 W to about 500 W, preferably from about 100 W to about 200 W.
  • the plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system.
  • One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
  • the desired silicon-containing film also contains another element, such as, for example and without limitation, B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si, or Ge.
  • the Si-containing film forming composition and one or more co-reactants may be introduced into the reaction chamber simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or in other combinations.
  • the vapor of the Si-containing film forming composition may be introduced in one pulse and two additional metal sources may be introduced together in a separate pulse (modified atomic layer deposition).
  • the reaction chamber may already contain the co-reactant prior to introduction of the Si- containing film forming composition.
  • the co-reactant may be passed through a plasma system localized or remotely from the reaction chamber, and decomposed to radicals.
  • the Si-containing film forming composition may be introduced to the reaction chamber continuously while other precursors or reactants are introduced by pulse (pulsed-chemical vapor deposition).
  • the Si-containing film forming composition and one or more co-reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (spatial ALD).
  • the vapor phase of the Si-containing film forming composition is introduced into the reaction chamber, where it is contacted with a suitable substrate. Excess composition may then be removed from the reaction chamber by purging and/or evacuating the reaction chamber. An oxygen source is introduced into the reaction chamber where it reacts with the absorbed Si-H containing iodosilane in a self-limiting manner. Any excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If the desired film is a silicon oxide film, this two- step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
  • the two-step process above may be followed by introduction of a vapor of a metal- or metalloid-containing precursor into the reaction chamber.
  • the metal- or metalloid-containing precursor will be selected based on the nature of the silicon metal/metalloid oxide film being deposited. After introduction into the reaction chamber, the metal- or metalloid-containing precursor is contacted with the substrate.
  • any excess metal- or metalloid-containing precursor is removed from the reaction chamber by purging and/or evacuating the reaction chamber.
  • an oxygen source may be introduced into the reaction chamber to react with the metal- or metalloid-containing precursor. Excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Si-containing film forming composition, metal- or metalloid- containing precursor, and oxygen source, a film of desired composition and thickness can be deposited.
  • films having a desired stoichiometric M:Si ratio may be obtained.
  • a SiMO 2 film may be obtained by having one pulse of the Si-containing film forming composition and one pulse of the metal- or metalloid-containing precursor, with each pulse being followed by a pulse of the oxygen source.
  • the number of pulses required to obtain the desired film may not be identical to the stoichiometric ratio of the resulting film.
  • the silicon-containing films resulting from the processes discussed above may include SiO 2 ; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMO, SiMN in which M is selected from Zr, Hf, Ti, Nb, V, Ta, Al, Ge, depending of course on the oxidation state of M.
  • SiO 2 SiC
  • SiN SiON
  • SiOC SiONC
  • SiBN SiBCN
  • SiCN SiMO
  • SiMN SiMN in which M is selected from Zr, Hf, Ti, Nb, V, Ta, Al, Ge, depending of course on the oxidation state of M.
  • the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • further processing such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • the silicon-containing film may be exposed to a temperature ranging from approximately 200°C and approximately 1000°C for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert
  • the temperature is 600°C for less than 3600 seconds. Even more preferably, the temperature is less than 400°C.
  • the annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially UV-curing, has been found effective to enhance the connectivity and cross linking of the film, and to reduce the H content of the film when the film is a SiN containing film. Typically, a combination of thermal annealing to ⁇ 400°C (preferably about 100°C-300°C) and UV curing is used to obtain the film with the highest density.
  • Example 1 A 250 ml_, 3-neck (24/40) European style flask with PTFE- coated magnetic stir bar was charged with 9.56 g (33.7 mmol) anhydrous lithium iodide powder (Sigma Aldrich, 99+%) and 80 mL anhydrous chloroform.
  • Example 2 A similar reaction was done using the same setup and reagent load explained in Example 1 except that toluene was used instead of chloroform. GC sample analysis of the liquid (no further workup) revealed that DIS was the main product (sans solvent) with some DCS, and CISiH 2 l.
  • Example 3 A 60 cc stainless steel ampule with diaphragm valve and pressure gauge was charged with 4.25 g (31 .7 mmol) anhydrous lithium iodide in a nitrogen purged glove box. The nitrogen gas was removed under vacuum and DCS (1.60 g, 15.9 mmol) added by condensation (-196 °C). The container was then closed and allowed to thaw to ambient temperature and let stand for 30 minutes. The volatiles were extracted with anhydrous toluene and analyzed by GCMS, which revealed DCS, CISiH 2 l intermediate and DIS (main product). This example demonstrates that the process may be completed without the use of a solvent.
  • Example 4 Sodium iodide powder (10.61 g; granular, colorless, crystalline solid) was exposed under static vacuum to excess DCS gas in a 50 cc Schlenk tube with no visual indication of a reaction. No pressure change was observed. The DCS was then condensed into the Schlenk tube and thawed to ambient temperature several times with no indication of reagent volume loss, color or pressure change (no reaction). Reactions with sodium iodide would likely require a solvent in which it has some solubility (i.e. methylene chloride, chloroform, acetonitrile, etc.). Lithium iodide is clearly more reactive and preferable. This example demonstrates that the Nal alkali metal halide reactant is not as reactive as Lil.
  • Example 5 530 g product scale in pentane solvent: A 2 L, 3-neck round bottom (RB) flask with PTFE coated stir bar was charged with 500 g anhydrous Lil (3.74 mol; Acros Organics, 99%) and filled with anhydrous n-pentane to the 1 L mark. The majority of the headspace nitrogen was removed in vacuo (to approximately 600 torr pressure) and an excess of DCS (492 g; 4.87 mol; 2.8 x mol excess) was added to the flask through the headspace. The flask was periodically cooled to 5-8 °C to allow full transfer. No stirring was achieved by using a stir plate/stir bar since the solids were too heavy.
  • the mixture was agitated by frequently shaking/swirling the pot manually.
  • the flask was left overnight at room temperature with the magnetic stirrer left on. No stirring was achieved.
  • the solids were filtered and dried under vacuum (169 g recovered; 158 g calculated).
  • the remaining colorless liquid was distilled under reduced pressure (ca. 0-5 torr/21 -31 °C) with the receiver cooled in dry ice pellets. This resulted in a colorless, frozen solid in the collector with almost no residual liquid left behind in the distillation pot.
  • the solid product was thawed and weighed (350 g; 530 g calculated; 65%).
  • siloxane-type impurities found in Example 5 indicate that these compounds are formed by moisture derived from one or more of the following:
  • Example 6 530 g product scale in pentane solvent: A 2 L, 3-neck RB flask with mechanical agitator, cooling cup condenser and 1/4" PTFE sparge tube was charged with 500 g anhydrous Lil (3.74 mol; Acros Organics, 99%) and filled with anhydrous n-pentane to the 1 L mark.
  • Dichlorosilane 183 g; 1 .81 mol was added subsurface over the course of 22 minutes in which the temperature rose from 18.1 (cold pentane) to 31 .0°C.
  • the reaction mixture was stirred vigorously and some reflux was observed during the addition of DCS.
  • the reaction mixture was stirred at ambient temperature for 3 hours and the liquid analyzed by GCMS.
  • Example 7 500 g of Lil (3.74 moles; 99.9% City Chemical, colorless powder) was charged into a 2L, 3 neck RB flask with mechanical agitator. A cooling cup condenser and internal thermocouple were attached to the reaction apparatus. Approximately 800 mL anhydrous chloroform was added to the Lil powder. The condenser was cooled to -78 °C and 196 g dichlorosilane (1 .94 mot 3.5 moi % excess) under reduced pressure through the condenser (-78 X dry ice, isopropyi alcohol slush bath) in 15 minutes with stirring. The pressure was 680 torr at 23 °C.
  • Example 8 A four neck round bottom flask equipped with a mechanical stirrer, a thermocouple and a dry-ice IPA condenser was charged with Lil (24.8 g, 0.19 mol) under a stream of nitrogen. Pentane (80 mL) was transferred via cannula. To the resulting mixture, TSA-CI ((SiH 3 ) 2 N(SiH 2 CI) 25 g, 0.18 mol) was added dropwise over a period of 15 min at room temperature. No exothermicity was observed.
  • a 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), a solid addition port, an inlet tube for sub-surface dichlorosilane addition and an inlet for liquid pentane addition was charged with pentane 15 L.
  • the temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C.
  • the reactor was then stirred ⁇ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (12.25 kg, 91 .52 mol) was then charged to the reactor.
  • a 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), a solid addition port, an inlet tube for sub-surface dichlorosilane addition and an inlet for liquid pentane addition was charged with 15 L fresh pentane (Sigma Aldrich, >99% purity).
  • the temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C.
  • the reactor was then stirred ⁇ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (9.99 kg, 74.64 mol) was then charged to the reactor.
  • Subsequent gravimetric addition of dichlorosilane (3.88 kg, 38.42 mol) was regulated at a rate of
  • the remainder of the material comprised DCS, 0.1 %, pentane, 1 .2%; SiH 3 l, 0.1 %, SiH 2 CII, 4.5% and SiHI 3 , 0.1 % as suggested by GC analysis.
  • This crude material is further distilled at 3.2 kPa to furnish diiodosilane (8.16 kg, 77% yield), comprising DIS, 99.7%; SiH 3 l, 0.01 %; SiH 2 CII, 0.03% and SiHI 3 , 0.1 %).
  • the particle size of Lithium Iodide influences the isolated yield. Surprisingly, improved yields are observed when a larger particle size of Lithium Iodide is employed relative to a smaller particle size.
  • a 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), a solid addition port, an inlet tube for sub-surface dichlorosilane addition and an inlet for liquid pentane addition was charged with 15 L pentane.
  • the temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C.
  • the reactor was then stirred ⁇ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (12.34 kg, 92.19 mol) was then charged to the reactor.
  • Subsequent gravimetric addition of dichlorosilane (4.25 kg, 42.08 mol) was regulated at a rate of approximately 1 kg per hour.
  • the reactor jacket remains regulated to +35°C and the condenser to -70°C.
  • stirring was stopped and the reactor contents were drained through the reactor filter into a 22 L round bottomed flask.
  • the salts on the reactor filter were then washed with pentane (3 x 1 L).
  • the combined filtrate and washings were subsequently distilled at 88 kPa to furnish crude diiodosilane (9.26 kg, 82% purity) in the distillation pot.
  • the distillate (1 1 L, comprising mostly of pentane, 82%; DCS, 12%; SiH2CII, 4% and DIS, 1 %) was recycled back to the reactor for a consecutive synthesis.
  • the reactor was then stirred ⁇ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (12.38 kg, 92.49 mol) was then charged to the reactor. Subsequent gravimetric addition of dichlorosilane (4.17 kg, 41 .28 mol) was regulated at a rate of approximately 1 kg per hour. Following completion of DCS addition, the reactor jacket remains regulated to +35°C and the condenser to -70°C. After 17 hours stirring, stirring was stopped and the reactor contents were drained through the reactor filter into a 22 L round bottomed flask. The salts on the reactor filter were then washed with pentane (3 x 1 L).
  • the combined filtrate and washings were subsequently distilled at 88 kPa to furnish crude diiodosilane (8.77 kg, 84% purity) as distillation bottoms in the distillation pot.
  • This crude material is further distilled at 3.2 kPa to furnish diiodosilane (7.29 kg, 62% yield), comprising DIS, 99.5%; SiH 3 l, 0.14%; SiHI 3 , 0.24%, others, 0.12%).
  • a 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), a solid addition port, an inlet tube for sub-surface dichlorosilane addition and an inlet for liquid pentane addition was charged with 15 L fresh pentane (Sigma Aldrich, >99% purity).
  • the temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C.
  • the reactor was then stirred ⁇ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (12.47 kg, 93.16 mol) was then charged to the reactor.
  • S1H2I2 synthesized per the methods disclosed herein was stored at room temperature in passivated stainless steel cylinders. Assays were performed using GCMS peak integration prior to and after storage in the cylinders. The table below demonstrates that this product maintains its purity without the need for any stabilizer.
  • bis(triiodosilyl)methane product will be isolated in pure form by reduced pressure distillation and/or sublimation.
  • the reactants are commercially available or may be synthesized according to J. Organomet. Chem. 92, 1975 163-168.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Silicon Compounds (AREA)

Abstract

Methods of synthesizing Si-H containing iodosilanes, such as diidosilane or pentaiododisilane, using a halide exchange reaction are disclosed.

Description

PREPARATION OF Sl-H CONTAINING IODOSILANES
VIA HALIDE EXCHANGE REACTION
Cross Reference to Related Applications
[0001] The present application claims the benefit of U.S. Patent Application Serial No. 62/338,882 filed May 19, 2016, herein incorporated by reference in its entirety for all purposes.
Technical Field
[0002] Methods of synthesizing Si-H containing iodosilanes, such as diiodosilane or pentaiododisilane, using a halide exchange reaction are disclosed.
Background
[0003] Halosilane chemicals find many uses in industry. In particular, iodosilane precursors, such as diiodosilane (S1H2I2), are used to deposit a variety of silicon containing films for use in semiconductor manufacturing processes.
[0004] Emeleus et al., disclose synthesis of diiodosilane (S1H2I2) by reaction of Silane (SiH4), Hydrogen Iodide (HI), and aluminum iodide (All3). Derivatives of monosilane. Part Π. The lodo compounds: Emeleus, H. J.; Maddock, A. G.; Reid, C, J. Chem. Soc, 1941 , 353-358). The reaction produces the desired S1H2I2 reaction product along with lodosilane (SiH3l), Triiodosilane (SiHI3), and tetraiodosilane (Sil4). Id. at p. 354.
[0005] Keinan et al. disclose the reaction of iodine and phenylsilane in a 1 : 1 molar ratio in the presence of traces of ethyl acetate at -20°C produces 1 mol of S1H2I2 and 1 mol of benzene. J. Org. Chem., Vol. 52, No. 22, 1987, pp.4846-4851 . Although selective for S1H2I2 over the other possible iodosilanes (i.e., SiH3l, SiHI3, and Sil4), this method produces the known human carcinogen benzene, which makes commercial implementation difficult. Despite this drawback, it remains the preferred synthetic approach to producing Diiodosilane.
[0006] Impurities from these synthesis processes, such as hydrogen iodide and/or iodine, may decompose the resulting iodosilane product. Current industrial practice is to stabilize these products using antimony, silver, or copper powder/pellet additives as taught in Eaborn, 'Organosilicon Compounds. Part II. Ά Conversion Series for Organosilicon Halides, Pseudohalides, and Sulphides', 1950, J. Chem. Soc, 3077-3089 and Beilstein 4, IV, 4009. Although the addition of copper may stabilize the product, it also may introduce impurities (Cu) which may adversely affect the electrical properties of the deposited films.
[0007] The so-called Finkelstein reaction is an SN2 reaction (Substitution Nucleophilic Bimolecular reaction) that involves the exchange of one halogen atom for another. Halide exchange is an equilibrium reaction, but the reaction can be driven to completion by exploiting the differential solubility of halide salts, or by using a large excess of the halide salt. Smith et al., (2007), Advanced Organic Chemistry:
Reactions, Mechanisms, and Structure (6th ed.), New York: Wiley-lnterscience.
[0008] For example, the preparation of trimethylsilyl iodide (TMS-I) via reactions of trimethylsilyl chloride and lithium iodide in chloroform or sodium iodide in acetonitrile has been reported (Eq. 4). Handbook of Reagents for Organic Synthesis, Reagents for Silicon-Mediated Or anic Synthesis, lodotrimethylsilane, Wiley 201 1 , p. 325 + LiCl or NaCl (4)
[0009] While Si-CI is reactive to iodine exchange by this route, R groups like alkyl or aryl groups are not. On the other hand, Si-H bonds are found in general to be more reactive than the Si-CI bond. Chemistry and Technology of Silicones, Academic Press, 1968, p. 50. As a result, one of ordinary skill in the art would expect exchange of both the H and CI atoms of any Si-H containing halosilane in the Finkelstein reaction.
[0010] A need remains for commercially viable synthesis and supply of stable Si-H containing iodosilanes, such as diiodosilane, suitable for use in the semiconductor industry.
Summary
[0011] Methods of synthesizing Si-H containing iodosilanes are disclosed. The Si-H containing iodosilanes have the formula
SiwHxRylz (l )
N(SiHaRblc)3 (2) or
(SiHmRnl0)2-CH2 (3)
wherein w is 1 to 3, x+y+z=2w+2, x is 1 to 2w+1 , y is 0 to 2w+1 , z is 1 to 2w+1 , each a is independently 0 to 3, each b is independently 0 to 3, each c is independently 0 to 3, a+b+c = 3 provided that at least one a and at least one c is 1 , each m is independently 0 to 3, each n is independently 0 to 3, each o is independently 0 to 3, m+n+o = 3 provided that at least one m and at least one o is 1 , and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group. A halosilane reactant having the formula SiwHxRyXz,
N(SiHaRbXc)3, or (SiHmRnX0)2-CH2, wherein X is CI or Br, and w, x, y, z, a, b, c, m, n, and o are as defined above, is reacted with an alkali metal halide reactant having the formula Ml, wherein M = Li, Na, K, Rb, or Cs, to produce a mixture of SiwHxRylz, N(SiHaRblc)3 or (SiHmRnlo)2-CH2 and MX. The Si-H containing iodosilane having the formula SiwHxRylz, N(SiHaRblc)3 or (SiHmRnl0)2-CH2 is isolated from the mixture.
Alternatively, the halosilane reactant is mixed with the alkali metal halide reactant to produce the mixture of SiwHxlz, N(SiHaRblc)3 or (SiHmRnlo)2-CH2 and MX. The mixture is filtered to produce the Si-H containing iodosilane having the formula SiwHxlz, N(SiHaRblc)3 or (SiHmRnl0)2-CH2. Either of the disclosed methods may have one or more of the following aspects:
• R is not CI or Br;
• R is a C1 to C12 hydrocarbyl group;
• R is a ER'3 group;
• M=Li;
• y=0;
• z=2 to 2w+1 ;
• adding a solvent to the reacting step;
• the solvent being the Si-H containing iodosilane;
• the solvent being an alkane;
• the solvent being propane, butane, pentane, hexane, heptanes,
chloromethane, dichloromethane, chloroform, carbon tetrachloride, methylene chloride, acetonitrile, and combinations thereof;
• the solvent being pentane;
• the isolating step comprising filtering the mixture to separate MX from the Si-H containing iodosilane having the formula SiwHxRylz;
• the halosilane reactant being SiH2CI2;
• the halosilane reactant being Si2HCIs;
• the halosilane reactant being (SiH3)2N(SiH2CI); • the alkali metal halide reactant being Lil;
• the Si-H containing iodosilanes have the formula SiwHxRylz (1 );
• the Si-H containing iodosilane having the formula SiHxl4-x, wherein x =1 to 3;
• the Si-H containing iodosilane being SiHI3;
• the Si-H containing iodosilane being SiH2l2;
• the Si-H containing iodosilane being SiH3l;
• the Si-H containing iodosilane having the formula SiHxRyl4-x-y, wherein x=1 to 2, y=1 to 2, x+y is less than or equal to 3, and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group;
• the Si-H containing iodosilane being MeSiHI2;
• the Si-H containing iodosilane being MeSiH2l;
• the Si-H containing iodosilane being Me2SiHI;
• the Si-H containing iodosilane being EtSiHI2;
• the Si-H containing iodosilane being EtSiH2l;
• the Si-H containing iodosilane being Et2SiHI;
• the Si-H containing iodosilane being CISiH l2;
• the Si-H containing iodosilane being CISiH2l;
• the Si-H containing iodosilane being CI2SiHI;
• the Si-H containing iodosilane being BrSiHI2;
• the Si-H containing iodosilane being BrSiH2l;
• the Si-H containing iodosilane being Brl2SiHI;
• the Si-H containing iodosilane being H3SiSiHI2;
• the Si-H containing iodosilane being H3SiSiH2l;
• the Si-H containing iodosilane being (H3Si)2SiHI;
• the Si-H containing iodosilane being H3GeSiHI2;
• the Si-H containing iodosilane being H3GeSiH2l;
• the Si-H containing iodosilane being (H3Ge)2SiHI;
• the Si-H containing iodosilane being Me3SiSiHI2;
• the Si-H containing iodosilane being Me3SiSiH2l;
• the Si-H containing iodosilane being (Me3Si)2SiHI;
• the Si-H containing iodosilane being Me3GeSiHI2;
• the Si-H containing iodosilane being Me3GeSiH2l; • the Si-H containing iodosilane being (Me3Ge)2SiHI;
• the Si-H containing iodosilane being Me2HSiSiHI2;
• the Si-H containing iodosilane being Me2HSiSiH2l;
• the Si-H containing iodosilane being (Me2HSi)2SiHI;
• the Si-H containing iodosilane being Me2HGeSiHI2;
• the Si-H containing iodosilane being Me2HGeSiH2l;
• the Si-H containing iodosilane being (Me2HGe)2SiHI;
• the Si-H containing iodosilane having the formula Si2Hxl6-x, wherein x= 1 -5;
• the Si-H containing iodosilane being Si2HI5;
• the Si-H containing iodosilane being Si2H2l4;
• the Si-H containing iodosilane being Si2H3l3;
• the Si-H containing iodosilane being Si2H4l2;
• the Si-H containing iodosilane being Si2H5l;
• the Si-H containing iodosilane having the formula Si2HxRyl6-x-y, wherein x= 1 to 4, y=1 to 4, x+y is less than or equal to 5, and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group;
• the Si-H containing iodosilane being MeSi2HI4;
• the Si-H containing iodosilane being MeSi2H2l3;
• the Si-H containing iodosilane being MeSi2H3l2;
• the Si-H containing iodosilane being MeSi2H4l;
• the Si-H containing iodosilane being Me2Si2HI3;
• the Si-H containing iodosilane being Me2Si2H2l2;
• the Si-H containing iodosilane being Me2Si2H3l;
• the Si-H containing iodosilane being Me3Si2HI2;
• the Si-H containing iodosilane being Me3Si2H2l;
• the Si-H containing iodosilane being Me4Si2HI,
• the Si-H containing iodosilane being EtSi2HI4;
• the Si-H containing iodosilane being EtSi2H2l3;
• the Si-H containing iodosilane being EtSi2H3l2;
• the Si-H containing iodosilane being EtSi2H4l;
• the Si-H containing iodosilane being Et2Si2HI3;
• the Si-H containing iodosilane being Et2Si2H2l2; • the Si-H containing iodosilane being Et2Si2H3l;
• the Si-H containing iodosilane being Et3Si2HI2;
• the Si-H containing iodosilane being Et3Si2H2l;
• the Si-H containing iodosilane being Et4Si2HI,
• the Si-H containing iodosilane being CISi2HI4;
• the Si-H containing iodosilane being CISi2H2l3;
• the Si-H containing iodosilane being CISi2H3l2;
• the Si-H containing iodosilane being CISi2H4l;
• the Si-H containing iodosilane being CI2Si2HI3;
• the Si-H containing iodosilane being CI2Si2H2l2;
• the Si-H containing iodosilane being CI2Si2H3l;
• the Si-H containing iodosilane being CI3Si2HI2;
• the Si-H containing iodosilane being CI3Si2H2l;
• the Si-H containing iodosilane being CI4Si2HI,
• the Si-H containing iodosilane being BrSi2HI4;
• the Si-H containing iodosilane being BrSi2H2l3;
• the Si-H containing iodosilane being BrSi2H3l2;
• the Si-H containing iodosilane being BrSi2H4l;
• the Si-H containing iodosilane being Br2Si2HI3;
• the Si-H containing iodosilane being Br2Si2H2l2;
• the Si-H containing iodosilane being Br2Si2H3l;
• the Si-H containing iodosilane being Br3Si2HI2;
• the Si-H containing iodosilane being Br3Si2H2l;
• the Si-H containing iodosilane being Br4Si2HI,
• the Si-H containing iodosilane being H3SiSi2HI4;
• the Si-H containing iodosilane being H3SiSi2H2l3;
• the Si-H containing iodosilane being H3SiSi2H3l2;
• the Si-H containing iodosilane being H3SiSi2H4l;
• the Si-H containing iodosilane being (H3Si)2Si2HI3;
• the Si-H containing iodosilane being (H3Si)2Si2H2l2;
• the Si-H containing iodosilane being (H3Si)2Si2H3l;
• the Si-H containing iodosilane being (H3Si)3Si2HI2;
• the Si-H containing iodosilane being (H3Si)3Si2H2l;
• the Si-H containing iodosilane being (H3Si)4Si2HI, • the Si-H containing iodosilane being H3GeSi2HI4;
• the Si-H containing iodosilane being H3GeSi2H2l3;
• the Si-H containing iodosilane being H3GeSi2H3l2;
• the Si-H containing iodosilane being H3GeSi2H4l;
• the Si-H containing iodosilane being (H3Ge)2Si2HI3;
• the Si-H containing iodosilane being (H3Ge)2Si2H2l2;
• the Si-H containing iodosilane being (H3Ge)2Si2H3l;
• the Si-H containing iodosilane being (H3Ge)3Si2HI2;
• the Si-H containing iodosilane being (H3Ge)3Si2H2l;
• the Si-H containing iodosilane being (H3Ge)4Si2HI,
• the Si-H containing iodosilane being Me3SiSi2HI4;
• the Si-H containing iodosilane being Me3SiSi2H2l3;
• the Si-H containing iodosilane being Me3SiSi2H3l2;
• the Si-H containing iodosilane being Me3SiSi2H l;
• the Si-H containing iodosilane being (Me3Si)2Si2HI3;
• the Si-H containing iodosilane being (Me3Si)2Si2H2l2;
• the Si-H containing iodosilane being (Me3Si)2Si2H3l;
• the Si-H containing iodosilane being (Me3Si)3Si2HI2;
• the Si-H containing iodosilane being (Me3Si)3Si2H2l;
• the Si-H containing iodosilane being (Me3Si)4Si2HI,
• the Si-H containing iodosilane being Me3GeSi2HI4;
• the Si-H containing iodosilane being Me3GeSi2H2l3;
• the Si-H containing iodosilane being Me3GeSi2H3l2;
• the Si-H containing iodosilane being Me3GeSi2H4l;
• the Si-H containing iodosilane being (Me3Ge)2Si2HI3;
• the Si-H containing iodosilane being (Me3Ge)2Si2H2l2;
• the Si-H containing iodosilane being (Me3Ge)2Si2H3l;
• the Si-H containing iodosilane being (Me3Ge)3Si2HI2;
• the Si-H containing iodosilane being (Me3Ge)3Si2H2l;
• the Si-H containing iodosilane being (Me3Ge)4Si2HI,
• the Si-H containing iodosilane being Me2HSiSi2HI4;
• the Si-H containing iodosilane being Me2HSiSi2H2l3;
• the Si-H containing iodosilane being Me2HSiSi2H3l2;
• the Si-H containing iodosilane being Me2HSiSi2H l; • the Si-H containing iodosilane being (Me2HSi)2Si2HI3;
• the Si-H containing iodosilane being (Me2HSi)2Si2H2l2;
• the Si-H containing iodosilane being (Me2HSi)2Si2H3l;
• the Si-H containing iodosilane being (Me2HSi)3Si2HI2;
• the Si-H containing iodosilane being (Me2HSi)3Si2H2l;
• the Si-H containing iodosilane being (Me2HSi)4Si2HI,
• the Si-H containing iodosilane being Me2HGeSi2HI4;
• the Si-H containing iodosilane being Me2HGeSi2H2l3;
• the Si-H containing iodosilane being Me2HGeSi2H3l2;
• the Si-H containing iodosilane being Me2HGeSi2H4l;
• the Si-H containing iodosilane being (Me2HGe)2Si2HI3;
• the Si-H containing iodosilane being (Me2HGe)2Si2H2l2;
• the Si-H containing iodosilane being (Me2HGe)2Si2H3l;
• the Si-H containing iodosilane being (Me2HGe)3Si2Hl2;
• the Si-H containing iodosilane being (Me2HGe)3Si2H2l;
• the Si-H containing iodosilane being (Me2HGe)4Si2HI,
• the Si-H containing iodosilane having the formula Si3Hxl8-x, wherein x= 1 to 8;
• the Si-H containing iodosilane being Si3H7l;
• the Si-H containing iodosilane being Si3H6l2;
• the Si-H containing iodosilane being Si3H5l3;
• the Si-H containing iodosilane being Si3H4l4;
• the Si-H containing iodosilane being Si3H3l5;
• the Si-H containing iodosilane being Si3H2l6;
• the Si-H containing iodosilane being Si3HI7;
• the Si-H containing iodosilane having the formula N(SiHalc)3, wherein each a is independently 0 to 3 and each c is independently 0 to 3, provided that at least one a and at least one c is 1 ;
• the Si-H containing iodosilane being N(SiH3)2(SiH2l);
• the Si-H containing iodosilane being N(SiH3)2(SiHI2);
• the Si-H containing iodosilane being N(SiH3)(SiH2l)2;
• the Si-H containing iodosilane being N(SiH3)(SiHI2)2;
• the Si-H containing iodosilane being N(SiHI2)2(SiH2l);
• the Si-H containing iodosilane being N(SiHI2)(SiH2l)2;
• the Si-H containing iodosilane being N(SiH2l)3; • the Si-H containing iodosilane being N(SiHI2)3;
• the Si-H containing iodosilane having the formula N(SiHaRblc)3, wherein each a is independently 0 to 3, each b is independently 0 to 3, each c is
independently 0 to 3, a+b+c = 3, and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group, provided that (a) at least one x, at least one y, and at least one z is 1 , and (b) that at least one Si is bonded to both H and I;
• the Si-H containing iodosilane being N(SiH3)2(SiMeHI);
• the Si-H containing iodosilane being N(SiH2Me)2(SiMeHI);
• the Si-H containing iodosilane being N(SiHMe2)2(SiMeHI);
• the Si-H containing iodosilane being N(SiMe2H)2(SiH2l);
• the Si-H containing iodosilane being N(SiMe3)2(SiH2l);
• the Si-H containing iodosilane being N(SiMe2H)2(SiHI2);
• the Si-H containing iodosilane being N(SiMe3)2(SiHI2);
• the Si-H containing iodosilanes have the formula (SiHmRnl0)2-CH2 (3);
• the Si-H containing iodosilane having the formula (SiHxly)2CH2, wherein each x is independently 0 to 3, each y is independently 0 to 3, provided that at least one x and at least one y is 1 ;
• the Si-H containing iodosilane being (SiH2l)2-CH2;
• the Si-H containing iodosilane being (SiHI2)2-CH2;
• the Si-H containing iodosilane being (SiH2l)-CH2-(SiH3);
• the Si-H containing iodosilane being (SiHI2)-CH2-(SiH3); or
• the Si-H containing iodosilane being (SiH2l)-CH2-(SiHI2).
[0012] Also disclosed are Si-containing film forming compositions comprising any of the Si-H containing iodosilanes listed above. The disclosed Si-containing film forming compositions comprise one or more of the following aspects:
• the Si-containing film forming composition comprising between approximately 99% v/v and approximately 100% v/v of one Si-H containing iodosilane;
• the Si-containing film forming composition comprising between approximately 99.5% v/v and approximately 100% v/v of one Si-H containing iodosilane;
• the Si-containing film forming composition comprising between approximately 99.97% v/v and approximately 100% v/v of one Si-H containing iodosilane; • the Si-containing film forming composition containing between approximately 0 ppbw and approximately 100 ppbw Cu;
• the Si-containing film forming composition containing between approximately 0 ppbw and approximately 100 ppbw Ag;
• the Si-containing film forming composition containing between approximately 0 ppbw and approximately 100 ppbw Sb;
• the Si-containing film forming composition containing between approximately 0 ppbw and approximately 50 ppbw Cu;
• the Si-containing film forming composition containing between approximately 0 ppbw and approximately 50 ppbw Ag;
• the Si-containing film forming composition containing between approximately 0 ppbw and approximately 50 ppbw Sb;
• the Si-containing film forming composition containing between approximately 0 ppbw and approximately 10 ppbw Cu;
• the Si-containing film forming composition containing between approximately 0 ppbw and approximately 10 ppbw Ag; or
• the Si-containing film forming composition containing between approximately 0 ppbw and approximately 10 ppbw Sb.
Also disclosed is a Si-containing film forming composition delivery device comprising a canister having an inlet conduit and an outlet conduit and containing any of the Si-containing film forming compositions disclosed above. The disclosed device may include one or more of the following aspects:
• an end of the inlet conduit end located above a surface of the Si-containing film forming composition and an end of the outlet conduit located below the surface of the Si-containing film forming composition;
• an end of the inlet conduit end located below a surface of the Si-containing film forming composition and an end of the outlet conduit located above the surface of the Si-containing film forming composition;
• further comprising a diaphragm valve on the inlet and the outlet;
• an internal surface of the canister being glass;
• an internal surface of the canister being passivated stainless steel;
• the canister being light-resistant glass with a light resistant coating on an external surface of the canister; • an internal surface of the canister being aluminum oxide;
• further comprising one or more barrier layers on an interior surface of the canister;
• further comprising one to four barrier layers on an interior surface of the
canister;
• further comprising one or two barrier layers on an interior surface of the
canister;
• each barrier layer comprising a silicon oxide layer, silicon nitride layer, silicon oxynitride layer, silicon carbonitride, silicon oxycarbonitride layer, or combinations thereof;
• wherein each barrier layer is 1 to 100 nm in thickness; or
• wherein each barrier layer is 2 to 10 nm in thickness.
Notation and Nomenclature
[0013] Certain abbreviations, symbols, and terms are used throughout the following description and claims, and include:
[0014] As used herein, the indefinite article "a" or "an" means one or more than one.
[0015] As used herein, the terms "approximately" or "about" mean ±10% of the value stated.
[0016] As used herein, the term "independently" when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group. For example in the formula MR1 X(NR2R3)(4- x), where x is 2 or 3, the two or three R1 groups may, but need not be identical to each other or to R2 or to R3. Further, it should be understood that unless specifically stated otherwise, values of R groups are independent of each other when used in different formulas.
[0017] As used herein, the term "hydrocarbyl group" refers to a functional group containing carbon and hydrogen; the term "alkyl group" refers to saturated functional groups containing exclusively carbon and hydrogen atoms. The hydrocarbyl group may be saturated or unsaturated. Either term refers to linear, branched, or cyclic groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, n-propyl groups, n-butyl groups, etc. Examples of branched alkyls groups include without limitation, iso-propyl, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
[0018] As used herein, the term "aryl" refers to aromatic ring compounds where one hydrogen atom has been removed from the ring. As used herein, the term
"heterocycle" refers to a cyclic compound that has atoms of at least two different elements as members of its ring.
[0019] As used herein, the abbreviation "Me" refers to a methyl group; the
abbreviation "Et" refers to an ethyl group; the abbreviation "Pr" refers to any propyl group (i.e. , n-propyl or isopropyl); the abbreviation "iPr" refers to an isopropyl group; the abbreviation "Bu" refers to any butyl group (n-butyl, iso-butyl, t-butyl, sec-butyl); the abbreviation "tBu" refers to a tert-butyl group; the abbreviation "sBu" refers to a sec-butyl group; the abbreviation "iBu" refers to an iso-butyl group; the abbreviation "Ph" refers to a phenyl group; the abbreviation "Am" refers to any amyl group (iso- amyl, sec-amyl, tert-amyl); and the abbreviation "Cy" refers to a cyclic alkyl group (cyclobutyl, cyclopentyl, cyclohexyl, etc.).
[0020] As used herein the acronym "HCDS" stands for hexachlorodisilane; the acronym "PCDS" stands for pentachlorodisilane; the acronym "OCTS" stands for n- octyltrimethoxysilane; the acronym "TSA" stands for trisilylamine or N(SiH3)3.
[0021] As used herein, the term "iodosilane" means a molecule containing at least one Si-I bond, irrespective of other bonds on the Si or of the molecule backbone. More generally, "halosilane" means a molecule containing at least one Si-X containing bond, wherein X is a halogen atom, irrespective of other bonds on the Si or of the molecule backbone,
[0022] As used herein, the term "Si-H containing" means a molecule containing at least one Si-H bond, irrespective of other bonds on the Si or of the molecule backbone.
[0023] As used herein, the term "coordinating solvent" means any solvent that donates a pair of electrons, such as solvents containing OH or H3 groups.
Exemplary coordinating solvents include amines, phosphines, ethers, and ketones.
[0024] As used herein, the acronym "LCD-TFT" stands for liquid-crystal display-thin- film transistor; the acronym "MIM" stands for Metal-insulator-metal; the acronym "DRAM" stands for dynamic random-access memory; the acronym "FeRAM" stands for Ferroelectric random-access memory; the acronym "seem" stands for standard cubic centimeter per minute; and the acronym "GCMS" stands for Gas
Chromatography-Mass Spectrometry.
[0025] The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., Si refers to silicon, N refers to nitrogen, 0 refers to oxygen, C refers to carbon, etc.).
[0026] Any and all ranges recited herein are inclusive of their endpoints (i.e., x=1 to 4 includes x=1 , x=4, and x=any number in between), irrespective of whether the term "inclusively" is used.
Brief Description of the Drawings
[0027] For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying figure wherein:
[0028] FIG. 1 is a schematic diagram of an apparatus in which the disclosed synthesis methods may be performed;
[0029] FIG. 2 is a schematic diagram of an alternative apparatus in which the disclosed synthesis methods may be performed;
[0030] FIG. 3 is a side cross-section view of one embodiment of the Si-containing film forming composition delivery device 1 ;
[0031] FIG. 4 is a side cross-section view of a second embodiment of the Si- containing film forming composition delivery device 1 ;
[0032] FIG. 5 is a side cross-section view of an exemplary embodiment of a solid precursor sublimator 100 for subliming solid Si-containing film forming compositions;
[0033] FIG. 6 is a Gas Chromatographic/Mass Spectrometric (GC/MS) graph of the SiH2l2 reaction product of Example 5; and
[0034] FIG. 7 is a GC/MS graph of the reaction mixture of Example 7 after 90 minutes stirring.
Description of Preferred Embodiments
[0035] Disclosed are methods for synthesizing Si-H containing iodosilanes having the formula:
SiwHxRylz (l ) N(SiHaRblc)3 (2) or
(SiHmRnlo)2-CH2 (3)
wherein w is 1 to 3, x+y+z=2w+2, x is 1 to 2w+1 , y is 0 to 2w+1 , z is 1 to 2w+1 , each a is independently 0 to 3, each b is independently 0 to 3, each c is independently 0 to 3, a+b+c = 3 provided that at least one a and at least one c is 1 , each m is independently 0 to 3, each n is independently 0 to 3, each o is independently 0 to 3, m+n+o = 3 provided that at least one m and at least one o is 1 , and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12
hydrocarbyl group.
[0036] These compounds, such as diiodosilane (SiH2l2) or pentaiododisilane (Si2HI5), contain highly reactive Si-H groups and, in the case of y or b or n=0, do not have any organic protective groups. As a result, these silicon hydrides are susceptible to nucleophilic attack of the silicon hydride from coordinating solvents. See, e.g., Keinan et al., J. Org. Chem. 1987, 52, 4846-4851 (demonstrating catalytic
deoxygenation of alcohols and ethers, carbonyl conjugate addition reactions, and a- alkoxymethylation of ketones by trimethylsilyl iodine). In other words, special care must be taken in selection of an appropriate solvent, when a solvent is used, because the end product may react with solvent. This may lead to product degradation and side reactions. This also limits the selection of solvents that are suitable for the synthesis.
[0037] Finkelstein-type SN2 reactions typically rely on the solubility and insolubility of the reagent and salt byproducts, respectively, to act as a driving force in the reaction. For example, trimethylsilyl iodide (TMS-I) may be prepared by reacting trimethylsilyl chloride and an alkali metal iodide salt (see Reaction Scheme 4 above) in a suitable solvent, such as chloroform or acetonitrile. In this particular example, the
trimethylsilyl chloride (TMS-CI) and sodium iodide salts have some solubility in these solvents, whereas the byproduct sodium chloride does not. The precipitation of the byproduct sodium chloride contributes to the driving force of the reaction.
[0038] The preparation of SiwHxRylz (e.g., SiH2l2 or Si2HI5), N(SiHaRblc)3 (e.g.,
N(SiH3)2(SiH2l)), or (SiHmRnlo)2-CH2 (e.g., (SiH2l)-CH2-(SiH3)), may be susceptible to halogen scrambling and side reactions due to the reactivity of the Si-H bond. A coordinating solvent may exacerbate such halogen scrambling and side reactions. The reaction between dichlorosilane (DCS) and lithium iodide produces diiodosilane in the absence of a solvent at ambient temperature (see infra Example 3). Non- coordinating solvents {e.g., n-pentane and chloroform) are helpful during filtration of the lithium chloride salt byproducts. Non-coordinating solvents may also promote the reaction by improved mixing {i.e. dilution of the reaction mass) and suppression of side reaction (heat exchange medium). Suitable non-coordinating solvents include hydrocarbons, such as pentanes, hexanes, cyclohexane, heptanes, octanes, benzene, toluene, and chlorinated aliphatic hydrocarbons, such as chloromethane, dichloromethane, chloroform, carbon tetrachloride, acetonitrile, etc. However, the use of a chlorinated solvent is a less attractive option since these solvents are usually heavily regulated (permits required) and may be carcinogenic. The solvent should be selected to have a sufficient difference in boiling point with the target product, such boiling point difference being typically > 20°C, and preferably > 40°C.
[0039] Exemplary Si-H containing iodosilane reaction products include, but are not limited to:
• SiHxl4-x, wherein x =1 to 3, such as SiHI3, SiH2l2, or SiH3l;
• SiHxRyl4-x-y, wherein x=1 to 2, y=1 to 2, x+y is less than or equal to 3, and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group, such as MeSiHI2, MeSiH2l, Me2SiHI, EtSiHI2, EtSiH2l, Et2SiHI, CISiHI2, CISiH2l, CI2SiHI, BrSiHI2, BrSiH2l, Brl2SiHI, H3SiSiHI2, H3SiSiH2l, (H3Si)2SiHI, H3GeSiHI2, H3GeSiH2l, (H3Ge)2SiHI, Me3SiSiHI2, Me3SiSiH2l, (Me3Si)2SiHI, Me3GeSiHI2, Me3GeSiH2l,
(Me3Ge)2SiHI, Me2HSiSiHI2, Me2HSiSiH2l, (Me2HSi)2SiHI, Me2HGeSiHI2, Me2HGeSiH2l, (Me2HGe)2SiHI, etc.;
• Si2Hx-6lx, wherein x= 1 -5, such as Si2HI5, Si2H2l4, Si2H3l3, Si2H4l2, or Si2H5l, with x preferably equal to 5 (i.e., Si2HI5) ;
• Si2HxRyl6-x-y, wherein x= 1 to 4, y=1 to 4, x+y is less than or equal to 5, and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group, such as MeSi2HI4, MeSi2H2l3, MeSi2H3l2, MeSi2H4l, Me2Si2HI3, Me2Si2H2l2, Me2Si2H3l, Me3Si2HI2, Me3Si2H2l, Me4Si2HI, EtSi2HI4, EtSi2H2l3, EtSi2H3l2, EtSi2H4l, Et2Si2HI3, Et2Si2H2l2, Et2Si2H3l, Et3Si2HI2, Et3Si2H2l, Et4Si2HI, CISi2HI4, CISi2H2l3, CISi2H3l2, CISi2H4l,
CI2S12H I3, CI2Si2H2l2, C I2S12H3I , CI3S12H I2, C I3S12H2I , CI4S12H I , BrSi2HI4, BrSi2H2l3, BrSi2H3l2, BrSi2H4l, Br2Si2HI3, Br2Si2H2l2, Br2Si2H3l, Br3Si2HI2, Br3Si2H2l, Br4Si2HI, H3SiSi2HI4, H3SiSi2H2l3, H3SiSi2H3l2, H3SiSi2H4l,
(H3Si)2Si2HI3, (H3Si)2Si2H2l2, (H3Si)2Si2H3l, (H3Si)3Si2HI2, (H3Si)3Si2H2l, (H3Si)4Si2HI, H3GeSi2HI4, H3GeSi2H2l3, H3GeSi2H3l2, H3GeSi2H4l,
(H3Ge)2Si2HI3, (H3Ge)2Si2H2l2, (H3Ge)2Si2H3l, (H3Ge)3Si2HI2, (H3Ge)3Si2H2l, (H3Ge)4Si2HI, Me3SiSi2HI4, Me3SiSi2H2l3, Me3SiSi2H3l2, Me3SiSi2H4l,
(Me3Si)2Si2HI3, (Me3Si)2Si2H2l2, (Me3Si)2Si2H3l, (Me3Si)3Si2HI2, (Me3Si)3Si2H2l, (Me3Si)4Si2HI, Me3GeSi2HI4, Me3GeSi2H2l3, Me3GeSi2H3l2, Me3GeSi2H4l, (Me3Ge)2Si2HI3, (Me3Ge)2Si2H2l2, (Me3Ge)2Si2H3l, (Me3Ge)3Si2HI2,
(Me3Ge)3Si2H2l, (Me3Ge)4Si2HI, Me2HSiSi2HI4, Me2HSiSi2H2l3, Me2HSiSi2H3l2, Me2HSiSi2H4l, (Me2HSi)2Si2HI3, (Me2HSi)2Si2H2l2, (Me2HSi)2Si2H3l,
(Me2HSi)3Si2HI2, (Me2HSi)3Si2H2l, (Me2HSi)4Si2HI, Me2HGeSi2HI4,
Me2HGeSi2H2l3, Me2HGeSi2H3l2, Me2HGeSi2H4l, (Me2HGe)2Si2HI3,
(Me2HGe)2Si2H2l2, (Me2HGe)2Si2H3l, (Me2HGe)3Si2HI2, (Me2HGe)3Si2H2l, (Me2HGe)4Si2HI, etc.;
Si3Hx-8lx, wherein x= 1 to 7, such as Si3H7l, Si3H6l2, Si3H5l3, Si3H4l4, Si3H3l5, Si3H2l6, Si3HI7;
N(SiHxly)3, wherein each x is independently 0 to 3 and each y is independently 0 to 3, provided that at least one x and at least one y is 1 , such as
N(SiH3)2(SiH2l), N(SiH3)2(SiHI2), N(SiH3)(SiH2l)2, N(SiH3)(SiHI2)2,
N(SiHI2)2(SiH2l), N(SiHI2)(SiH2l)2, N(SiH2l)3, or N(SiHI2)3;
N(SiHxRylz)3, wherein each x is independently 0 to 3, each y is independently 0 to 3, each z is independently 0 to 3, x+y+z = 3, and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group, provided that (a) at least one x, at least one y, and at least one z is 1 , and (b) that at least one Si is bonded to both H and I, such as N(SiH3)2(SiMeHI), N(SiH2Me)2(SiMeHI), N(SiHMe2)2(SiMeHI),
N(SiMe2H)2(SiH2l), N(SiMe3)2(SiH2l), N(SiMe2H)2(SiHI2), N(SiMe3)2(SiHI2), etc.; or
(SiHxly)2CH2, wherein each x is independently 0 to 3, each y is independently 0 to 3, provided that at least one x and at least one y is 1 , such as (SiH2l)2- CH2, (SiHI2)2-CH2, (SiH2l)-CH2-(SiH3), (SiHI2)-CH2-(SiH3), or (SiH2l)-CH2- (SiHI2). [0040] The Si-H containing iodosilanes are synthesized by reacting the corresponding halosilane with an alkali metal halide, as shown:
SiwHxRyXz + n MI → SiwHxRylz + n MX (6)
N(SiHaRbXc)3 + n M\ → N(SiHaRblc)3 + n MX (7) (SiHmRpX0)2-CH2 + n MI → (SiHmRpl0)2-CH2 + n MX (8) wherein w=1 to 3; x=1 to 2w+1 ; y=0 to 2w+1 ; z=1 to 2w+1 ; x+y+z = 2w+2; each a is independently 0 to 3; each b is independently 0 to 3; each c is independently 0 to 3; a+b+c = 3 provided that at least one a and at least one c is 1 ; each m is
independently 0 to 3; each p is independently 0 to 3; each o is independently 0 to 3; m+p+o = 3 provided that at least one m and at least one o is 1 ; n=1 to 4; X=Br or CI; M = Li, Na, K, Rb, or Cs, preferably Li; and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group. The alkali metal salts (i.e., Ml) may be used in excess or in deficient quantities depending on the degree of halogen exchange desired. However, an excess of Ml will favor the full substitution of the halide on the halosilane by the iodide, reducing the amount of chlorine or bromine impurities contained in the reaction product. The person having ordinary skill in the art would tune the reaction stoichiometry to make partially iodinated molecules such as SiH2ICI, SiHCII2, Si2HCI4l , SiH2IBr, SiHBrl2, Si2HBr4l , etc.
[0041] As discussed above, the salt driven reactions dictate what reagents to use. However, unlike the prior art Finkelstein reactions, lithium iodide and lithium chloride exhibit little to no solubility in hydrocarbons or fluorocarbons. For example, the reaction of SiCI2H2 with two moles of lithium iodide in an aliphatic, aromatic, or chlorinated hydrocarbon will form Sil2H2 and two moles of lithium chloride as the main product and salt byproduct, respectively. Both Lil and LiCI remain as solids during this reaction. Li and CI form a hard acid/base pair, whereas Lil has a hard/soft acid/base mismatch. As a result, Applicants believe that formation of the insoluble LiCI may provide the driving force for the reaction. However, the formation of SiH2l2 itself may partially solubilize Lil and help drive the reaction. As a result, it may be beneficial to add the desired Si-H containing iodosilane product to the original reaction mixture.
SiH2CI2 (g or I) + 2Lil (s) → SiH2l2 (I) + 2LiCI (s) (9) with g=gas, l=liquid, and s=solid. Other alkali metal salts, such as sodium iodide (Nal) are useful in some cases for the preparation of halogen exchange products. However, Nal is less reactive than lithium iodide in a comparable solvent and would typically require a coordinating solvent for any reaction to proceed at industrially relevant reaction rates, provided that the coordinating solvent is selected to minimize adverse impact on product synthesis and/or yield.
[0042] In another example, the reaction of Si2CI5H with five moles of sodium iodide in a chlorinated hydrocarbon, such as chloroform, will form S 12I5H and five moles of sodium chloride as the main product and salt byproduct, respectively. Formation of NaCI is the driving force for the reaction.
Si2HCI5 (I) + 5Nal (s) → Si2HI5 (I) + 5NaCI (s) (10) One of ordinary skill in the art will recognize that competition between the Si-Si bond cleavage and halogen exchange may require the use of the less reactive Nal or an alternative alkali metal halide, and/or alternative solvents. Product yield may be further maximized by optimizing reaction parameters, such as removing any salt byproducts as the reaction proceeds to further prevent halogen scrambling and side reactions.
[0043] While the examples that follow demonstrate the disclosed synthesis
processes using inorganic halosilane reactants, one of ordinary skill in the art will recognize that organic Si-R groups are less reactive than Si-X and Si-H and therefore are likely to remain undisturbed during the disclosed synthesis processes.
[0044] The halosilane and alkali metal halide reactants may be commercially available. Alternatively, the halosilane reactant may be synthesized by reducing the corresponding fully halogenated silane (i.e. , SixRyX2x+2-y, N(SiRbX3-b)3, or (SiRnX3-n)2- CH2) with a standard reducing agent such as Lithium Aluminum Hydride (e.g. , LiAIH4), NaBH4, etc. In another alternative, the halosilane reactant may be
synthesized by reacting the corresponding silane [i.e. , SixRyH2x+2-y, N(SiHaR3-a)3, or (SiHmR3-m)2-CH2] with a halogenating agent, such as N-chloro, -bromo, or -iodo- succinimide in toluene for 1 to 12 hours at temperatures ranging from 0°C to reflux, according to Morrison et al. , J. Organomet. Chem. , 92, 2, 1975, 163-168. While the form of the reactants is not critical (i.e. , solid, liquid, or gas), one of ordinary skill in the art will recognize that reactants having a larger surface area provide more reaction sites and therefore more efficient reactions. For example, a finer grain powder typically provides more reaction sites than solid beads or chunks. [0045] The water content of the reactants and any solvents should be minimized to prevent formation of siloxane by-products (i.e., Si-O-Si). Preferably, the water content range from approximately 0% w/w to approximately 0.001 % w/w (10 ppmw). If necessary, the reactants may be dried prior to synthesis using standard
techniques, such as refluxing over P2O5, treating by molecular sieve, or heating under vacuum (e.g., anhydrous Lil may be produced by baking at 325°C under vacuum for 8+ hours).
[0046] The reaction vessel is made of, lined with, or treated to be a material that is compatible with the reactants and products. Exemplary materials include passivated stainless steel, glass, perfluoroalkoxy alkanes (PFA), and polytetrafluoroethylene (PTFE). The vessel may be jacketed or placed in a heating or cooling bath. The reaction vessel may include a stirring mechanism made of a compatible material, such as glass stirring shafts, PTFE paddle stirrers, and/or PTFE coated stainless steel impellers. The reaction vessel may also be equipped with multiple "injection ports," pressure gauges, diaphragm valves. The reaction vessel is designed to perform the synthesis under an inert atmosphere, such as N2 or a noble gas.
Precautions to minimize the exposure of the reactants and reaction mixture to light may also be taken, such as covering any clear glassware in tin foil. For synthesis of S1H2I2, amber colored glassware is not suitable because the iron oxide coating may contaminate the product. Additionally, the reaction vessel, stirring mechanism, and any other associated equipment, such as a Schlenk line or glovebox, should be air- and moisture-free using standard drying techniques, such as vacuum, inert gas flow, oven drying, etc.
[0047] As discussed above with respect to the reactants and demonstrated in the examples that follow, the reaction vessel and any and all components that come into contact with the reactants and products should have high purity. A high purity reaction vessel is typically a vessel that is compatible with the Si-H containing iodosilane, and that is free of impurities that may react with the Si-H containing iodosilane or contaminate it. Typical examples of such high purity vessels are stainless steel canisters, having low surface roughness and mirror finish. The low surface roughness and mirror finish is typically obtained by mechanical polishing and optionally by additional electropolishing. The high purity is typically obtained by treatments that include (a) cleaning steps using dilute acids (HF, HNO3), followed by (b) a rinsing with high purity de-ionized water to ensure the complete removal of traces of the acid, followed by (c) drying of the vessel. The deionized water (DIW) rinsing is typically carried out until the resistivity of the rinsing water reaches 100 pS/cm, and preferably below 25 S/cm. The drying step may comprise purge steps using an inert gas such as He, N2, Ar, (preferably N2 or Ar), vacuum steps during which the pressure in the vessel is reduced to accelerate outgassing from the surface, heating of the vessel, or any combination thereof.
[0048] The gas used for the purging should be of semiconductor grade, i.e. free of contaminants such as trace moisture and oxygen (< 1 ppm, preferably < 10 ppb), and particles (< 5 particles per litre @ 0.5 pm). The drying step may comprise alternate sequences of purges during which a certain flow of gas is flown through the vessel, and vacuuming steps. Alternatively, the drying step can be carried out by constantly flowing a purge gas while maintaining a low pressure in the vessel. The efficiency and end point of the canister drying can be assessed by measuring the trace H20 level in the gas coming out from the vessel. With an inlet gas having less than 10 ppb H20, the outlet gas should have a moisture content ranging from approximately 0 ppm to approximately 10 ppm, preferably ranging from
approximately 0 ppm to approximately 1 ppm, and more preferably ranging from approximately 0 ppb to approximately 200 ppb. During the purge steps and vacuum steps, heating the vessel is known to accelerate the dry down and vessels are typically maintained at a temperature ranging from approximately 40°C to
approximately 150°C.
[0049] Once cleaned and dried, such high purity vessels must have a total leak rate below 1 E-6 std cm3/s, preferably < 1 E-8 std cm3/s.
[0050] Optionally, the vessel may have an internal coating or platings to further reduce the risk of corrosion of or improve the stability of the product in the vessel. Exemplary coatings include those provided by Silcotek (https://www.silcotek.com) or disclosed in U.S. Pat. App. Pub. No. 2016/046408. The vessel may also be passivated by exposure to a silylating agent such as silane, disilane,
monochlorosilane, hexamethyldisilazane prior to the reaction and/or filling with the Si-H containing iodosilane.
[0051] One of ordinary skill in the art will recognize the sources for the equipment components of the systems used to practice the disclosed methods. Some level of customization of the components may be required based upon the desired temperature range, pressure range, local regulations, etc. Exemplary equipment suppliers include Buchi Glass Uster AG, Shandong ChemSta Machinery Manufacturing Co. Ltd., Jiangsu Shajabang Chemical Equipment Co. Ltd, etc. As discussed above, the components are preferably made of corrosion resistant materials, such as glass, glass-lined steel, or steel with corrosion resistant liners, etc.
[0052] The air- and moisture-free high purity reactor is charged with the alkali metal halide. An optional solvent that does not decompose or react with the final product may be added as a heat exchange medium and/or an aid in mixing and/or product extraction prior to or after addition of the alkali metal halide. Exemplary solvents include C3-C20 alkanes, such as propane, butane, pentane, etc., or chlorinated hydrocarbons, such as chloromethane, dichloromethane, chloroform, carbon tetrachloride, etc., and mixtures thereof. As discussed above, the desired Si-H containing iodosilane may also be used as a solvent. The alkali metal halide salt may be soluble in the solvent. However, depending on the reactants, salt solubility may not be a critical factor. For example, as shown in Example 5 infra, lithium iodide in pentane reacts with dichlorosilane in a solid-liquid reaction. The reaction mixture may be stirred to promote contact between the reactants. Alternatively, the reaction may proceed without the use of a solvent, as illustrated in Example 3 infra.
[0053] The halosilane may be added to the reactor through the headspace or via subsurface addition as a gas, liquid (condensed), or in a solution. The halosilane may be in gas form and added to the headspace above the lithium iodide/solvent mixture. Alternatively, the gas form of the halosilane may condensed using a condenser and added directly to the lithium iodide/solvent mixture. In another alternative, the liquid form of the halosilane may be added from the top of the reactor using a conduit piped to the reactor. In another alternative, the gaseous or liquid form may be added below the surface of the iodine/solvent mixture using a reactor equipped with a dip tube plunged inside the salt/solvent mixture. In the examples that follow, condensation of dichlorosilane was done to facilitate a more rapid reagent transfer.
[0054] The halosilane may be added in excess, stoichiometric, or sub-stoichiometric amounts, depending upon which product distribution is desired. An excess amount of halosilane versus the metal iodide salt will lead to a partial substitution of the halides by iodine on the halosilane, and allow the formation of SiwHxRylz compounds, wherein at least one R is CI or Br. An excess of the iodide metal salt will favor the full substitution of the iodide on the halosilane (i.e., no R = CI or Br). [0055] Alternatively, the halosilane may be added to the reactor prior to the addition of the alkali metal halide. The addition mechanisms for the halosilane and alkali metal halide described above remain the same whether the reactant is added to the reactor first or second.
[0056] The halosilane/alkali metal halide mixture may be stirred to promote contact between the reactants. The reaction may be exothermic. In the examples that follow, the reaction mixture is stirred for a sufficient time to permit the reaction to move to completion at ambient temperature (i.e., approximately 20°C to
approximately 26°C). No heating was necessary in the following examples, but may be an option to accelerate the reaction. A person of ordinary skill in the art may determine the most suitable temperature range depending on the individual kinetics of each halosilane. For example, a halosilane having partial hydrocarbyl
substitutions may require a higher reaction temperature than a halosilane having no hydrocarbyl substituents because of the steric hindrance produced by the
hydrocarbyl groups.
[0057] The progress of the reaction may be monitored using, for example, gas chromatography or in-situ probes such as FTIR or RAMAN probes, which are commercially available. For a stoichiometric excess of the metal iodide salt, the predominant reaction products are SiwHxRylz + nMX, with minor amounts of
SiwHxRyXz, Ml, solvent, and a SiwHxRy(IX)z intermediary reaction product, containing z amount of both I and X. For example, the SiH2l2 reaction mixture may include the SiH2l2 reaction product, the LiCI reaction byproduct, some residual S1CI2H2 and/or Lil reactants, solvent, and the CISiH2l intermediary reaction product.
[0058] The stirred mixture may be filtered to remove any solid impurities and the salt byproduct. Typical filters include glass or polymer fritted filters.
[0059] Alternatively, when the salt byproduct dissolves in the solvent, the stirred mixture may be filtered to remove solid byproducts prior to further processing. A filtration agent such as anhydrous diatomaceous earth may be employed to improve the process. Typical filters include glass or polymer frit filters.
[0060] Occasionally, the filtrate may need further processing. For example, when the filtrate yields a heterogeneous suspension of solid material, the filtrate may then be distilled over a short path column to yield the Si-H containing iodosilane through a flash distillation process that removes some or all of the non-desired reaction byproducts or impurities. Alternatively, the Si-H containing iodosilane reaction product may be isolated from the filtrate through a distillation column or by heating the filtrate to approximately the boiling point of the non-organic silicon hydride reaction product. In another alternative, both the flash process and the distillation column may be necessary. One of ordinary skill in the art will recognize that the boiling point of the warmed stirred mixture will change as the Si-H containing iodosilane reaction product is isolated from the warmed stirred mixture and adjust the recovery temperature accordingly. Any unreacted halosilane may be vented through a distillation column as it tends to be more volatile than the product obtained, owing to the high mass of iodine vs Br or CI. One of ordinary skill in the art will recognize that the vented halosilane may be recovered for later use or disposal.
[0061] The disclosed methods may convert approximately 40% mol/mol to
approximately 99% mol/mol of the halosilane to the Si-H containing iodosilane reaction product. The isolated Si-H containing iodosilane reaction product has a purity typically ranging from approximately 50% mol/mol to approximately 99% mol/mol.
[0062] The Si-H containing iodosilane reaction product may be further purified by distillation, sublimation, or re-crystallization. Suitable distillation methods include atmospheric fractional distillation or batch fractional distillation or vacuum fractional distillation. The batch fractional distillation may be performed at low temperature and pressure. Alternatively, the Si-H containing iodosilane reaction product may be purified by continuous distillation over two distillation columns to separate the Si-H containing iodosilane reaction product from both low and high boiling impurities in sequential steps. The purified Si-H containing iodosilane reaction products may be used as Si-containing film forming compositions.
[0063] The Si-containing film forming composition has a purity ranging from
approximately 97% mol/mol to approximately 100% mol/mol, preferably from approximately 99% mol/mol to approximately 100% mol/mol, more preferably from approximately 99.5% mol/mol to approximately 100% mol/mol, and even more preferably from approximately 99.97% mol/mol to approximately 100% mol/mol. The Si-containing film forming compositions preferably comprise between the detection limit and 100 ppbw of each potential metal contaminant (e.g., at least Ag, Al, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K, Na, Sb, Ti, Zn, etc.). The concentration of X (wherein X = CI, Br, or I) in the Si-containing film forming compositions may range from approximately 0 ppmw to approximately 100 ppmw, and more preferably from approximately 0 ppmw and to approximately 10 ppmw.
[0064] As shown in the examples below, the purified product may be analyzed by gas chromatography mass spectrometry (GCMS). The structure of the product may be confirmed by 1H, 13C and/or 29Si NMR.
[0065] As discussed in detail above and illustrated in the examples that follow, the Si-containing film forming composition must be stored in a clean dry storage vessel with which it does not react in order to maintain its purity.
[0066] FIG 1 is an exemplary system suitable to perform the disclosed methods. Air may be removed from various parts of the system (e.g., reactor 1 , vessel 8, boiler 6) by an inert gas 9, such as nitrogen, argon, etc. The inert gas 9 may also serve to pressurize a solvent 11 to permit its delivery to reactor 1. Nitrogen, refrigerated ethanol, an acetone/dry ice mixture, or heat transfer agents such as monoethylene glycol (MEG) may be used to cool various parts of the system (e.g., reactor 1 , distillation column 27, condenser 57).
[0067] The reactor 1 may be maintained at the desired temperature by jacket 2. The jacket 2 has an inlet 21 and an outlet 22. Inlet 21 and outlet 22 may be connected to a heat exchanger/chiller 23 and/or pump (not shown) to provide recirculation of the cooling fluid. Alternatively, if the batch size is small enough and the mixing time short enough, jacket 2 may not require inlet 21 and outlet 22 because the thermal fluid may be sufficiently cold for the duration of the reaction. In another alternative, and as discussed above, jacketed temperature control may not be necessary and these four components removed from the system (i.e., 2, 21 , 22 and 23).
[0068] The reactants (solvent, such as pentane, stored in vessel 11 and halosilane, such as ethyldichlorosilane, stored in vessel 24) are added to reactor 1 via lines 14 and 25, respectively. The solvent and halosilane may be added to the reactor 1 via a liquid metering pump (not shown), such as a diaphragm pump, peristaltic pump, or syringe pump. The alkali metal halide, such as Lil, stored in vessel 13, may be added to the reactor 1 via gravity flow, or suspended in a solvent compatible with the Si-H containing iodosilane reaction product and introduced into the reactor in a manner analogous to that of the solvent and halosilane (i.e., via line 16). The reactants may be mixed in the reactor by an impeller 17a turned by motor 17b to form mixture 26. Preferably, the mixing is performed under an inert atmosphere at approximately atmospheric pressure. A temperature sensor (not shown) may be used to monitor the temperature of the contents of the reactor 1.
[0069] Upon completion of the addition, the progress of the reaction may be monitored using, for example, gas chromatography. Upon completion of the reaction, the mixture 26 may be removed from reactor 1 via drain 19 through filter 3 to container 4. The predominant reaction products are ethyldiiodosilane (EtSiHI2), a liquid at standard temperature and pressure, and LiCI, a solid at standard
temperature and pressure, with minor amounts of Lil and EtSilCIH impurities. In this embodiment, reactor 1 will most likely be located above filter 3 to best use the benefits of gravity. As the MX reaction byproduct (X = CI, Br), for example LiCI, (not shown) is suspended in the mixture 26, clogging of the reactor 1 is not a problem.
[0070] The filtered stirred mixture (filtrate)(not shown) may be collected in containers (not shown) and transported to a new location prior to performance of the next process steps. Alternatively, the filtrate may immediately be directed to a still pot 4 to isolate the reaction product from the filtrate using heater 28. The filtrate is warmed by heater 28. The heat forces the volatile solvent through distillation column 27 and vent 43. Subsequently, the isolated reaction product is collected in vessel 8.
[0071] Once again, vessel 8 may be transported to a new location prior to
performance of the next process steps. The isolated reaction product may be transferred from vessel 8 to boiler 6 for further purification, if necessary. Boiler 6 is heated by heater 29. The isolated reaction product is purified by fractional distillation using distillation tower 53, condenser 57, and reflux divider 54. The purified reaction product is collected in collection tank 7. Collection tank 7 includes vent 60.
[0072] FIG 2 is an alternate exemplary system suitable to perform the disclosed methods. In this alternative, reactor 1 also serves as the still pot 4 of FIG 1. This embodiment may be useful for synthesis of large batches of Si-H containing iodisilanes. After sufficient mixing, the cooling medium (not shown) in jacket 2 is replaced by a heating medium (not shown). One of ordinary skill in the art will recognize that "replacement" of the cooling medium will not be necessary if the cooling medium is also capable of acting as both a heating and cooling medium (e.g., MEG). Instead, the temperature of the medium may be changed via, for example, heat exchanger 23.
[0073] The volatile solvent may be separated from the mixture 26 through distillation column 27 and vent 43. Subsequently, Si-H containing iodosilane is collected in vessel 8. The remaining solvent/salt mixture may be removed from reactor 1 via drain 19 with the salt collected on filter 3. Once again, vessel 8 may be transported to a new location prior to performance of the next process steps. The Si-H
containing iodosilane may be transferred from vessel 8 to boiler 6 for further purification, if necessary. Boiler 6 is heated by heater 29. The Si-H containing iodosilane is purified by fractional distillation using distillation tower 53, condenser 57, and reflux divider 54. The purified Si-H containing iodosilane is collected in collection tank 7. Collection tank 7 includes vent 60.
[0074] The reaction may also be performed in a continuous reactor by feeding the halosilane, possibly diluted in a solvent, together with the metal iodide, possibly suspended in a solvent, and passing them at a controlled residence time and temperatures in a flow through reactor. The flow of each reagent may be controlled by metering pumps such as peristaltic pumps. The reaction mixture may then be collected in a receiving vessel, and separated as in the batch synthesis example above. Alternatively, the solid fraction may be removed in line, using for instance a centrifuge pump (commercially available). The product may also be separated from the solvent(s) by continuously feeding the filtered fraction to a continuous distillation unit.
[0075] The advantages of the disclosed synthesis methods are as follows:
• A catalyst free process, which helps reduce cost, contamination and product isolation issues;
• Essentially eliminates a majority of side reactions associated with the prior art reaction using an iodine reactant, which forms lower and higher-order iodosilanes as impurities;
• Does not produce an HX intermediary reaction product, which may contribute to side reactions and increased impurity profile and, as a result, the resulting product does not require the prior art Ag, Cu or Sb stabilizer;
• Many of the starting materials are inexpensive and readily available;
• One step-one pot reactions;
• The process may be solventless;
• Simple purification;
• Low reaction exotherm;
• May be performed at ambient temperature (i.e., approximately 20°C to
approximately 26°C); and • The waste generation is minimal and environmentally benign.
[0076] All of the above are the advantageous from the standpoint of developing a scalable industrial process. Additionally, the resulting product is more stable than products made using X2 or HX reactants. As a result, the reaction product maintains purity levels suitable for the semiconductor industry without the use of stabilizers, such as Cu, which may adversely affect the electrical properties of the deposited films.
[0077] Also disclosed are methods of using the disclosed Si-containing film forming compositions for vapor deposition methods. The disclosed methods provide for the use of the Si-containing film forming compositions for deposition of silicon-containing films. The disclosed methods may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices. The method includes: introducing the vapor of the disclosed Si-containing film forming compositions into a reactor having a substrate disposed therein and depositing at least part of the disclosed Si-H containing iodosilane onto the substrate via a deposition process to form a Si- containing layer.
[0078] The disclosed methods also provide for forming a bimetal-containing layer on a substrate using a vapor deposition process and, more particularly, for deposition of SiMOx or SiMNx films, wherein x may be 0-4 and M is Ta, Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, lanthanides (such as Er), or combinations thereof.
[0079] The disclosed methods of forming silicon-containing layers on substrates may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices. The disclosed Si-H containing iodosilanes may deposit Si-containing films using any vapor deposition methods known in the art. Examples of suitable vapor deposition methods include chemical vapor deposition (CVD) or atomic layer deposition (ALD). Exemplary CVD methods include thermal CVD, plasma enhanced CVD (PECVD), pulsed CVD (PCVD), low pressure CVD (LPCVD), sub-atmospheric CVD (SACVD) or atmospheric pressure CVD (APCVD), flowable CVD (f-CVD), metal organic chemical vapor deposition (MOCVD), hot-wire CVD (HWCVD, also known as cat-CVD, in which a hot wire serves as an energy source for the deposition process), radicals incorporated CVD, and combinations thereof. Exemplary ALD methods include thermal ALD, plasma enhanced ALD (PEALD), spatial isolation ALD, hotwire ALD (HWALD), radicals incorporated ALD, and combinations thereof. Super critical fluid deposition may also be used. The deposition method is preferably ALD, spatial ALD, or PE-ALD in order to provide suitable step coverage and film thickness control.
[0080] The vapor of the Si-containing film forming composition is introduced into a reaction chamber containing a substrate. The temperature and the pressure within the reaction chamber and the temperature of the substrate are held at conditions suitable for vapor deposition of at least part of the Si-H containing iodosilane onto the substrate. In other words, after introduction of the vaporized composition into the chamber, conditions within the chamber are such that at least part of the vaporized precursor is deposited onto the substrate to form the silicon-containing film. A co- reactant may also be used to help in formation of the Si-containing layer.
[0081] The reaction chamber may be any enclosure or chamber of a device in which deposition methods take place, such as, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other such types of deposition systems. All of these exemplary reaction chambers are capable of serving as an ALD reaction chamber. The reaction chamber may be maintained at a pressure ranging from about 0.5 mTorr to about 760 Torr. In addition, the temperature within the reaction chamber may range from about 20°C to about 700°C. One of ordinary skill in the art will recognize that the temperature may be optimized through mere experimentation to achieve the desired result.
[0082] The temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art. The reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the reactor wall may be heated includes from approximately 20°C to approximately 700°C. When a plasma deposition process is utilized, the deposition temperature may range from approximately 20°C to approximately 550°C.
Alternatively, when a thermal process is performed, the deposition temperature may range from approximately 300°C to approximately 700°C.
[0083] Alternatively, the substrate may be heated to a sufficient temperature to obtain the desired silicon-containing film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the substrate may be heated includes from 150°C to 700°C. Preferably, the temperature of the substrate remains less than or equal to 500°C.
[0084] The type of substrate upon which the silicon-containing film will be deposited will vary depending on the final use intended. A substrate is generally defined as the material on which a process is conducted. The substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. Examples of suitable substrates include wafers, such as silicon, silica, glass, Ge, or GaAs wafers. The wafer may have one or more layers of differing materials deposited on it from a previous manufacturing step. For example, the wafers may include silicon layers (crystalline, amorphous, porous, etc.), silicon oxide layers, silicon nitride layers, silicon oxy nitride layers, carbon doped silicon oxide (SiCOH) layers, or combinations thereof. Additionally, the wafers may include copper layers, tungsten layers or metal layers (e.g. platinum, palladium, nickel, rhodium, or gold). The wafers may include barrier layers, such as manganese, manganese oxide, tantalum, tantalum nitride, etc. The layers may be planar or patterned. In some embodiments, the substrate may be coated with a patterned photoresist film. In some embodiments, the substrate may include layers of oxides which are used as dielectric materials in MIM, DRAM, or FeRam technologies (for example, ZrO2 based materials, HfO2 based materials, T1O2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or from nitride- based films (for example, TaN) that are used as electromigration barrier and adhesion layer between copper and the low-k layer. The disclosed processes may deposit the silicon-containing layer directly on the wafer or directly on one or more than one (when patterned layers form the substrate) of the layers on top of the wafer. Furthermore, one of ordinary skill in the art will recognize that the terms "film" or "layer" used herein refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line. Throughout the specification and claims, the wafer and any associated layers thereon are referred to as
substrates. The actual substrate utilized may also depend upon the specific precursor embodiment utilized. In many instances though, the preferred substrate utilized will be selected from hydrogenated carbon, TiN, SRO, Ru, and Si type substrates, such as polysilicon or crystalline silicon substrates.
[0085] The substrate may be patterned to include vias or trenches having high aspect ratios. For example, a conformal Si-containing film, such as S1O2, may be deposited using any ALD technique on a through silicon via (TSV) having an aspect ratio ranging from approximately 20: 1 to approximately 100: 1 .
[0086] The Si-containing film forming compositions may be supplied neat.
Alternatively, the Si-containing film forming compositions may further comprise a solvent suitable for use in vapor deposition. The solvent may be selected from, among others, C1 -C16 saturated or unsaturated hydrocarbons.
[0087] For vapor deposition, the Si-containing film forming compositions are introduced into a reactor in vapor form by conventional means, such as tubing and/or flow meters. The vapor form may be produced by vaporizing the Si-containing film forming compositions through a conventional vaporization step such as direct liquid injection, direct vapor draw in the absence of a carrier gas, by bubbling a carrier gas through the liquid, by evaporating vapors in a carrier gas without bubbling through the liquid, or when the precursor is solid at room temperature, by using a sublimator such as the one disclosed in PCT Publication WO2009/087609 to Xu et al. The Si- containing film forming compositions may be fed in liquid state to a vaporizer (Direct Liquid Injection) where it is vaporized and mixed with a carrier gas before it is introduced into the reactor. Alternatively, the Si-containing film forming compositions may be vaporized by passing a carrier gas into a container containing the
composition or by bubbling the carrier gas into the composition. The carrier gas may include, but is not limited to, Ar, He, or N2, and mixtures thereof. The carrier gas and composition are then introduced into the reactor as a vapor.
[0088] The Si-containing film forming compositions may be delivered to the reactor or vapor deposition chamber by the Si-containing film forming composition delivery devices of FIGS 3-5, which show three exemplary embodiments of Si-containing film forming composition delivery devices. As discussed in detail above and illustrated in the examples that follow, the delivery devices must be clean and dry and made of a material with which the Si-H containing film forming composition does not react.
[0089] FIG 3 is a side view of one embodiment of the Si-containing film forming composition reactant delivery device 101. In FIG 3, the disclosed Si-containing film forming compositions 110 are contained within a container 200 having two conduits, an inlet conduit 300 and an outlet conduit 400. One of ordinary skill in the reactant art will recognize that the container 200, inlet conduit 300, and outlet conduit 400 are manufactured to prevent the escape of the gaseous form of the Si-containing film forming composition 110, even at elevated temperature and pressure. [0090] The outlet conduit 400 of the delivery device 101 fluidly connects to the reactor (not shown) or other components between the delivery device and the reactor, such as a gas cabinet, via valve 700. Preferably, the container 200, inlet conduit 300, valve 600, outlet conduit 400, and valve 700 are made of passivated 316L EP or 304 passivated stainless steel. However, one of ordinary skill in the art will recognize that other non-reactive materials may also be used in the teachings herein.
[0091] In FIG 3, the end 800 of inlet conduit 300 is located above the surface of the Si-containing film forming composition 110, whereas the end 900 of the outlet conduit 400 is located below the surface of the Si-containing film forming
composition 110. In this embodiment, the Si-containing film forming composition 110 is preferably in liquid form. An inert gas, including but not limited to nitrogen, argon, helium, and mixtures thereof, may be introduced into the inlet conduit 300. The inert gas pressurizes the delivery device 200 so that the liquid Si-containing film forming composition 110 is forced through the outlet conduit 400 and to the reactor (not shown). The reactor may include a vaporizer which transforms the liquid Si- containing film forming composition 110 into a vapor, with or without the use of a carrier gas such as helium, argon, nitrogen or mixtures thereof, in order to deliver the vapor to the substrate on which the film will be formed. Alternatively, the liquid Si- containing film forming composition 110 may be delivered directly to the wafer surface as a jet or aerosol.
[0092] FIG 4 is a side view of a second embodiment of the Si-containing film forming composition delivery device 101. In FIG 4, the end 800 of inlet conduit 300 is located below the surface of the Si-containing film forming composition 110, whereas the end 900 of the outlet conduit 400 is located above the surface of the Si- containing film forming composition 110. FIG 2 also includes an optional heating element 140, which may increase the temperature of the Si-containing film forming composition 110. In this embodiment, the Si-containing film forming composition 110 may be in solid or liquid form. An inert gas, including but not limited to nitrogen, argon, helium, and mixtures thereof, is introduced into the inlet conduit 300. The inert gas bubbles through the Si-containing film forming composition 110 and carries a mixture of the inert gas and vaporized Si-containing film forming composition 110 to the outlet conduit 400 and on to the reactor. [0093] FIGS 3 and 4 include valves 600 and 700. One of ordinary skill in the art will recognize that valves 600 and 700 may be placed in an open or closed position to allow flow through conduits 300 and 400, respectively. Either delivery device 101 in FIGS 3 and 4, or a simpler delivery device having a single conduit terminating above the surface of any solid or liquid present, may be used if the Si-containing film forming composition 110 is in vapor form or if sufficient vapor pressure is present above the solid/liquid phase. In this case, the Si-containing film forming composition 110 is delivered in vapor form through the conduit 300 or 400 simply by opening the valve 600 in FIG 3 or 7 in FIG 4. The delivery device 101 may be maintained at a suitable temperature to provide sufficient vapor pressure for the Si-containing film forming composition 110 to be delivered in vapor form, for example by the use of an optional heating element 140.
[0094] While FIGS 3 and 4 disclose two embodiments of the Si-containing film forming composition delivery device 101 , one of ordinary skill in the art will recognize that the inlet conduit 300 and outlet conduit 400 may also both be located above or below the surface of the Si-containing film forming composition 110 without departing from the disclosure herein. Furthermore, inlet conduit 300 may be a filling port.
[0095] The vapors of solid forms of the Si-containing film forming compositions may be delivered to the reactor using a sublimator. FIG 5 shows one embodiment of an exemplary sublimator 100. The sublimator 100 comprises a container 33. Container 33 may be a cylindrical container, or alternatively, may be any shape, without limitation. The container 33 is constructed of materials such as passivated stainless steel, aluminum oxide, glass, and other chemically compatible materials, without limitation. In certain instances, the container 33 is constructed of another metal or metal alloy, without limitation. In certain instances, the container 33 has an internal diameter from about 8 centimeters to about 55 centimeters and, alternatively, an internal diameter from about 8 centimeters to about 30 centimeters. As understood by one skilled in the art, alternate configurations may have different dimensions.
[0096] Container 33 comprises a sealable top 15, sealing member 18, and gasket 20. Sealable top 15 is configured to seal container 33 from the outer environment. Sealable top 15 is configured to allow access to the container 33. Additionally, sealable top 15 is configured for passage of conduits into container 33. Alternatively, sealable top 15 is configured to permit fluid flow into container 33. Sealable top 15 is configured to receive and pass through a conduit comprising a dip tube 92 to remain in fluid contact with container 33. Dip tube 92 having a control valve 90 and a fitting 95 is configured for flowing carrier gas into container 33. In certain instances, dip tube 92 extends down the center axis of container 33. Further, sealable top 15 is configured to receive and pass through a conduit comprising outlet tube 12. The carrier gas and vapor of the Si-containing film forming composition is removed from container 33 through the outlet tube 12. Outlet tube 12 comprises a control valve 10 and fitting 5. In certain instances, outlet tube 12 is fluidly coupled to a gas delivery manifold, for conducting carrier gas from the sublimator 100 to the reactor.
[0097] Container 33 and sealable top 15 are sealed by at least two sealing members 18; alternatively, by at least about four sealing members. In certain instance, sealable top 15 is sealed to container 33 by at least about eight sealing members 18. As understood by one skilled in the art, sealing member 18 releasably couples sealable top 15 to container 33, and forms a gas resistant seal with gasket 20.
Sealing member 18 may comprise any suitable means known to one skilled in the art for sealing container 33. In certain instances, sealing member 18 comprises a thumbscrew.
[0098] As illustrated in FIG 5, container 33 further comprises at least one disk disposed therein. The disk comprises a shelf, or horizontal support, for solid material. In certain embodiments, an interior disk 30 is disposed annularly within the container 33, such that the disk 30 includes an outer diameter or circumference that is less than the inner diameter or circumference of the container 33, forming an opening 31. An exterior disk 86 is disposed circumferentially within the container 33, such that the disk 86 comprises an outer diameter or circumference that is the same, about the same, or generally coincides with the inner diameter of the container 33. Exterior disk 86 forms an opening 87 disposed at the center of the disk. A plurality of disks is disposed within container 33. The disks are stacked in an alternating fashion, wherein interior disks 30, 34, 36, 44 are vertically stacked within the container with alternating exterior disks 62, 78, 82, 86. In embodiments, interior disks 30, 34, 36, 44 extend annularly outward, and exterior disks 62, 78, 82, 86 extend annularly toward the center of container 33. As illustrated in the embodiment of FIG 5, interior disks 30, 34, 36, 44 are not in physical contact with exterior disks 62, 78, 82, 86
[0099] The assembled sublimator 100 comprises interior disks 30, 34, 36, 44
comprising aligned and coupled support legs 50, interior passage 51 , concentric walls 40, 41 , 42, and concentric slots 47, 48, 49. The interior disks 30, 34, 36, 44 are vertically stacked, and annularly oriented about the dip tube 92. Additionally, the sublimator comprises exterior disks 62, 78, 82, 86. As illustrated in FIG 3, the exterior disks 62, 78, 82, 86 should be tightly fit into the container 33 for a good contact for conducting heat from the container 33 to the disks 62, 78, 82, 86.
Preferably, the exterior disks 62, 78, 82, 86 are coupled to, or in physical contact with, the inner wall of the container 33.
[00100] As illustrated, exterior disks 62, 78, 82, 86 and interior disks 30, 34, 36, 44 are stacked inside the container 33. When assembled in container 33 to form sublimator 100, the interior disks 30, 34, 36, 44 form outer gas passages 31 , 35, 37, 45 between the assembled exterior disks 62, 78, 82, 86. Further, exterior disks 62, 78, 82, 86 form inner gas passages 56, 79, 83, 87 with the support legs of the interior disks 30, 34, 36, 44. The walls 40, 41 , 42 of interior disks 30, 34, 36, 44 form the grooved slots for holding solid precursors. Exterior disks 62, 78, 82, 86 comprise walls 68, 69, 70 for holding solid precursors. During assembly, the solid precursors are loaded into the annular slots 47, 48, 49 of interior disks 30, 34, 36, 44 and annular slots 64, 65, 66 of exterior disks 62, 78, 82, 86.
[00101] Solid powders and/or granular particles of sizes less than about 1 centimeter, alternatively less than about 0.5 centimeter, and alternatively less than about 0.1 centimeter are loaded into the annular slots 47, 48, 49 of interior disks 30, 34, 36, 44 and annular slots 64, 65, 66 of exterior disks 62, 78, 82, 86. The solid precursors are loaded into the annular slots of each disk by any method suitable for uniform distribution of solid in the annular slots. Suitable methods include direct pour, using a scoop, using a funnel, automated measured delivery, and pressurized delivery, without limitation. Depending on the chemical properties of the solid precursor materials, loading may be conducted in a sealed environment.
Additionally, inert gas atmosphere and/or pressurization in a sealed box may be implemented for those toxic, volatile, oxidizable, and/or air sensitive solids. Each disk could be loaded after setting the disk in the container 33. A more preferred procedure is to load the solid prior to setting the disk into container 33. The total weight of solid precursor loaded into the sublimator may be recorded by weighing the sublimator before and after loading process. Further, consumed solid precursor may be calculated by weighing the sublimator after the vaporization and deposition process. [00102] Dip tube 92, having the control valve 90 and the fitting 95, is positioned in the center passage 51 of the aligned and coupled support legs of the interior disks 30, 34, 36, 44. Thus, dip tube 92 passes through interior passage 51 vertically toward bottom 58 of container 33. The dip tube end 55 is disposed proximal to the bottom 58 of container at/or above the gas windows 52. Gas windows 52 are disposed in bottom interior disk 44. The gas windows 52 are configured to allow carrier gas flow out of the dip tube 92. In the assembled sublimator 100, a gas passageway 59 is formed by the bottom surface 58 of the container 33, and the bottom interior disk 44. In certain instances, gas passageway 59 is configured to heat carrier gas.
[00103] In operation, the carrier gas is preheated prior to introduction into the container 33 via dip tube 92. Alternatively, the carrier gas can be heated while it flows through the gas passageway 59 by the bottom surface 58. Bottom surface 58 is thermally coupled and/or heated by an external heater consistently with the teachings herein. The carrier gas then passes through the gas passageway 45 that is formed by the outer wall 42 of the interior disk 44 and the outside wall 61 of the exterior disk 62. The gas passageway 45 leads to the top of the interior disk 44. The carrier gas continuously flows over the top of the solid precursors loaded into the annular slots 47, 48, and 49. Sublimed solid vapor from annular slots 47, 48, 49 is mixed with carrier gas and is flowed vertically upward through container 33.
[00104] While FIG 5 discloses one embodiment of a sublimator capable of delivering the vapor of any solid Si-containing film forming composition to the reactor, one of ordinary skill in the art will recognize that other sublimator designs may also be suitable, without departing from the teachings herein. Finally, one of ordinary skill in the art will recognize that the disclosed Si-containing film forming composition may be delivered to semiconductor processing tools using other delivery devices, such as the ampoules disclosed in WO 2006/059187 to Jurcik et al., without departing from the teachings herein.
[00105] If necessary, the Si-containing film forming composition devices of FIGS 3- 5 may be heated to a temperature that permits the Si-containing film forming composition to be in its liquid phase and to have a sufficient vapor pressure. The delivery device may be maintained at temperatures in the range of, for example, 0- 150°C. Those skilled in the art recognize that the temperature of the delivery device may be adjusted in a known manner to control the amount of Si-containing film forming composition vaporized.
[00106] In addition to the disclosed composition, a reaction gas may also be introduced into the reactor. The reaction gas may be an oxidizing agent such as O2; O3; H20; H2O2; oxygen containing radicals such as O- or OH-; NO; NO2; carboxylic acids such as formic acid, acetic acid, propionic acid; radical species of NO, NO2, or the carboxylic acids; para-formaldehyde; and mixtures thereof. Preferably, the oxidizing agent is selected from the group consisting of O2, O3, H2O, H2O2, oxygen containing radicals thereof such as O- or OH -, and mixtures thereof. Preferably, when an ALD process is performed, the co-reactant is plasma treated oxygen, ozone, or combinations thereof. When an oxidizing gas is used, the resulting silicon containing film will also contain oxygen.
[00107] Alternatively, the reaction gas may H2, NH3, (SiH3)3N, hydridosilanes (such as SiH4, S 12H6, Si3H8, S 14H 10, S 15H10, S 16H12), chlorosilanes and chloropolysilanes (such as S1HCI3, SiH2CI2, S 1H3CI, Si2CI6, Si2HCI5, Si3CI8), alkysilanes (such as Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), hydrazines (such as N2H4, MeHNNH2,
MeHNNHMe), organic amines (such as NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), diamines such as ethylene diamine, dimethylethylene diamine, tetramethylethylene diamine, pyrazoline, pyridine, B-containing molecules (such as B2H6, trimethylboron, triethylboron, borazine, substituted borazine,
dialkylaminoboranes), alkyl metals (such as trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc), radical species thereof, or mixtures thereof. When H2 or an inorganic Si containing gas is used, the resulting silicon containing film may be pure Si.
[00108] Alternatively, the reaction gas may be a hydrocarbon, saturated or unsaturated, linear, branched or cyclic, such as but not limited to ethylene, acetylene, propylene, isoprene, cyclohexane, cyclohexene, cyclohexadiene, pentene, pentyne, cyclopentane, butadiene, cyclobutane, terpinene, octane, octane, or combinations thereof.
[00109] The reaction gas may be treated by a plasma, in order to decompose the reaction gas into its radical form. N2 may also be utilized as a reducing agent when treated with plasma. For instance, the plasma may be generated with a power ranging from about 50 W to about 500 W, preferably from about 100 W to about 200 W. The plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system. One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
[00110] The desired silicon-containing film also contains another element, such as, for example and without limitation, B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si, or Ge.
[00111] The Si-containing film forming composition and one or more co-reactants may be introduced into the reaction chamber simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or in other combinations. For example, the vapor of the Si-containing film forming composition may be introduced in one pulse and two additional metal sources may be introduced together in a separate pulse (modified atomic layer deposition). Alternatively, the reaction chamber may already contain the co-reactant prior to introduction of the Si- containing film forming composition. The co-reactant may be passed through a plasma system localized or remotely from the reaction chamber, and decomposed to radicals. Alternatively, the Si-containing film forming composition may be introduced to the reaction chamber continuously while other precursors or reactants are introduced by pulse (pulsed-chemical vapor deposition). In another alternative, the Si-containing film forming composition and one or more co-reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (spatial ALD).
[00112] In one non-limiting exemplary atomic layer deposition process, the vapor phase of the Si-containing film forming composition is introduced into the reaction chamber, where it is contacted with a suitable substrate. Excess composition may then be removed from the reaction chamber by purging and/or evacuating the reaction chamber. An oxygen source is introduced into the reaction chamber where it reacts with the absorbed Si-H containing iodosilane in a self-limiting manner. Any excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If the desired film is a silicon oxide film, this two- step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
[00113] Alternatively, if the desired film is a silicon metal/metalloid oxide film (i.e., SiMOx, wherein x may be 0-4 and M is B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ga, Ge, or combinations thereof), the two-step process above may be followed by introduction of a vapor of a metal- or metalloid-containing precursor into the reaction chamber. The metal- or metalloid-containing precursor will be selected based on the nature of the silicon metal/metalloid oxide film being deposited. After introduction into the reaction chamber, the metal- or metalloid-containing precursor is contacted with the substrate. Any excess metal- or metalloid-containing precursor is removed from the reaction chamber by purging and/or evacuating the reaction chamber. Once again, an oxygen source may be introduced into the reaction chamber to react with the metal- or metalloid-containing precursor. Excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Si-containing film forming composition, metal- or metalloid- containing precursor, and oxygen source, a film of desired composition and thickness can be deposited.
[00114] Additionally, by varying the number of pulses, films having a desired stoichiometric M:Si ratio may be obtained. For example, a SiMO2 film may be obtained by having one pulse of the Si-containing film forming composition and one pulse of the metal- or metalloid-containing precursor, with each pulse being followed by a pulse of the oxygen source. However, one of ordinary skill in the art will recognize that the number of pulses required to obtain the desired film may not be identical to the stoichiometric ratio of the resulting film.
[00115] The silicon-containing films resulting from the processes discussed above may include SiO2; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMO, SiMN in which M is selected from Zr, Hf, Ti, Nb, V, Ta, Al, Ge, depending of course on the oxidation state of M. One of ordinary skill in the art will recognize that by judicial selection of the appropriate Si-containing film forming composition and co-reactants, the desired film composition may be obtained.
[00116] Upon obtaining a desired film thickness, the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure. Those skilled in the art recognize the systems and methods utilized to perform these additional processing steps. For example, the silicon-containing film may be exposed to a temperature ranging from approximately 200°C and approximately 1000°C for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert
atmosphere, a H-containing atmosphere, a N-containing atmosphere, or combinations thereof. Most preferably, the temperature is 600°C for less than 3600 seconds. Even more preferably, the temperature is less than 400°C. The annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially UV-curing, has been found effective to enhance the connectivity and cross linking of the film, and to reduce the H content of the film when the film is a SiN containing film. Typically, a combination of thermal annealing to < 400°C (preferably about 100°C-300°C) and UV curing is used to obtain the film with the highest density.
Examples
[00117] The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the inventions described herein.
[00118] Example 1 : A 250 ml_, 3-neck (24/40) European style flask with PTFE- coated magnetic stir bar was charged with 9.56 g (33.7 mmol) anhydrous lithium iodide powder (Sigma Aldrich, 99+%) and 80 mL anhydrous chloroform.
Dichlorosilane (8.4 g; 83.2 mmol, excess)("DCS") was added to the reaction flask through the headspace while the mixture was stirred. An immediate color change was observed (light purple coloration). The temperature rose from ~ 22 to 29 °C during DCS exposure. The mixture was stirred for an additional 18 hours at ambient temperature. The solids changed in appearance from a coarse morphology with beige coloration to white, finely divided powder. The mass of the solids decreased over this time. The solids were filtered and dried under vacuum (2.75 g collected; 3.0 g calculated). The solvent was removed under static vacuum by condensation into a trap cooled in liquid nitrogen. The remaining purple liquid was weighed (4.54 g; 10.0 g calculated; 45%) and analyzed by GCMS: 80.5% SiH2l2 ("DIS"), remaining balance were higher boiling compounds). While the calculated product yield was unreliable due to sample size and the means in which chloroform was removed, this example demonstrates successful halide exchange to produce the DIS product. [00119] Example 2: A similar reaction was done using the same setup and reagent load explained in Example 1 except that toluene was used instead of chloroform. GC sample analysis of the liquid (no further workup) revealed that DIS was the main product (sans solvent) with some DCS, and CISiH2l.
[00120] Example 3: A 60 cc stainless steel ampule with diaphragm valve and pressure gauge was charged with 4.25 g (31 .7 mmol) anhydrous lithium iodide in a nitrogen purged glove box. The nitrogen gas was removed under vacuum and DCS (1.60 g, 15.9 mmol) added by condensation (-196 °C). The container was then closed and allowed to thaw to ambient temperature and let stand for 30 minutes. The volatiles were extracted with anhydrous toluene and analyzed by GCMS, which revealed DCS, CISiH2l intermediate and DIS (main product). This example demonstrates that the process may be completed without the use of a solvent.
[00121] Example 4: Sodium iodide powder (10.61 g; granular, colorless, crystalline solid) was exposed under static vacuum to excess DCS gas in a 50 cc Schlenk tube with no visual indication of a reaction. No pressure change was observed. The DCS was then condensed into the Schlenk tube and thawed to ambient temperature several times with no indication of reagent volume loss, color or pressure change (no reaction). Reactions with sodium iodide would likely require a solvent in which it has some solubility (i.e. methylene chloride, chloroform, acetonitrile, etc.). Lithium iodide is clearly more reactive and preferable. This example demonstrates that the Nal alkali metal halide reactant is not as reactive as Lil.
[00122] Example 5: 530 g product scale in pentane solvent: A 2 L, 3-neck round bottom (RB) flask with PTFE coated stir bar was charged with 500 g anhydrous Lil (3.74 mol; Acros Organics, 99%) and filled with anhydrous n-pentane to the 1 L mark. The majority of the headspace nitrogen was removed in vacuo (to approximately 600 torr pressure) and an excess of DCS (492 g; 4.87 mol; 2.8 x mol excess) was added to the flask through the headspace. The flask was periodically cooled to 5-8 °C to allow full transfer. No stirring was achieved by using a stir plate/stir bar since the solids were too heavy. The mixture was agitated by frequently shaking/swirling the pot manually. The flask was left overnight at room temperature with the magnetic stirrer left on. No stirring was achieved. The solids were filtered and dried under vacuum (169 g recovered; 158 g calculated). The light pink filtrate was distilled to remove pentane (b.p. = 36 °C). The remaining colorless liquid was distilled under reduced pressure (ca. 0-5 torr/21 -31 °C) with the receiver cooled in dry ice pellets. This resulted in a colorless, frozen solid in the collector with almost no residual liquid left behind in the distillation pot. The solid product was thawed and weighed (350 g; 530 g calculated; 65%). Gas Chromatographic/Mass Spectrometric analysis revealed 91 % (area percent) pure DIS with small amounts of DCS (0.964%), pentane (0.326%), CISiH2l (4.953%) and impurities tentatively assigned as perchlorinated/periodinated disiloxane compounds (see Fig. 6).
[00123] The likely presence of siloxane-type impurities found in Example 5 indicate that these compounds are formed by moisture derived from one or more of the following:
• Surface moisture from the glass reactor/distillation system (unlikely).
• From an air leak into the system.
• Moisture in the lithium iodide starting material (reasonable likelihood).
This may also include some level of lithium hydroxide.
• Moisture from un-optimized sample preparation and handling for GC analysis (entirely possible).
[00124] This highlights the importance of scrupulous measure to eliminate any potential source of moisture throughout the process. Nevertheless, these siloxane impurities seem readily separable from the main product based on their GC elution times.
[00125] Example 6: 530 g product scale in pentane solvent: A 2 L, 3-neck RB flask with mechanical agitator, cooling cup condenser and 1/4" PTFE sparge tube was charged with 500 g anhydrous Lil (3.74 mol; Acros Organics, 99%) and filled with anhydrous n-pentane to the 1 L mark. Dichlorosilane (183 g; 1 .81 mol) was added subsurface over the course of 22 minutes in which the temperature rose from 18.1 (cold pentane) to 31 .0°C. The reaction mixture was stirred vigorously and some reflux was observed during the addition of DCS. The reaction mixture was stirred at ambient temperature for 3 hours and the liquid analyzed by GCMS. The
chromatography revealed trace DCS, pentane, CISiH2l partially substituted intermediate and DIS. The area percent of CISiH2l and DIS was 6 and 13.5%, respectively. The reaction mixture was stirred an additional 18 hours. The solids were then filtered and dried under vacuum (226 g collected, 158 g calculated). The solvent and lower boiling impurities were removed by distillation. Crude DIS (320 g, 89% by GC) was obtained (~62%). A comparison of Examples 5 and 6 demonstrate that varying the stoichiometric ratios of the reactants produces similar yields.
[00126] Example 7: 500 g of Lil (3.74 moles; 99.9% City Chemical, colorless powder) was charged into a 2L, 3 neck RB flask with mechanical agitator. A cooling cup condenser and internal thermocouple were attached to the reaction apparatus. Approximately 800 mL anhydrous chloroform was added to the Lil powder. The condenser was cooled to -78 °C and 196 g dichlorosilane (1 .94 mot 3.5 moi % excess) under reduced pressure through the condenser (-78 X dry ice, isopropyi alcohol slush bath) in 15 minutes with stirring. The pressure was 680 torr at 23 °C. Additional nitrogen gas was added to the reactor to a pressure of 780 torr. The mixture was stirred for 22 hours, upon which it took on a pink-purple coloration. The solids were filtered and dried under vacuum. The filtrate was collected in a 1 L flask. The chloroform was distilled at 61 °C and the remaining purple liquid collected and weighed (148 g, 28%, crude DIS product post solvent removal). The low yield suggests that pure Li! has limited to low solubility in chloroform. Some level of hydrogenation of the Lil reactant may facilitate reactivity of the salt and promote formation of the product, along with higher siioxane-based impurities.
[00127] Example 8: A four neck round bottom flask equipped with a mechanical stirrer, a thermocouple and a dry-ice IPA condenser was charged with Lil (24.8 g, 0.19 mol) under a stream of nitrogen. Pentane (80 mL) was transferred via cannula. To the resulting mixture, TSA-CI ((SiH3)2N(SiH2CI) 25 g, 0.18 mol) was added dropwise over a period of 15 min at room temperature. No exothermicity was observed. After stirring for about 90 min at ambient temperature, the reaction mixture was analyzed by GC-MS which shows 57% unreacted TSA-CI and 39% TSA-I ((SiH3)2N(SiH2l)) (FIG 4). At this point, the reaction mixture was allowed to stir overnight at room temperature. The GC analysis after overnight stirring resulted in a major peak corresponding to SiH3-l and the peaks corresponding to TSA-CI and TSA-I disappeared. Optimization of the reaction time remains ongoing. [00128] Example 9: Comparison of LI particle size
[00129] 0.5-1 mm LI
[00130] A 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), a solid addition port, an inlet tube for sub-surface dichlorosilane addition and an inlet for liquid pentane addition was charged with pentane 15 L. The temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C. The reactor was then stirred ~ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (12.25 kg, 91 .52 mol) was then charged to the reactor. Subsequent gravimetric addition of dichlorosilane (4.52 kg, 44.75 mol) was regulated at a rate of approximately 1 kg per hour. Following completion of DCS addition, the reactor jacket remains regulated to +35 °C and the condenser to -70 °C. After stirring for 16 hour, stirring was stopped and the reactor contents were drained through the reactor filter into a 22 L round bottomed flask. The salts on the reactor filter were then washed with pentane (3 x 1 L) to furnish 7.19 kg of solid residue. The combined filtrate and washings were subsequently distilled at 88 kPa to furnish crude diiodosilane (9.04 kg, 83% purity). The remainder of the material comprised DCS, 1.3%; pentane, 0.6%; SiH2CII, 14.1 % and SiHI3, 0.1 % as suggested by GC analysis. This crude material is further distilled at 3.2 kPa to furnish diiodosilane (7.39 kg, 58% yield), comprising DIS, 99.6%; SiH3l, 0.1 %;
SiH2CII, 0.1 %; SiHI3, 0.15%, others, 0.12% as suggested by GC analysis.
[00131] 1 -1 .25 mm LI
[00132] A 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), a solid addition port, an inlet tube for sub-surface dichlorosilane addition and an inlet for liquid pentane addition was charged with 15 L fresh pentane (Sigma Aldrich, >99% purity). The temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C. The reactor was then stirred ~ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (9.99 kg, 74.64 mol) was then charged to the reactor. Subsequent gravimetric addition of dichlorosilane (3.88 kg, 38.42 mol) was regulated at a rate of
approximately 1 kg per hour. Following completion of DCS addition, the reactor jacket remains regulated to +35°C and the condenser to -70°C. After stirring for 16 hours, stirring was stopped and the reactor contents were drained through the reactor filter into a 22 L round bottomed flask. The salts on the reactor filter were then washed with pentane (3 x 1 L) to furnish 4.96 kg of solid residue. The combined filtrate and washings were subsequently distilled at 88 kPa to furnish crude diiodosilane (8.01 kg, 86% purity). The remainder of the material comprised DCS, 0.1 %, pentane, 1 .2%; SiH3l, 0.1 %, SiH2CII, 4.5% and SiHI3, 0.1 % as suggested by GC analysis. This crude material is further distilled at 3.2 kPa to furnish diiodosilane (8.16 kg, 77% yield), comprising DIS, 99.7%; SiH3l, 0.01 %; SiH2CII, 0.03% and SiHI3, 0.1 %).
[00133] As can be seen, the particle size of Lithium Iodide influences the isolated yield. Surprisingly, improved yields are observed when a larger particle size of Lithium Iodide is employed relative to a smaller particle size.
[00134] Example 10: Effect of Solvent Recycling
[00135] Solvent Recycling
[00136] A 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), a solid addition port, an inlet tube for sub-surface dichlorosilane addition and an inlet for liquid pentane addition was charged with 15 L pentane. The temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C. The reactor was then stirred ~ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (12.34 kg, 92.19 mol) was then charged to the reactor. Subsequent gravimetric addition of dichlorosilane (4.25 kg, 42.08 mol) was regulated at a rate of approximately 1 kg per hour. Following completion of DCS addition, the reactor jacket remains regulated to +35°C and the condenser to -70°C. After 16 hours stirring, stirring was stopped and the reactor contents were drained through the reactor filter into a 22 L round bottomed flask. The salts on the reactor filter were then washed with pentane (3 x 1 L). The combined filtrate and washings were subsequently distilled at 88 kPa to furnish crude diiodosilane (9.26 kg, 82% purity) in the distillation pot. The distillate (1 1 L, comprising mostly of pentane, 82%; DCS, 12%; SiH2CII, 4% and DIS, 1 %) was recycled back to the reactor for a consecutive synthesis.
[00137] Accordingly, the aforementioned 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), solid addition port, inlet tube for sub-surface dichlorosilane addition and an inlet for distillate/ pentane addition was charged with the recycled distillate (1 1 L, comprising mostly of pentane, 82%; DCS, 12%; SiH2CII, 4% and DIS, 1 %) from the previous production run together with fresh pentane (4L). The temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C. The reactor was then stirred ~ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (12.38 kg, 92.49 mol) was then charged to the reactor. Subsequent gravimetric addition of dichlorosilane (4.17 kg, 41 .28 mol) was regulated at a rate of approximately 1 kg per hour. Following completion of DCS addition, the reactor jacket remains regulated to +35°C and the condenser to -70°C. After 17 hours stirring, stirring was stopped and the reactor contents were drained through the reactor filter into a 22 L round bottomed flask. The salts on the reactor filter were then washed with pentane (3 x 1 L). The combined filtrate and washings were subsequently distilled at 88 kPa to furnish crude diiodosilane (8.77 kg, 84% purity) as distillation bottoms in the distillation pot. This crude material is further distilled at 3.2 kPa to furnish diiodosilane (7.29 kg, 62% yield), comprising DIS, 99.5%; SiH3l, 0.14%; SiHI3, 0.24%, others, 0.12%).
[00138] Fresh Solvent
[00139] A 20 L jacketed filter reactor equipped with a mechanical stirrer, condenser (regulated to -70°C), a solid addition port, an inlet tube for sub-surface dichlorosilane addition and an inlet for liquid pentane addition was charged with 15 L fresh pentane (Sigma Aldrich, >99% purity). The temperature in the reactor jacket was regulated to +35°C and the reactor condenser regulated to -70°C. The reactor was then stirred ~ 200 RPM and while under an atmosphere of Nitrogen, Lithium Iodide (12.47 kg, 93.16 mol) was then charged to the reactor. Subsequent gravimetric addition of dichlorosilane (4.85 kg, 48.02 mol) was regulated at a rate of approximately 1 kg per hour. Following completion of DCS addition, the reactor jacket remains regulated to +35°C and the condenser to -70°C. After stirring for 16 hours, stirring was stopped and the reactor contents were drained through the reactor filter into a 22 L round bottomed flask. The salts on the reactor filter were then washed with pentane (3 x 1 L). The combined filtrate and washings were subsequently distilled at 88 kPa to furnish crude diiodosilane (8.01 kg, 86% purity). This crude material is further distilled at 3.2 kPa to furnish diiodosilane (6.68 kg, 51 % yield), comprising DIS, 99.9%; SiH3l, 0.01 % and SiHI3, 0.02%).
[00140] As can be seen, recycling offers advantages in terms of economic and environmental benefits along with often simplifying regulatory compliance, however, impurities may accumulate. Eliminating the recycling step and using a fresh solvent charge for each synthetic run leads to an ultra-high product purity level that is not attainable after solvent recycling. [00141] Example 11 : Material Compatibility
[00142] Small pieces of material were submerged in SiH2l2 (synthesized per method disclosed in US Pat App Pub No 2016/0264426), sealed in a glass pressure tube and maintained in the absence of light at the stated temperature for the stated time period. The initial control assay was 96.9% S1H2I2 with 1 .3% SiH(Me)l2 and 1 .6% SiHI3, based on GCMS peak integration. The results are provided below and demonstrate that the stability of S1H2I2 is difficult to maintain. Applicants believe that the HX or X2 reactants used in that synthesis method contribute to the instability of the SiH2l2 reaction product demonstrated in the Control results below. As can be seen, some standard packaging materials further accelerate decomposition of the SiH2l2 product.
Room Temperature:
0.2% Sil4 0.5% Sil4 0.4% Sil4
Aluminum 97.8% SiH2l2 97.1% SiH2l2 95.9% SiH2l2 Oxide (AI2O3) 0.9% SiH(Me)l2 0.8% SiH(Me)l2 0.8% SiH(Me)l2
1.1% S1HI3 1.9% S1HI3 3.2% S1HI3
Aluminum 95.0% SiH2l2 96.2% SiH2l2 94.0% SiH2l2 nitride (AIN - 1.1% SiH(Me)l2 1.0% SiH(Me)l2 1.0% SiH(Me)l2 ceramic) 3.6% S1HI3 2.6% S1HI3 4.8% S1HI3
*Different starting material: 97.6% SiH2l2, 0.9% SiH(Me)l2, and 0.9% SiH3.
40°C:
Material 3 weeks 8 weeks 12 weeks
Control* 95.8% SiH2l2 94.4% SiH2l2 92.8% SiH2l2
1.0% SiH(Me)l2 1.1% SiH(Me)l2 1.0% SiH(Me)l2 2.9% S1HI3 4.2% S1HI3 6.1% S1HI3
Stainless Steel 94.9% SiH2l2 93.8% SiH2l2 91.5% SiH2l2 (SUS316) 1.0% SiH(Me)l2 0.9% SiH(Me)l2 0.9% SiH(Me)l2
3.8% S1HI3 5.3% S1HI3 7.4% S1HI3
Aluminum 92.9% SiH2l2 86.8% SiH2l2 0.1% S1HI3
Oxide 1.3% SiH(Me)l2 2.3% SiH(Me)l2 83.2% SiH2l2
(Sapphire) 5.3% S1HI3 10.6% S1HI3 1.9% SiH(Me)l2
Aluminum 0.7% S1HI3 1.6% S1HI3 2.0% S1HI3
(A6061 ) 88.6% SiH2l2 83.6% SiH2l2 78.8% SiH2l2
0.8% SiH(Me)l2 0.6% SiH(Me)l2 0.5% SiH(Me)l2 9.3% S1HI3 13.7% S1HI3 18.4% S1HI3
0.1% Sil4 0.3% Sil4
Aluminum 0.6% S1HI3 1.2% S1HI3 1.9% S1HI3
(4NGM) 91.4% SiH2l2 88.3% SiH2l2 81.9% SiH2l2
0.6% SiH(Me)l2 0.6% SiH(Me)l2 0.5% SiH(Me)l2 7.3% S1HI3 9.9% S1HI3 15.6% S1HI3
Aluminum 96.9% SiH2l2 95.8% SiH2l2 94.0% SiH2l2 Oxide (AI2O3) 0.9% SiH(Me)l2 0.7% SiH(Me)l2 0.8% SiH(Me)l2
2.0% S1HI3 3.4% S1HI3 5.1% S1HI3 Aluminum 96.1 % SiH2l2 91 .0% SiH2l2 89.4% SiH2l2
nitride (AIN - 0.8% SiH(Me)l2 0.8% SiH(Me)l2 0.8% SiH(Me)l2
ceramic) 3.0% S1H I3 8.1 % S1H I3 9.8% S 1H I3
*Different starting material: 97.6% SiH2l2, 0.9% SiH(Me)l2, and 0.9% SiH3.
[00143] Example 11 : Stability
[00144] S1H2I2 synthesized per the methods disclosed herein was stored at room temperature in passivated stainless steel cylinders. Assays were performed using GCMS peak integration prior to and after storage in the cylinders. The table below demonstrates that this product maintains its purity without the need for any stabilizer.
[00145] Prophetic Example: Synthesis of l3Si-CH2-Sil3
[00146] CI3Si-CH2-SiCI3 + 6 Li-I→ l3Si-CH2-Sil3 + 6 Li-CI
[00147] Under inert and anhydrous conditions a flask will be charged with lithium iodide and pentane or other suitable solvent followed by slow addition of a solution or solvent free liquid bis(trichlorosilyl)methane. The suspension will be stirred
vigorously until the completion of the reaction is observed by disappearance of bis(trichlorosilyl)methane in a GCMS trace of an aliquot of the reaction mixture. The resultant suspension will be filtered over a medium glass frit loaded with a pad of diatomaceous earth to yield a pentane solution of the desired product. The
bis(triiodosilyl)methane product will be isolated in pure form by reduced pressure distillation and/or sublimation.
[00148] The reactants are commercially available or may be synthesized according to J. Organomet. Chem. 92, 1975 163-168.
[00149] While embodiments of this invention have been shown and described, modifications thereof may be made by one skilled in the art without departing from the spirit or teaching of this invention. The embodiments described herein are exemplary only and not limiting. Many variations and modifications of the
composition and method are possible and within the scope of the invention.
Accordingly the scope of protection is not limited to the embodiments described herein, but is only limited by the claims which follow, the scope of which shall include all equivalents of the subject matter of the claims.

Claims

What is claimed is:
1 . A method of synthesizing a Si-H containing iodosilane having the formula:
SiwHxRylz (l )
N(SiHaRblc)3 (2) or
(SiHmRnlo)2-CH2 (3)
wherein w is 1 to 3, x+y+z=2w+2, x is 1 to 2w+1 , y is 0 to 2w+1 , z is 1 to 2w+1 , each a is independently 0 to 3, each b is independently 0 to 3, each c is independently 0 to 3, a+b+c = 3 provided that at least one a and at least one c is 1 , each m is independently 0 to 3, each n is independently 0 to 3, each o is independently 0 to 3, m+n+o = 3 provided that at least one m and at least one o is 1 , and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group, the method comprising:
reacting a halosilane reactant having the formula SiwHxRyXz or N(SiHaRbXc)3 or (SiHmRnXo)2-CH2, wherein X is CI or Br, and w, x, y, z, a, b, c, m, n, and o are as defined above, and an alkali metal halide reactant having the formula Ml, wherein M = Li, Na, K, Rb, or Cs; to produce a mixture of MX with (1 ), (2) or (3); and
isolating the Si-H containing iodosilane having the formula SiwHxRylz,
N(SiHaRblc)3, or (SiHmRnl0)2-CH2 from the mixture.
2. The method of claim 1 , wherein M=Li.
3. The method of claim 1 , further comprising adding a non-coordinating solvent to the reacting step.
4. The method of claim 3, wherein the non-coordinating solvent is propane, butane, pentane, hexane, heptanes, chloromethane, dichloromethane, chloroform, carbon tetrachloride, methylene chloride, acetonitrile, and combinations thereof..
5. The method of any one of claims 1 to 4, wherein the isolating step comprises filtering the mixture to separate MX from the Si-H containing iodosilane having the formula SiwHxRylz or N(SiHaRblc)3-
6. The method of any one of claims 1 to 5, wherein the alkali metal halide reactant is Li I.
7. The method of any one of claims 1 to 6, wherein the halosilane reactant is SiH2CI2.
8. The method of any one of claims 1 to 6, wherein the halosilane reactant is Si2HCI5.
9. The method of any one of claims 1 to 6, wherein the halosilane reactant is (SiH3)2N(SiH2CI).
10. A method of synthesizing a Si-H containing iodosilane having the formula SiwHxlz or N(SiHalc)3, wherein w=1 to 3, x+z=2w+2, x=1 to 2w+1 , z=1 to 2w+1 , each a is independently 0 to 3, each c is independently 0 to 3, a+c = 3, provided that at least one a is 1 and at least one c is 1 , the method comprising:
mixing a halosilane reactant having the formula SiwHyXz or N(SiHaXc)3, wherein X is CI or Br, and w, x, z, a, and c are as defined above, with an alkali metal halide reactant having the formula Ml, wherein M is Li, Na, K, Rb, or Cs, to produce a mixture of SiwHxlz or N(SiHalc)3 and nMX; and
filtering the mixture to produce the Si-H containing iodosilane having the formula SiwHxlz or N(SiHalc)3.
1 1 . The method of claim 10, wherein the halosilane reactant is SiH2CI2.
12. The method of claim 10, wherein the halosilane reactant is Si2HCl5.
13. The method of claim 10, wherein the halosilane reactant is (SiH3)2N(SiH2CI).
14. The method of any one of claims 10 to 13, wherein the alkali metal halide reactant is Li I.
15. A Si-containing film forming composition delivery device comprising: a canister having an inlet conduit and an outlet conduit and containing a Si- containing film forming composition, the Si-containing film forming composition comprising a Si-H containing iodosilane and between approximately 0 ppbw and approximately 100 ppbw Ag, Au, or Sb; the Si-H containing iodosilane having the formula:
SiwHxRylz (l )
N(SiHaRblc)3 (2) or
(SiHmRnlo)2-CH2 (3)
wherein w is 1 to 3, x+y+z=2w+2, x is 1 to 2w+1 , y is 0 to 2w+1 , z is 1 to 2w+1 , each a is independently 0 to 3, each b is independently 0 to 3, each c is independently 0 to 3, a+b+c = 3 provided that at least one a and at least one c is 1 , each m is independently 0 to 3, each n is independently 0 to 3, each o is independently 0 to 3, m+n+o = 3 provided that at least one m and at least one o is 1 , and each R is independently a C1 to C12 hydrocarbyl group, CI, Br, or a ER'3 group, wherein each E is independently Si or Ge and each R' is independently H or a C1 to C12 hydrocarbyl group.
16. The Si-containing film forming composition delivery device of claim 15, wherein the Si-H containing iodosilane is S1H2I2
EP17800276.2A 2016-05-19 2017-05-19 Preparation of si-h containing iodosilanes via halide exchange reaction Pending EP3458415A4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662338882P 2016-05-19 2016-05-19
PCT/US2017/033620 WO2017201456A1 (en) 2016-05-19 2017-05-19 Preparation of si-h containing iodosilanes via halide exchange reaction

Publications (2)

Publication Number Publication Date
EP3458415A1 true EP3458415A1 (en) 2019-03-27
EP3458415A4 EP3458415A4 (en) 2019-11-27

Family

ID=60326369

Family Applications (1)

Application Number Title Priority Date Filing Date
EP17800276.2A Pending EP3458415A4 (en) 2016-05-19 2017-05-19 Preparation of si-h containing iodosilanes via halide exchange reaction

Country Status (7)

Country Link
EP (1) EP3458415A4 (en)
JP (2) JP6543354B2 (en)
KR (1) KR102038215B1 (en)
CN (1) CN107864649B (en)
SG (1) SG11201709441TA (en)
TW (1) TWI805561B (en)
WO (1) WO2017201456A1 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US20190337968A1 (en) * 2018-05-01 2019-11-07 Milliken & Company Method for producing halosilane compounds
JP6688513B2 (en) * 2018-10-18 2020-04-28 ヤマナカヒューテック株式会社 Method for producing diiodosilane
US11203604B2 (en) 2018-12-10 2021-12-21 Entegris, Inc. Preparation of triiodosilanes
KR20230003543A (en) * 2020-04-24 2023-01-06 엔테그리스, 아이엔씨. Process for preparing iodosilane and compositions therefrom
EP4232410A1 (en) * 2020-10-23 2023-08-30 Entegris, Inc. Method for preparing iodosilanes
KR102331310B1 (en) * 2020-11-12 2021-12-01 (주)엘케이켐 Method for preparing diiodosilane and composition prepared thereby
CN113548669B (en) * 2021-09-01 2022-11-11 福建福豆新材料有限公司 Preparation device and preparation method of high-purity electronic-grade diiodosilane
JP2023157339A (en) * 2022-04-14 2023-10-26 ヤマナカヒューテック株式会社 Method for producing compound
CN116375038A (en) * 2023-02-23 2023-07-04 安徽博泰电子材料有限公司 Preparation method of diiodosilane
CN116081626B (en) * 2023-03-30 2023-06-27 研峰科技(北京)有限公司 Preparation method of diiodosilane

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3047995C2 (en) * 1980-12-19 1984-05-10 Degussa Ag, 6000 Frankfurt Process for the preparation of (iodoorganyl) alkoxysilanes
US5997637A (en) * 1993-06-18 1999-12-07 Nippon Oil Co., Ltd. Method of producing a semiconducting material
JPH07252271A (en) * 1994-03-14 1995-10-03 Shin Etsu Chem Co Ltd Production of iodosilane
JP3895053B2 (en) * 1998-07-30 2007-03-22 独立行政法人科学技術振興機構 Production method of dihalomethylsilanes
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20160046408A1 (en) 2015-10-27 2016-02-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Internally coated vessel for housing a metal halide
US9777373B2 (en) * 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10106425B2 (en) 2016-05-19 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Synthesis methods for halosilanes

Also Published As

Publication number Publication date
JP2018519233A (en) 2018-07-19
WO2017201456A1 (en) 2017-11-23
JP2019189523A (en) 2019-10-31
EP3458415A4 (en) 2019-11-27
JP7014753B2 (en) 2022-02-01
KR102038215B1 (en) 2019-10-29
CN107864649A (en) 2018-03-30
KR20170141261A (en) 2017-12-22
WO2017201456A8 (en) 2017-12-28
TWI805561B (en) 2023-06-21
JP6543354B2 (en) 2019-07-10
SG11201709441TA (en) 2018-05-30
CN107864649B (en) 2021-07-20
TW201900659A (en) 2019-01-01

Similar Documents

Publication Publication Date Title
US10800661B2 (en) Preparation of Si-H containing iodosilanes via halide exchange reaction
JP7014753B2 (en) Preparation of Si—H-containing iodosilane by halide exchange reaction
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US10106425B2 (en) Synthesis methods for halosilanes
US10544506B2 (en) Method of forming a silicon nitride film using Si—N containing precursors
US20180202042A1 (en) Alkylamino-substituted halocarbosilane precursors
KR20160062145A (en) Amine substituted trisilylamine and tridisilylamine compounds
KR102603851B1 (en) Method for preparing isomerically enriched advanced silanes
US10011903B2 (en) Manganese-containing film forming compositions, their synthesis, and use in film deposition
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US20200115238A1 (en) Process for producing isomer enriched higher silanes
US9738971B2 (en) Vapor deposition methods to form group 8-containing films

Legal Events

Date Code Title Description
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE

PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE

17P Request for examination filed

Effective date: 20181219

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
RIN1 Information on inventor provided before grant (corrected)

Inventor name: ZHANG, PENG

Inventor name: RITTER, COLE

Inventor name: ITOV, GENNADIY

Inventor name: GIRARD, JEAN-MARC

Inventor name: KUCHENBEISER, GLENN

Inventor name: KERRIGAN, SEAN

Inventor name: KHANDELWAL, MANISH

A4 Supplementary search report drawn up and despatched

Effective date: 20191024

RIC1 Information provided on ipc code assigned before grant

Ipc: C01B 33/107 20060101AFI20191018BHEP

Ipc: C07F 7/12 20060101ALI20191018BHEP

Ipc: C23C 16/455 20060101ALI20191018BHEP

Ipc: C23C 16/40 20060101ALI20191018BHEP

Ipc: C23C 16/30 20060101ALI20191018BHEP

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: EXAMINATION IS IN PROGRESS

17Q First examination report despatched

Effective date: 20200609

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: EXAMINATION IS IN PROGRESS

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: EXAMINATION IS IN PROGRESS

RAP3 Party data changed (applicant data changed or rights of an application transferred)

Owner name: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE